ISP/RAM/RGB_to_RAM.v

5 lines
47 B
Coq
Raw Normal View History

module RGB_to_RAM (
ports
);
endmodule