ISP/IM_PROCESS/scale_down_nearest.v

20 lines
384 B
Verilog

module scale_down_nearest #(
parameter IN_WIDTH = 1920,
parameter IN_HEIGHT = 1080,
parameter OUT_WIDTH = 640,
parameter OUT_HEIGHT = 480,
parameter COLOR_DEPTH = 8
) (
input clk,
input reset,
input in_en,
input [3 * COLOR_DEPTH - 1:0] data_in,
output out_en,
output [3 * COLOR_DEPTH - 1:0] data_out
);
localparam
endmodule