ISP/isp.v

9 lines
80 B
Verilog

`timescale 1ns/1ps
module isp #(
parameters
) (
ports
);
endmodule