ISP/isp.v

14 lines
216 B
Verilog

`timescale 1ns/1ps
module isp #(
parameter IN_WIDTH = 1936;
parameter IN_HEIGHT = 1088;
parameter OUT_WIDTH = 1920;
parameter OUT_HEIGHT = 1080;
) (
input clk;
input reset;
);
endmodule