`include "isp.v" `default_nettype none module tb_isp; reg clk; reg rst_n; isp ( .rst_n (rst_n), .clk (clk), ); localparam CLK_PERIOD = 10; always #(CLK_PERIOD/2) clk=~clk; // initial begin // $dumpfile("tb_isp.vcd"); // $dumpvars(0, tb_isp); // end initial begin #1 rst_n<=1'bx;clk<=1'bx; #(CLK_PERIOD*3) rst_n<=1; #(CLK_PERIOD*3) rst_n<=0;clk<=0; $finish(2); end endmodule `default_nettype wire