diff --git a/.gitignore b/.gitignore index 50223e3..3b6ebe8 100644 --- a/.gitignore +++ b/.gitignore @@ -1,4 +1,5 @@ -/CFA/sim/** -!/CFA/sim/demosaic.v .idea/ -!/CFA/sim/transform/** \ No newline at end of file +/CFA/sim/work/** +*.wlf +*.mpf +*.mti \ No newline at end of file diff --git a/CFA/sim/tb_demosaic.v b/CFA/sim/tb_demosaic.v new file mode 100644 index 0000000..42e19a6 --- /dev/null +++ b/CFA/sim/tb_demosaic.v @@ -0,0 +1,169 @@ +`timescale 1ns/10ps +`define End_CYCLE 100000000 +`define cycle 40.0 + +`define PAT "./test.dat" +`define OUT_F "./test.raw" + +module tb_demosaic(); + +parameter WIDTH = 512; +parameter HEIGHT = 256; +parameter IMG_SIZE = WIDTH * HEIGHT; + +integer out_f, i, in_count, cycle_count; + +reg clk; +reg reset; +reg in_en; +reg flag; +wire wr_r, wr_g, wr_b; +wire done; +wire [13:0] addr_r, addr_g, addr_b; +wire [7:0] wdata_r, wdata_g, wdata_b; +reg [7:0] pixel, rdata_r, rdata_g, rdata_b; + +reg [7:0] PAT [0:IMG_SIZE-1]; +reg [7:0] MEM_R [0:IMG_SIZE-1]; +reg [7:0] MEM_G [0:IMG_SIZE-1]; +reg [7:0] MEM_B [0:IMG_SIZE-1]; + +demosaic #( + WIDTH, + HEIGHT +) u_demosaic ( + .clk(clk), + .reset(reset), + .in_en(in_en), + .data_in(pixel), + .wr_r(wr_r), + .addr_r(addr_r), + .wdata_r(wdata_r), + .rdata_r(rdata_r), + .wr_g(wr_g), + .addr_g(addr_g), + .wdata_g(wdata_g), + .rdata_g(rdata_g), + .wr_b(wr_b), + .addr_b(addr_b), + .wdata_b(wdata_b), + .rdata_b(rdata_b), + .done(done) +); + +initial begin + out_f = $fopen(`OUT_F, "wb"); +end + +initial begin + $readmemh(`PAT, PAT); +end + +initial begin + clk = 0; + reset = 0; + in_en = 0; + in_count = 0; + cycle_count = 0; + pixel = 'hx; + rdata_r = 'hx; + rdata_g = 'hx; + rdata_b = 'hx; + flag = 0; + for(i = 0; i < IMG_SIZE; i = i + 1) begin + MEM_R[i] = 0; + MEM_G[i] = 0; + MEM_B[i] = 0; + end +end + +always #(`cycle/2) clk = ~clk; + +initial begin + $display("********************************************************************"); + $display("** Simulation Start **"); + $display("********************************************************************"); + @(posedge clk); #2 reset = 1'b1; + #(`cycle*2); + @(posedge clk); #2 reset = 1'b0; +end + +initial begin + @(posedge clk); + # (`cycle*3) flag = 1; +end + +always @ (negedge clk or posedge reset) begin // send mosaic image + if(reset) begin + pixel <= 0; + in_en <= 0; + end + else begin + if(flag) begin + if(in_count <= IMG_SIZE-1) begin + in_en <= 1; + in_count <= in_count + 1; + pixel <= PAT[in_count]; + end + else begin + in_en <= 0; + pixel <= 'hx; + end + end + end +end + +always @ (negedge clk) begin // read memory, send data to module + if(!wr_r) + rdata_r <= MEM_R[addr_r]; + else + rdata_r <= 'hx; + if(!wr_g) + rdata_g <= MEM_G[addr_g]; + else + rdata_g <= 'hx; + if(!wr_b) + rdata_b <= MEM_B[addr_b]; + else + rdata_b <= 'hx; +end + +always @ (negedge clk) begin // write memory, read data and save + if(wr_r) begin + MEM_R[addr_r] <= wdata_r; + end + if(wr_g) begin + MEM_G[addr_g] <= wdata_g; + end + if(wr_b) begin + MEM_B[addr_b] <= wdata_b; + end +end + +always @ (posedge clk) begin // count cycle + cycle_count <= cycle_count + 1; + if(cycle_count >= `End_CYCLE) begin + $display("********************************************************************"); + $display("** Fail waiting done signal **"); + $display("** You can increase END_CYCLE by yourself **"); + $display("********************************************************************"); + $finish; + end +end + +always @ (posedge clk) begin // check result + if(done) begin + for(i = 0; i < IMG_SIZE; i = i + 1) begin + $fwrite(out_f, "%c", MEM_R[i]); + $fwrite(out_f, "%c", MEM_G[i]); + $fwrite(out_f, "%c", MEM_B[i]); + end + $fclose(out_f); + $display("********************************************************************"); + $display("** Simulation completed successfully! **"); + $display("********************************************************************"); + $finish; + end +end + +endmodule diff --git a/CFA/sim/test.dat b/CFA/sim/test.dat new file mode 100644 index 0000000..4529c2a --- /dev/null +++ b/CFA/sim/test.dat @@ -0,0 +1,262144 @@ +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +85 +00 +5d +00 +a4 +00 +67 +00 +a5 +00 +7d +00 +c0 +00 +0b +10 +17 +20 +d4 +20 +6a +60 +27 +40 +cc +40 +29 +20 +9a +20 +1d +10 +71 +10 +e4 +00 +2a +10 +0e +10 +e8 +10 +21 +10 +49 +10 +cc +00 +1a +10 +3b +10 +7b +30 +db +20 +ad +60 +72 +30 +68 +60 +a5 +50 +20 +90 +8f +60 +9a +90 +38 +60 +b9 +90 +3d +50 +ad +60 +71 +40 +3f +60 +f4 +40 +90 +70 +a9 +50 +b7 +80 +4c +60 +76 +90 +87 +60 +74 +90 +3b +60 +05 +90 +4a +60 +c8 +50 +12 +40 +2f +80 +53 +50 +e7 +60 +69 +20 +bc +10 +34 +10 +23 +10 +d1 +00 +f8 +00 +9d +00 +c8 +00 +9b +00 +fe +00 +b0 +00 +56 +10 +d4 +00 +06 +10 +20 +10 +a6 +30 +60 +10 +5d +20 +cd +00 +df +00 +60 +00 +a4 +00 +83 +00 +bc +00 +b6 +00 +b6 +00 +85 +00 +92 +00 +4f +00 +7b +00 +52 +00 +8f +00 +53 +00 +8a +00 +63 +00 +e4 +00 +d6 +00 +78 +10 +b1 +00 +c6 +00 +98 +00 +25 +10 +03 +20 +40 +40 +53 +30 +06 +60 +18 +40 +69 +70 +8b +20 +bf +20 +e6 +00 +b6 +00 +68 +00 +c0 +00 +64 +00 +a6 +00 +5b +00 +84 +00 +4b +00 +7c +00 +4a +00 +89 +00 +45 +00 +7d +00 +42 +00 +74 +00 +44 +00 +60 +00 +39 +00 +67 +00 +36 +00 +66 +00 +37 +00 +61 +00 +39 +00 +63 +00 +49 +00 +6b +00 +4e +00 +5f +00 +3e +00 +5a +00 +2c +00 +57 +00 +27 +00 +59 +00 +32 +00 +4f +00 +2c +00 +4c +00 +2d +00 +41 +00 +31 +00 +52 +00 +3c +00 +51 +00 +3c +00 +61 +00 +36 +00 +5f +00 +36 +00 +6b +00 +35 +00 +44 +00 +2d +00 +52 +00 +2f +00 +50 +00 +34 +00 +4f +00 +2b +00 +4e +00 +1d +00 +48 +00 +28 +00 +4c +00 +22 +00 +4a +00 +28 +00 +4b +00 +26 +00 +50 +00 +36 +00 +55 +00 +61 +00 +bc +00 +b7 +00 +aa +10 +cb +00 +6e +10 +c8 +00 +b4 +00 +60 +00 +54 +00 +32 +00 +46 +00 +2b +00 +42 +00 +29 +00 +4e +00 +27 +00 +44 +00 +2a +00 +45 +00 +31 +00 +43 +00 +2d +00 +38 +00 +24 +00 +3b +00 +20 +00 +3b +00 +1b +00 +3c +00 +26 +00 +42 +00 +1f +00 +3d +00 +26 +00 +44 +00 +24 +00 +43 +00 +2a +00 +4b +00 +2b +00 +44 +00 +25 +00 +3d +00 +21 +00 +47 +00 +23 +00 +44 +00 +23 +00 +5e +00 +34 +00 +4c +00 +21 +00 +4d +00 +28 +00 +54 +00 +2d +00 +45 +00 +24 +00 +2d +00 +17 +00 +35 +00 +1b +00 +2f +00 +18 +00 +28 +00 +15 +00 +2a +00 +19 +00 +31 +00 +20 +00 +27 +00 +1b +00 +29 +00 +1b +00 +2b +00 +1c +00 +2d +00 +1a +00 +41 +00 +1b +00 +2a +00 +19 +00 +23 +00 +17 +00 +25 +00 +0f +00 +2e +00 +12 +00 +2a +00 +1c +00 +28 +00 +25 +00 +2f +00 +5f +00 +6d +00 +8b +00 +7f +00 +90 +00 +6a +00 +32 +00 +47 +00 +2b +00 +47 +00 +35 +00 +4a +00 +2b +00 +52 +00 +27 +00 +48 +00 +27 +00 +3f +00 +1c +00 +3c +00 +1e +00 +40 +00 +21 +00 +3b +00 +24 +00 +40 +00 +36 +00 +41 +00 +2f +00 +47 +00 +2c +00 +38 +00 +33 +00 +5b +00 +a3 +00 +7d +00 +5f +00 +68 +00 +3f +00 +57 +00 +5c +00 +88 +00 +95 +00 +8e +00 +5f +00 +62 +00 +43 +00 +45 +00 +31 +00 +3f +00 +35 +00 +48 +00 +55 +00 +7b +10 +a1 +00 +48 +10 +56 +00 +65 +00 +32 +00 +3e +00 +25 +00 +3a +00 +1e +00 +2a +00 +1b +00 +34 +00 +1d +00 +38 +00 +1d +00 +38 +00 +1d +00 +35 +00 +14 +00 +2f +00 +19 +00 +3b +00 +15 +00 +34 +00 +23 +00 +39 +00 +18 +00 +3b +00 +1c +00 +3a +00 +21 +00 +40 +00 +1f +00 +48 +00 +22 +00 +4a +00 +23 +00 +45 +00 +1a +00 +3c +00 +29 +00 +52 +00 +58 +00 +51 +00 +30 +00 +35 +00 +34 +00 +45 +00 +2b +00 +53 +00 +31 +00 +39 +00 +1e +00 +3f +00 +24 +00 +3a +00 +23 +00 +37 +00 +17 +00 +2e +00 +1c +00 +28 +00 +1c +00 +2f +00 +20 +00 +30 +00 +1f +00 +34 +00 +1c +00 +2c +00 +25 +00 +46 +00 +2d +00 +a3 +00 +75 +00 +74 +00 +4d +00 +5f +00 +3e +00 +43 +00 +31 +00 +52 +00 +33 +00 +61 +00 +95 +00 +0d +20 +fe +00 +af +10 +af +00 +a0 +00 +97 +00 +8a +00 +7f +00 +e6 +00 +a4 +10 +1e +20 +c4 +00 +a1 +00 +53 +00 +78 +00 +59 +00 +75 +00 +af +00 +48 +10 +e9 +00 +a0 +00 +76 +00 +e3 +00 +64 +00 +aa +00 +4e +00 +85 +00 +44 +00 +73 +00 +34 +00 +59 +00 +36 +00 +76 +00 +4c +00 +00 +00 +00 +00 +0c +00 +1e +00 +0c +00 +23 +00 +15 +00 +40 +00 +23 +00 +6a +00 +33 +00 +8f +00 +32 +00 +96 +00 +38 +00 +8f +00 +3a +00 +97 +00 +34 +00 +90 +00 +34 +00 +9c +00 +3b +00 +9a +00 +2f +00 +a2 +00 +39 +00 +ad +00 +35 +00 +a5 +00 +35 +00 +a1 +00 +35 +00 +9d +00 +38 +00 +a9 +00 +3b +00 +b7 +00 +3d +00 +aa +00 +40 +00 +a1 +00 +42 +00 +ab +00 +43 +00 +bd +00 +3d +00 +a1 +00 +2a +00 +73 +00 +28 +00 +7a +00 +36 +00 +db +00 +35 +00 +a4 +00 +31 +00 +8a +00 +30 +00 +91 +00 +34 +00 +7c +00 +32 +00 +7c +00 +2f +00 +98 +00 +3e +00 +a6 +00 +37 +00 +9d +00 +44 +00 +ff +00 +ab +00 +ef +30 +89 +20 +ba +70 +e7 +10 +1a +30 +97 +00 +79 +10 +6b +00 +0a +10 +60 +00 +2a +10 +6a +00 +39 +10 +54 +00 +f0 +00 +61 +00 +5b +10 +bc +00 +df +20 +88 +10 +5e +40 +f0 +10 +9d +70 +49 +30 +5f +90 +4a +30 +91 +90 +22 +30 +98 +60 +ec +10 +89 +60 +9c +20 +19 +70 +16 +30 +d6 +80 +4a +30 +c9 +90 +9f +30 +df +90 +a4 +30 +a7 +90 +6b +30 +21 +90 +db +20 +78 +60 +b6 +10 +83 +60 +6b +20 +d7 +30 +98 +00 +c1 +10 +bb +00 +51 +10 +4b +00 +df +00 +4a +00 +bf +00 +5f +00 +62 +10 +5e +00 +fb +00 +51 +00 +1c +10 +93 +00 +c2 +10 +77 +00 +1c +10 +41 +00 +ac +00 +35 +00 +b1 +00 +b3 +00 +43 +20 +6a +00 +ce +00 +42 +00 +8d +00 +30 +00 +85 +00 +3b +00 +81 +00 +2b +00 +8f +00 +50 +00 +fd +00 +62 +00 +d1 +00 +41 +00 +c1 +00 +79 +00 +28 +20 +be +10 +f1 +50 +c0 +20 +b5 +70 +d9 +10 +6d +30 +7a +00 +0d +10 +3b +00 +be +00 +49 +00 +b4 +00 +31 +00 +7e +00 +2d +00 +78 +00 +31 +00 +90 +00 +2b +00 +7e +00 +2c +00 +63 +00 +25 +00 +5e +00 +1d +00 +6a +00 +21 +00 +5d +00 +28 +00 +50 +00 +1c +00 +4d +00 +1f +00 +4f +00 +28 +00 +5d +00 +1d +00 +56 +00 +14 +00 +49 +00 +1d +00 +4c +00 +20 +00 +4a +00 +1b +00 +4d +00 +1d +00 +41 +00 +14 +00 +4b +00 +21 +00 +60 +00 +1f +00 +56 +00 +19 +00 +67 +00 +2a +00 +89 +00 +22 +00 +48 +00 +1b +00 +49 +00 +1a +00 +56 +00 +1c +00 +45 +00 +1c +00 +51 +00 +18 +00 +5f +00 +1f +00 +46 +00 +19 +00 +40 +00 +18 +00 +5a +00 +24 +00 +47 +00 +1b +00 +4d +00 +1f +00 +63 +00 +31 +00 +b4 +00 +48 +00 +f9 +00 +43 +00 +c0 +00 +9b +00 +82 +10 +2b +00 +5e +00 +1c +00 +40 +00 +19 +00 +54 +00 +1a +00 +4e +00 +1e +00 +3d +00 +15 +00 +55 +00 +1a +00 +48 +00 +25 +00 +4a +00 +1a +00 +31 +00 +17 +00 +3c +00 +15 +00 +39 +00 +19 +00 +41 +00 +1b +00 +3d +00 +18 +00 +38 +00 +19 +00 +41 +00 +1c +00 +41 +00 +19 +00 +3f +00 +1a +00 +43 +00 +15 +00 +41 +00 +18 +00 +42 +00 +19 +00 +59 +00 +1f +00 +45 +00 +19 +00 +46 +00 +1b +00 +59 +00 +16 +00 +35 +00 +0f +00 +26 +00 +11 +00 +32 +00 +0c +00 +2a +00 +10 +00 +1b +00 +0c +00 +29 +00 +11 +00 +29 +00 +13 +00 +28 +00 +0b +00 +22 +00 +0e +00 +2c +00 +12 +00 +3c +00 +15 +00 +35 +00 +0e +00 +21 +00 +10 +00 +26 +00 +0e +00 +24 +00 +11 +00 +29 +00 +10 +00 +2d +00 +14 +00 +2e +00 +39 +00 +fb +00 +9c +00 +66 +10 +61 +00 +64 +10 +3f +00 +70 +00 +1c +00 +34 +00 +4a +00 +fb +00 +1e +00 +4e +00 +22 +00 +4b +00 +17 +00 +36 +00 +16 +00 +3e +00 +19 +00 +4b +00 +19 +00 +3a +00 +1c +00 +3a +00 +25 +00 +de +00 +28 +00 +51 +00 +1e +00 +4e +00 +13 +00 +54 +00 +5d +00 +53 +20 +68 +00 +a1 +00 +45 +00 +70 +00 +2c +00 +78 +00 +99 +00 +c6 +20 +77 +00 +a6 +00 +1e +00 +5b +00 +2e +00 +4b +00 +1a +00 +3e +00 +1c +00 +61 +00 +3b +00 +a1 +00 +33 +00 +98 +00 +18 +00 +49 +00 +18 +00 +39 +00 +0c +00 +2c +00 +12 +00 +2e +00 +19 +00 +3e +00 +17 +00 +30 +00 +18 +00 +36 +00 +14 +00 +36 +00 +14 +00 +2b +00 +1b +00 +2b +00 +15 +00 +38 +00 +1d +00 +3e +00 +15 +00 +38 +00 +1c +00 +3c +00 +14 +00 +4d +00 +21 +00 +36 +00 +17 +00 +3f +00 +12 +00 +41 +00 +20 +00 +50 +00 +3b +00 +a3 +10 +3f +00 +54 +00 +1f +00 +45 +00 +1b +00 +4a +00 +1e +00 +49 +00 +19 +00 +3a +00 +14 +00 +39 +00 +1d +00 +44 +00 +17 +00 +36 +00 +11 +00 +28 +00 +16 +00 +2e +00 +13 +00 +32 +00 +16 +00 +38 +00 +20 +00 +37 +00 +12 +00 +3d +00 +23 +00 +5b +00 +72 +00 +de +10 +72 +00 +98 +00 +2b +00 +78 +00 +3b +00 +52 +00 +22 +00 +5b +00 +2b +00 +de +00 +e2 +00 +38 +10 +6c +00 +c5 +10 +ac +00 +69 +20 +5f +00 +e4 +00 +e8 +00 +78 +30 +3a +10 +ac +10 +3d +00 +79 +00 +2d +00 +87 +00 +7f +00 +97 +10 +23 +10 +0b +20 +41 +00 +b3 +00 +36 +00 +8e +00 +2e +00 +8c +00 +2e +00 +73 +00 +2a +00 +51 +00 +25 +00 +50 +00 +2c +00 +84 +00 +00 +00 +00 +00 +24 +00 +19 +00 +1d +00 +25 +00 +3f +00 +36 +00 +60 +00 +53 +00 +7d +00 +66 +00 +91 +00 +5b +00 +85 +00 +61 +00 +91 +00 +71 +00 +91 +00 +5e +00 +98 +00 +66 +00 +99 +00 +67 +00 +9a +00 +70 +00 +a1 +00 +6e +00 +aa +00 +7b +00 +9f +00 +76 +00 +93 +00 +74 +00 +b4 +00 +77 +00 +a8 +00 +7a +00 +aa +00 +68 +00 +a7 +00 +6c +00 +bd +00 +6b +00 +a6 +00 +64 +00 +9f +00 +57 +00 +76 +00 +4a +00 +62 +00 +65 +00 +7b +00 +68 +00 +97 +00 +56 +00 +80 +00 +54 +00 +7f +00 +51 +00 +86 +00 +81 +00 +9a +00 +64 +00 +91 +00 +54 +00 +98 +00 +61 +00 +96 +00 +6d +00 +b8 +00 +c7 +00 +68 +10 +f6 +10 +7f +50 +6a +40 +87 +70 +1e +30 +07 +20 +0d +10 +29 +10 +b8 +00 +00 +10 +c1 +00 +0f +10 +ea +00 +10 +10 +0d +10 +2b +10 +53 +10 +91 +10 +ac +10 +3d +20 +72 +20 +70 +30 +6f +40 +f1 +80 +3b +60 +ac +90 +06 +50 +73 +60 +16 +40 +01 +60 +bb +40 +e8 +60 +99 +50 +f0 +80 +62 +60 +aa +90 +9c +60 +38 +a0 +b9 +60 +e5 +90 +a4 +60 +fa +90 +9f +60 +62 +90 +12 +60 +9b +70 +fd +30 +b7 +40 +03 +30 +fb +20 +df +20 +6f +40 +64 +10 +18 +10 +ad +00 +e1 +00 +9f +00 +e8 +00 +b5 +00 +09 +10 +99 +00 +d7 +00 +a5 +00 +0d +10 +bc +00 +12 +10 +89 +00 +ca +00 +78 +00 +a9 +00 +59 +10 +0b +30 +59 +10 +79 +10 +95 +00 +9f +00 +5a +00 +83 +00 +5a +00 +92 +00 +50 +00 +8d +00 +5d +00 +ae +00 +6b +00 +ce +00 +99 +00 +c9 +00 +ca +00 +17 +10 +c8 +10 +4b +20 +6c +30 +f8 +60 +dd +30 +95 +50 +21 +20 +6d +10 +ed +00 +dc +00 +7c +00 +af +00 +67 +00 +86 +00 +4c +00 +8b +00 +4f +00 +8d +00 +5f +00 +9a +00 +52 +00 +7f +00 +42 +00 +6a +00 +3d +00 +6b +00 +33 +00 +59 +00 +35 +00 +5f +00 +37 +00 +55 +00 +35 +00 +52 +00 +3c +00 +58 +00 +28 +00 +54 +00 +32 +00 +4f +00 +36 +00 +4e +00 +2e +00 +55 +00 +2a +00 +49 +00 +47 +00 +7a +00 +45 +00 +51 +00 +3c +00 +5c +00 +2f +00 +56 +00 +25 +00 +4c +00 +32 +00 +62 +00 +2d +00 +5e +00 +2a +00 +3d +00 +2c +00 +4c +00 +31 +00 +55 +00 +2d +00 +51 +00 +38 +00 +78 +00 +33 +00 +50 +00 +28 +00 +3f +00 +22 +00 +45 +00 +28 +00 +52 +00 +2c +00 +42 +00 +33 +00 +49 +00 +5f +00 +16 +10 +88 +00 +a9 +00 +8c +00 +b9 +00 +6a +00 +e8 +00 +93 +00 +f3 +00 +40 +00 +50 +00 +2a +00 +45 +00 +30 +00 +3b +00 +32 +00 +46 +00 +28 +00 +3e +00 +1c +00 +42 +00 +3f +00 +f9 +00 +39 +00 +40 +00 +23 +00 +32 +00 +23 +00 +34 +00 +17 +00 +3f +00 +1a +00 +40 +00 +1f +00 +35 +00 +24 +00 +4e +00 +2a +00 +53 +00 +1c +00 +41 +00 +1f +00 +3f +00 +26 +00 +46 +00 +23 +00 +39 +00 +23 +00 +40 +00 +1e +00 +4f +00 +23 +00 +3d +00 +28 +00 +39 +00 +28 +00 +41 +00 +20 +00 +36 +00 +1a +00 +2c +00 +18 +00 +25 +00 +18 +00 +1c +00 +16 +00 +22 +00 +1a +00 +2a +00 +17 +00 +2d +00 +16 +00 +1d +00 +17 +00 +26 +00 +19 +00 +30 +00 +1b +00 +29 +00 +18 +00 +2b +00 +1f +00 +2b +00 +10 +00 +25 +00 +1e +00 +2e +00 +18 +00 +2d +00 +26 +00 +38 +00 +3f +00 +8b +00 +5a +10 +6d +20 +51 +10 +b0 +10 +8c +00 +b1 +00 +45 +00 +55 +00 +35 +00 +7f +00 +6e +00 +91 +00 +34 +00 +4d +00 +28 +00 +41 +00 +1e +00 +3f +00 +2a +00 +3e +00 +24 +00 +36 +00 +21 +00 +3c +00 +2a +00 +4f +00 +65 +00 +19 +10 +79 +00 +70 +00 +5b +00 +74 +00 +66 +10 +e6 +10 +be +10 +3f +20 +d9 +00 +14 +20 +26 +10 +a2 +00 +77 +00 +be +00 +8a +00 +a4 +10 +82 +00 +77 +00 +4a +00 +e4 +00 +52 +00 +59 +00 +34 +00 +45 +00 +48 +00 +5d +00 +3c +00 +67 +00 +44 +00 +4f +00 +26 +00 +38 +00 +24 +00 +33 +00 +1a +00 +32 +00 +1f +00 +3c +00 +27 +00 +3d +00 +1d +00 +3b +00 +18 +00 +35 +00 +1c +00 +2c +00 +16 +00 +2f +00 +1a +00 +2f +00 +1c +00 +36 +00 +1f +00 +31 +00 +20 +00 +2d +00 +1a +00 +3b +00 +25 +00 +39 +00 +22 +00 +39 +00 +23 +00 +3a +00 +26 +00 +55 +00 +4f +00 +7d +00 +97 +00 +32 +10 +4c +00 +5e +00 +35 +00 +48 +00 +23 +00 +3b +00 +2d +00 +43 +00 +22 +00 +36 +00 +1e +00 +41 +00 +25 +00 +41 +00 +1e +00 +3a +00 +21 +00 +2b +00 +21 +00 +29 +00 +18 +00 +2e +00 +1c +00 +36 +00 +1d +00 +3b +00 +2d +00 +57 +00 +49 +00 +a7 +00 +d0 +00 +47 +30 +cf +00 +bb +00 +67 +00 +c2 +00 +43 +00 +63 +00 +3a +00 +78 +00 +73 +00 +c3 +10 +b0 +00 +e3 +00 +0e +10 +14 +20 +ec +00 +3d +10 +d0 +10 +cf +30 +cf +10 +2d +20 +0e +10 +ea +00 +7a +00 +a3 +00 +a4 +10 +f2 +30 +78 +10 +6e +20 +ad +00 +cc +00 +68 +00 +7a +00 +41 +00 +7f +00 +40 +00 +66 +00 +2e +00 +59 +00 +36 +00 +5a +00 +29 +00 +50 +00 +34 +00 +00 +00 +00 +00 +07 +00 +1f +00 +0e +00 +2c +00 +0f +00 +52 +00 +23 +00 +77 +00 +36 +00 +84 +00 +32 +00 +8e +00 +31 +00 +a3 +00 +40 +00 +96 +00 +33 +00 +a0 +00 +3a +00 +8d +00 +3b +00 +b2 +00 +34 +00 +a1 +00 +38 +00 +93 +00 +46 +00 +b9 +00 +3d +00 +ae +00 +36 +00 +a5 +00 +32 +00 +b4 +00 +38 +00 +a7 +00 +39 +00 +b8 +00 +43 +00 +a0 +00 +3a +00 +a0 +00 +3f +00 +aa +00 +3b +00 +8e +00 +2c +00 +68 +00 +26 +00 +61 +00 +22 +00 +7b +00 +2e +00 +7f +00 +30 +00 +68 +00 +2b +00 +79 +00 +38 +00 +de +00 +78 +00 +c5 +00 +41 +00 +96 +00 +43 +00 +9b +00 +33 +00 +9a +00 +3f +00 +c8 +00 +64 +00 +af +10 +6a +10 +85 +60 +8e +20 +37 +60 +20 +10 +f5 +10 +70 +00 +01 +10 +5b +00 +f6 +00 +60 +00 +0f +10 +ff +00 +87 +20 +90 +00 +8e +10 +99 +00 +0d +20 +b5 +00 +72 +20 +30 +10 +70 +50 +18 +30 +7e +90 +22 +30 +b6 +60 +d8 +10 +d4 +50 +32 +20 +f5 +60 +07 +30 +c2 +80 +6d +30 +82 +90 +5f +30 +f4 +90 +a9 +30 +1f +a0 +9b +30 +f9 +90 +7d +30 +cd +90 +6c +30 +87 +90 +56 +30 +97 +80 +de +10 +ed +30 +1e +10 +9a +40 +bd +10 +f0 +20 +64 +00 +0a +10 +3e +00 +e6 +00 +4e +00 +eb +00 +57 +00 +f0 +00 +59 +00 +ea +00 +58 +00 +20 +10 +51 +00 +cd +00 +44 +00 +b8 +00 +8f +00 +b0 +20 +ca +10 +42 +30 +62 +00 +bd +00 +3a +00 +92 +00 +38 +00 +9e +00 +3b +00 +96 +00 +2e +00 +94 +00 +3e +00 +b2 +00 +51 +00 +d8 +00 +60 +00 +e2 +00 +b0 +00 +78 +30 +b7 +10 +96 +40 +e1 +10 +d5 +40 +88 +10 +d0 +30 +f0 +00 +8e +10 +50 +00 +b2 +00 +34 +00 +9b +00 +37 +00 +94 +00 +32 +00 +84 +00 +33 +00 +95 +00 +36 +00 +95 +00 +29 +00 +73 +00 +2d +00 +76 +00 +2a +00 +52 +00 +21 +00 +55 +00 +1f +00 +60 +00 +20 +00 +54 +00 +1c +00 +58 +00 +20 +00 +4f +00 +20 +00 +46 +00 +20 +00 +5d +00 +1f +00 +5d +00 +20 +00 +4a +00 +1c +00 +5a +00 +66 +00 +e1 +00 +28 +00 +5b +00 +21 +00 +55 +00 +26 +00 +4d +00 +1b +00 +54 +00 +19 +00 +4c +00 +17 +00 +4a +00 +1c +00 +42 +00 +18 +00 +49 +00 +1d +00 +5a +00 +20 +00 +59 +00 +3e +00 +5f +00 +25 +00 +45 +00 +1c +00 +4b +00 +1c +00 +42 +00 +19 +00 +45 +00 +21 +00 +45 +00 +21 +00 +61 +00 +65 +00 +90 +10 +40 +00 +a1 +00 +40 +00 +8a +00 +32 +00 +77 +00 +38 +00 +72 +00 +20 +00 +57 +00 +1e +00 +46 +00 +10 +00 +40 +00 +16 +00 +3d +00 +15 +00 +41 +00 +19 +00 +54 +00 +26 +00 +73 +00 +1a +00 +33 +00 +1e +00 +3b +00 +11 +00 +2d +00 +17 +00 +39 +00 +14 +00 +3a +00 +14 +00 +3b +00 +1b +00 +3c +00 +16 +00 +3b +00 +19 +00 +31 +00 +1a +00 +43 +00 +1b +00 +3c +00 +1a +00 +3d +00 +11 +00 +42 +00 +1b +00 +4a +00 +1c +00 +49 +00 +19 +00 +36 +00 +14 +00 +2d +00 +0f +00 +2a +00 +14 +00 +2c +00 +10 +00 +2d +00 +0a +00 +26 +00 +0f +00 +27 +00 +11 +00 +25 +00 +0e +00 +21 +00 +0c +00 +1f +00 +0f +00 +26 +00 +0e +00 +28 +00 +10 +00 +1f +00 +14 +00 +33 +00 +10 +00 +22 +00 +14 +00 +27 +00 +0e +00 +40 +00 +13 +00 +33 +00 +14 +00 +35 +00 +4b +00 +50 +20 +ed +00 +32 +20 +9a +00 +4b +10 +2a +00 +5c +00 +1c +00 +43 +00 +1b +00 +52 +00 +34 +00 +60 +00 +15 +00 +47 +00 +19 +00 +39 +00 +16 +00 +36 +00 +15 +00 +43 +00 +1f +00 +37 +00 +19 +00 +48 +00 +16 +00 +6c +00 +8c +00 +39 +10 +9d +00 +ce +00 +a9 +00 +eb +30 +f1 +10 +39 +30 +75 +00 +3f +10 +52 +10 +88 +30 +49 +00 +a6 +00 +2a +00 +8b +00 +3e +00 +a2 +00 +27 +00 +6f +00 +39 +00 +7e +00 +21 +00 +50 +00 +15 +00 +51 +00 +1c +00 +59 +00 +1e +00 +50 +00 +16 +00 +3a +00 +11 +00 +3b +00 +12 +00 +27 +00 +17 +00 +3e +00 +19 +00 +46 +00 +1e +00 +40 +00 +1d +00 +38 +00 +1c +00 +36 +00 +12 +00 +2a +00 +12 +00 +2c +00 +11 +00 +2d +00 +1d +00 +38 +00 +12 +00 +37 +00 +12 +00 +38 +00 +18 +00 +37 +00 +1b +00 +3e +00 +1f +00 +3f +00 +16 +00 +52 +00 +56 +00 +e4 +00 +38 +00 +82 +00 +35 +00 +89 +00 +29 +00 +88 +00 +18 +00 +52 +00 +18 +00 +3e +00 +16 +00 +38 +00 +18 +00 +47 +00 +1e +00 +3e +00 +19 +00 +49 +00 +17 +00 +2b +00 +11 +00 +29 +00 +14 +00 +36 +00 +17 +00 +34 +00 +16 +00 +3e +00 +19 +00 +41 +00 +2c +00 +71 +00 +36 +00 +14 +10 +0b +10 +0a +20 +bb +00 +d6 +00 +21 +00 +72 +00 +22 +00 +59 +00 +2c +00 +91 +00 +51 +00 +65 +10 +91 +00 +80 +10 +7e +00 +54 +10 +79 +00 +92 +20 +5e +10 +4c +40 +7d +00 +31 +10 +44 +00 +9e +00 +9a +00 +e3 +30 +82 +10 +2c +20 +5f +00 +dc +00 +36 +00 +81 +00 +29 +00 +65 +00 +25 +00 +56 +00 +25 +00 +55 +00 +20 +00 +52 +00 +16 +00 +4a +00 +21 +00 +58 +00 +00 +00 +00 +00 +1c +00 +20 +00 +24 +00 +2d +00 +47 +00 +3f +00 +6b +00 +52 +00 +84 +00 +60 +00 +88 +00 +6b +00 +88 +00 +68 +00 +87 +00 +67 +00 +9e +00 +67 +00 +95 +00 +6f +00 +97 +00 +6c +00 +96 +00 +66 +00 +9d +00 +69 +00 +a7 +00 +71 +00 +a9 +00 +68 +00 +af +00 +67 +00 +95 +00 +73 +00 +a6 +00 +7f +00 +a6 +00 +7c +00 +a3 +00 +7d +00 +a5 +00 +73 +00 +a1 +00 +67 +00 +98 +00 +54 +00 +72 +00 +50 +00 +63 +00 +43 +00 +68 +00 +48 +00 +81 +00 +5e +00 +72 +00 +57 +00 +7e +00 +52 +00 +8d +00 +a7 +00 +a0 +10 +b2 +00 +af +00 +57 +00 +98 +00 +50 +00 +94 +00 +63 +00 +a0 +00 +bf +00 +fb +00 +0a +20 +05 +40 +f6 +30 +ec +60 +ec +20 +93 +40 +32 +20 +c4 +10 +f1 +00 +01 +10 +ae +00 +ed +00 +82 +10 +40 +30 +a3 +30 +4d +50 +50 +30 +f8 +20 +fb +20 +0f +30 +a1 +30 +37 +40 +d4 +30 +7a +70 +cb +40 +f3 +60 +1f +40 +8f +50 +bb +40 +db +60 +8e +50 +2b +90 +86 +60 +83 +90 +8d +60 +f7 +90 +d8 +60 +51 +a0 +09 +70 +2b +a0 +cb +60 +52 +a0 +ea +60 +b4 +90 +91 +60 +d7 +90 +07 +60 +dd +80 +27 +50 +dd +50 +e5 +20 +52 +30 +d6 +10 +89 +10 +bc +00 +ed +00 +a6 +00 +dd +00 +9a +00 +ed +00 +90 +00 +fb +00 +9e +00 +fe +00 +95 +00 +e3 +00 +8d +00 +c2 +00 +91 +00 +21 +10 +da +10 +05 +50 +b5 +10 +83 +10 +98 +00 +b1 +00 +62 +00 +96 +00 +67 +00 +a6 +00 +62 +00 +a3 +00 +67 +00 +a1 +00 +8e +00 +fe +00 +3d +10 +2c +10 +99 +10 +60 +10 +89 +20 +09 +60 +26 +30 +39 +30 +1b +20 +83 +20 +88 +20 +a8 +50 +f5 +10 +8c +10 +cc +00 +ca +00 +68 +00 +9b +00 +68 +00 +95 +00 +62 +00 +90 +00 +54 +00 +7c +00 +4e +00 +74 +00 +4d +00 +74 +00 +43 +00 +6c +00 +36 +00 +61 +00 +34 +00 +58 +00 +35 +00 +66 +00 +2e +00 +45 +00 +27 +00 +56 +00 +31 +00 +56 +00 +31 +00 +58 +00 +30 +00 +4f +00 +2c +00 +4f +00 +26 +00 +4d +00 +54 +00 +63 +10 +89 +00 +9e +00 +3b +00 +57 +00 +2a +00 +52 +00 +28 +00 +53 +00 +2a +00 +4e +00 +31 +00 +48 +00 +29 +00 +44 +00 +2e +00 +47 +00 +29 +00 +4b +00 +27 +00 +44 +00 +29 +00 +54 +00 +2a +00 +59 +00 +2c +00 +4e +00 +28 +00 +47 +00 +4c +00 +7c +00 +49 +00 +66 +00 +3d +00 +49 +00 +3b +00 +78 +00 +4d +00 +ad +00 +4e +00 +68 +00 +4d +00 +6f +00 +31 +00 +69 +00 +7a +00 +9e +00 +4c +00 +4f +00 +2e +00 +47 +00 +21 +00 +3d +00 +20 +00 +3b +00 +20 +00 +45 +00 +1f +00 +40 +00 +21 +00 +4e +00 +1a +00 +3a +00 +1a +00 +38 +00 +18 +00 +39 +00 +1c +00 +3b +00 +27 +00 +36 +00 +20 +00 +40 +00 +22 +00 +35 +00 +23 +00 +43 +00 +1b +00 +45 +00 +23 +00 +44 +00 +23 +00 +44 +00 +23 +00 +42 +00 +22 +00 +39 +00 +27 +00 +3a +00 +23 +00 +32 +00 +1a +00 +28 +00 +1b +00 +29 +00 +17 +00 +25 +00 +19 +00 +22 +00 +17 +00 +29 +00 +13 +00 +2d +00 +13 +00 +1f +00 +16 +00 +24 +00 +17 +00 +20 +00 +15 +00 +20 +00 +17 +00 +28 +00 +1a +00 +2f +00 +19 +00 +2e +00 +1f +00 +2e +00 +15 +00 +2c +00 +18 +00 +33 +00 +19 +00 +38 +00 +21 +00 +36 +00 +3d +00 +6a +00 +65 +00 +de +00 +83 +00 +00 +10 +8d +00 +bb +00 +4c +00 +4b +00 +3c +00 +4d +00 +2b +00 +4f +00 +2c +00 +47 +00 +2e +00 +49 +00 +24 +00 +49 +00 +1b +00 +33 +00 +26 +00 +2e +00 +25 +00 +29 +00 +1e +00 +3d +00 +32 +00 +65 +00 +52 +00 +c9 +00 +97 +00 +08 +10 +1d +10 +16 +40 +38 +10 +53 +10 +f0 +10 +54 +40 +28 +20 +89 +10 +c9 +00 +8e +00 +5b +00 +67 +00 +39 +00 +69 +00 +40 +00 +57 +00 +31 +00 +5d +00 +3b +00 +4b +00 +35 +00 +42 +00 +2f +00 +40 +00 +36 +00 +42 +00 +4d +00 +49 +00 +1b +00 +36 +00 +20 +00 +36 +00 +43 +00 +92 +00 +32 +00 +3d +00 +28 +00 +42 +00 +1d +00 +38 +00 +21 +00 +3b +00 +1c +00 +31 +00 +1b +00 +31 +00 +1c +00 +34 +00 +1c +00 +3d +00 +1e +00 +34 +00 +15 +00 +31 +00 +14 +00 +3f +00 +1d +00 +3e +00 +21 +00 +43 +00 +50 +00 +d1 +10 +e0 +00 +e7 +00 +4c +00 +65 +00 +3a +00 +57 +00 +2f +00 +52 +00 +2a +00 +44 +00 +26 +00 +3e +00 +3d +00 +58 +00 +74 +00 +48 +00 +3e +00 +4f +00 +1f +00 +3a +00 +1b +00 +28 +00 +17 +00 +31 +00 +1a +00 +31 +00 +1f +00 +36 +00 +28 +00 +46 +00 +5f +00 +7f +00 +62 +00 +83 +00 +56 +00 +c3 +00 +6b +00 +3b +10 +73 +00 +85 +00 +47 +00 +64 +00 +59 +00 +6d +00 +80 +00 +bc +00 +8d +10 +1b +40 +18 +20 +25 +20 +66 +20 +c5 +20 +b8 +10 +f7 +10 +6b +10 +65 +20 +e2 +00 +af +00 +ac +00 +e7 +10 +95 +10 +36 +20 +dc +00 +0a +10 +89 +00 +92 +00 +56 +00 +5f +00 +36 +00 +55 +00 +2d +00 +5d +00 +30 +00 +57 +00 +31 +00 +4a +00 +2e +00 +4e +00 +23 +00 +00 +00 +00 +00 +0a +00 +1d +00 +0e +00 +28 +00 +18 +00 +65 +00 +23 +00 +81 +00 +32 +00 +8a +00 +33 +00 +97 +00 +32 +00 +91 +00 +32 +00 +93 +00 +35 +00 +94 +00 +34 +00 +9a +00 +3f +00 +a1 +00 +37 +00 +a3 +00 +38 +00 +99 +00 +36 +00 +93 +00 +3b +00 +ae +00 +41 +00 +ab +00 +3c +00 +aa +00 +42 +00 +b0 +00 +46 +00 +a9 +00 +40 +00 +a6 +00 +35 +00 +a8 +00 +2e +00 +b4 +00 +39 +00 +70 +00 +27 +00 +62 +00 +1f +00 +64 +00 +24 +00 +5b +00 +2e +00 +6d +00 +2f +00 +74 +00 +2c +00 +83 +00 +4d +00 +20 +10 +71 +00 +11 +10 +3a +00 +90 +00 +29 +00 +92 +00 +28 +00 +98 +00 +38 +00 +c1 +00 +6a +00 +8e +20 +cd +10 +20 +60 +c4 +10 +0b +40 +91 +10 +60 +40 +ca +00 +8d +10 +6f +00 +fb +00 +70 +00 +cf +10 +10 +20 +d5 +60 +d5 +20 +93 +70 +89 +20 +86 +60 +dd +10 +16 +60 +42 +20 +a1 +40 +33 +20 +ac +60 +9e +10 +38 +50 +3e +20 +27 +70 +15 +30 +c4 +80 +9b +30 +e0 +90 +90 +30 +f3 +90 +8c +30 +46 +a0 +9b +30 +7b +a0 +a3 +30 +ed +90 +ac +30 +0a +a0 +91 +30 +6b +a0 +a1 +30 +b8 +90 +4a +30 +20 +90 +ed +20 +90 +60 +99 +10 +31 +30 +85 +00 +19 +10 +5a +00 +f6 +00 +50 +00 +ee +00 +58 +00 +e8 +00 +63 +00 +ff +00 +62 +00 +e9 +00 +4a +00 +bf +00 +45 +00 +bf +00 +65 +00 +1b +20 +ae +10 +8d +30 +6f +00 +c7 +00 +3e +00 +ae +00 +3c +00 +a9 +00 +39 +00 +aa +00 +48 +00 +a6 +00 +36 +00 +ae +00 +b8 +00 +ca +20 +3c +10 +32 +30 +37 +10 +e1 +30 +f1 +10 +e7 +40 +15 +10 +51 +20 +a2 +00 +51 +20 +62 +10 +45 +40 +1e +10 +09 +20 +4e +00 +c8 +00 +46 +00 +ad +00 +42 +00 +b3 +00 +35 +00 +85 +00 +37 +00 +75 +00 +3a +00 +7e +00 +27 +00 +6e +00 +1f +00 +57 +00 +22 +00 +4f +00 +25 +00 +60 +00 +21 +00 +59 +00 +1f +00 +5a +00 +1f +00 +4c +00 +29 +00 +59 +00 +25 +00 +59 +00 +22 +00 +4e +00 +1f +00 +4a +00 +1f +00 +6d +00 +7f +00 +39 +10 +36 +00 +69 +00 +25 +00 +50 +00 +1c +00 +52 +00 +22 +00 +4b +00 +1e +00 +56 +00 +19 +00 +43 +00 +19 +00 +48 +00 +1d +00 +4c +00 +1c +00 +48 +00 +1e +00 +4e +00 +1f +00 +52 +00 +1c +00 +59 +00 +22 +00 +47 +00 +22 +00 +86 +00 +78 +00 +13 +10 +2b +00 +58 +00 +24 +00 +59 +00 +24 +00 +6c +00 +23 +00 +66 +00 +26 +00 +50 +00 +19 +00 +5f +00 +2d +00 +a9 +00 +c2 +00 +01 +10 +22 +00 +4d +00 +16 +00 +3d +00 +19 +00 +3b +00 +19 +00 +3b +00 +1e +00 +4a +00 +1a +00 +45 +00 +18 +00 +41 +00 +15 +00 +33 +00 +19 +00 +33 +00 +16 +00 +4a +00 +17 +00 +33 +00 +12 +00 +30 +00 +13 +00 +2e +00 +13 +00 +38 +00 +1a +00 +40 +00 +1d +00 +46 +00 +17 +00 +3c +00 +1a +00 +4d +00 +12 +00 +3d +00 +1a +00 +37 +00 +18 +00 +36 +00 +10 +00 +33 +00 +11 +00 +27 +00 +0d +00 +2d +00 +13 +00 +2f +00 +0b +00 +26 +00 +0d +00 +29 +00 +14 +00 +21 +00 +10 +00 +22 +00 +0a +00 +1f +00 +0f +00 +26 +00 +12 +00 +2c +00 +0d +00 +29 +00 +0e +00 +2f +00 +15 +00 +28 +00 +13 +00 +2d +00 +0d +00 +37 +00 +15 +00 +33 +00 +11 +00 +31 +00 +17 +00 +2f +00 +1b +00 +71 +00 +31 +00 +a7 +00 +30 +00 +89 +00 +2c +00 +5d +00 +1d +00 +65 +00 +27 +00 +59 +00 +20 +00 +46 +00 +1c +00 +41 +00 +1a +00 +4f +00 +18 +00 +47 +00 +1b +00 +37 +00 +13 +00 +36 +00 +0f +00 +41 +00 +16 +00 +4a +00 +23 +00 +63 +00 +2c +00 +90 +00 +55 +00 +f9 +00 +67 +00 +6b +10 +9f +00 +fa +30 +2a +20 +f6 +30 +88 +00 +fe +00 +27 +00 +6f +00 +20 +00 +5c +00 +1d +00 +4b +00 +1f +00 +4b +00 +21 +00 +43 +00 +19 +00 +46 +00 +13 +00 +43 +00 +23 +00 +49 +00 +33 +00 +12 +10 +29 +00 +4e +00 +0f +00 +36 +00 +1d +00 +98 +00 +3b +00 +66 +00 +14 +00 +43 +00 +1c +00 +40 +00 +14 +00 +3d +00 +17 +00 +44 +00 +13 +00 +2b +00 +18 +00 +38 +00 +12 +00 +32 +00 +17 +00 +30 +00 +17 +00 +3c +00 +13 +00 +3e +00 +15 +00 +3f +00 +21 +00 +49 +00 +1b +00 +5a +00 +4c +00 +d8 +00 +46 +00 +8d +00 +1e +00 +55 +00 +1d +00 +49 +00 +1e +00 +46 +00 +1c +00 +3b +00 +17 +00 +55 +00 +9a +00 +73 +20 +3b +00 +5c +00 +1c +00 +4b +00 +13 +00 +2c +00 +12 +00 +2e +00 +14 +00 +31 +00 +0d +00 +2c +00 +1b +00 +37 +00 +1d +00 +ee +00 +71 +00 +aa +00 +25 +00 +98 +00 +32 +00 +97 +00 +42 +00 +a6 +00 +2c +00 +63 +00 +33 +00 +d0 +00 +77 +00 +f9 +00 +52 +00 +20 +20 +d5 +10 +cc +40 +7b +10 +4c +40 +c8 +00 +a1 +20 +99 +10 +d1 +20 +a2 +00 +22 +10 +2f +00 +9f +00 +94 +00 +61 +20 +90 +00 +3b +10 +42 +00 +9d +00 +23 +00 +60 +00 +24 +00 +56 +00 +1f +00 +55 +00 +18 +00 +46 +00 +1a +00 +4a +00 +19 +00 +53 +00 +19 +00 +52 +00 +00 +00 +00 +00 +21 +00 +1a +00 +29 +00 +38 +00 +4a +00 +41 +00 +7c +00 +54 +00 +88 +00 +73 +00 +89 +00 +63 +00 +90 +00 +6a +00 +91 +00 +64 +00 +ad +00 +62 +00 +99 +00 +6c +00 +9c +00 +64 +00 +a2 +00 +6b +00 +9e +00 +68 +00 +a3 +00 +71 +00 +99 +00 +73 +00 +ac +00 +70 +00 +9f +00 +6b +00 +a2 +00 +71 +00 +a8 +00 +72 +00 +96 +00 +6b +00 +a3 +00 +71 +00 +a5 +00 +6b +00 +96 +00 +56 +00 +76 +00 +44 +00 +63 +00 +3f +00 +65 +00 +45 +00 +6b +00 +38 +00 +5f +00 +3b +00 +79 +00 +50 +00 +af +00 +7c +00 +68 +10 +84 +00 +b6 +00 +57 +00 +8d +00 +4b +00 +83 +00 +5f +00 +a0 +00 +24 +10 +f8 +10 +58 +20 +a1 +40 +32 +20 +4f +30 +e7 +20 +2d +50 +e1 +30 +ac +50 +e4 +10 +5c +10 +24 +10 +b6 +10 +6b +20 +16 +60 +aa +40 +72 +80 +9b +50 +6d +80 +db +40 +1f +60 +39 +50 +04 +80 +29 +40 +ee +30 +de +20 +3b +40 +89 +40 +1a +70 +a1 +50 +cd +80 +76 +60 +da +90 +c2 +60 +63 +a0 +e2 +60 +30 +a0 +02 +70 +13 +a0 +cf +60 +1e +a0 +c6 +60 +03 +a0 +c0 +60 +3c +a0 +d8 +60 +0d +a0 +97 +60 +be +90 +ca +50 +b3 +80 +17 +50 +bf +50 +78 +20 +03 +20 +d0 +00 +fa +00 +a3 +00 +d9 +00 +8e +00 +df +00 +85 +00 +10 +10 +ad +00 +13 +10 +cb +00 +eb +00 +9b +00 +c8 +00 +90 +00 +d5 +00 +f0 +00 +db +20 +56 +10 +8c +10 +85 +00 +b6 +00 +95 +00 +b1 +00 +a6 +00 +c0 +00 +db +00 +0a +10 +82 +00 +ba +00 +fd +00 +73 +20 +2c +30 +ab +60 +8d +30 +13 +60 +5b +30 +d7 +40 +d7 +30 +2e +50 +2b +20 +a0 +10 +01 +10 +7a +10 +28 +10 +48 +20 +21 +10 +5f +10 +ef +00 +e4 +00 +ce +00 +bf +00 +8e +00 +b7 +00 +67 +00 +85 +00 +4d +00 +72 +00 +4c +00 +80 +00 +3f +00 +74 +00 +3f +00 +6d +00 +32 +00 +5e +00 +3b +00 +5c +00 +29 +00 +5a +00 +34 +00 +50 +00 +2f +00 +68 +00 +3d +00 +5d +00 +37 +00 +56 +00 +31 +00 +54 +00 +2f +00 +51 +00 +41 +00 +7f +00 +3b +00 +b0 +00 +3a +00 +51 +00 +27 +00 +52 +00 +29 +00 +51 +00 +2a +00 +4e +00 +2d +00 +4e +00 +2c +00 +49 +00 +2e +00 +49 +00 +23 +00 +43 +00 +21 +00 +40 +00 +28 +00 +47 +00 +2d +00 +51 +00 +2c +00 +54 +00 +72 +00 +74 +00 +5a +00 +10 +10 +5e +00 +9d +00 +56 +00 +5f +00 +4e +00 +6b +00 +42 +00 +61 +00 +4d +00 +5d +00 +36 +00 +52 +00 +4f +00 +0f +10 +a5 +00 +73 +10 +70 +00 +90 +00 +3f +00 +42 +00 +27 +00 +3d +00 +23 +00 +37 +00 +1d +00 +41 +00 +22 +00 +45 +00 +24 +00 +36 +00 +1e +00 +39 +00 +1f +00 +33 +00 +20 +00 +3b +00 +1d +00 +3b +00 +18 +00 +35 +00 +21 +00 +35 +00 +1f +00 +3b +00 +20 +00 +30 +00 +23 +00 +47 +00 +21 +00 +47 +00 +24 +00 +49 +00 +23 +00 +44 +00 +1f +00 +34 +00 +1d +00 +31 +00 +25 +00 +2e +00 +16 +00 +28 +00 +1d +00 +29 +00 +14 +00 +2c +00 +17 +00 +2c +00 +15 +00 +27 +00 +19 +00 +29 +00 +14 +00 +27 +00 +12 +00 +29 +00 +19 +00 +21 +00 +17 +00 +30 +00 +20 +00 +2b +00 +1b +00 +33 +00 +1b +00 +2f +00 +19 +00 +30 +00 +15 +00 +2a +00 +1f +00 +2c +00 +1e +00 +38 +00 +1c +00 +35 +00 +30 +00 +43 +00 +3d +00 +6e +00 +49 +00 +7c +00 +40 +00 +51 +00 +28 +00 +45 +00 +2a +00 +46 +00 +26 +00 +4f +00 +22 +00 +41 +00 +1f +00 +3d +00 +1e +00 +4b +00 +21 +00 +44 +00 +22 +00 +44 +00 +21 +00 +39 +00 +35 +00 +4d +00 +84 +00 +67 +00 +a1 +00 +89 +00 +b7 +00 +7f +20 +a5 +00 +e0 +00 +dd +00 +91 +10 +e0 +20 +6a +60 +69 +30 +bc +30 +3b +10 +9b +00 +72 +00 +57 +00 +3f +00 +51 +00 +4c +00 +50 +00 +42 +00 +4a +00 +48 +00 +b8 +00 +2d +00 +44 +00 +31 +00 +af +00 +50 +00 +68 +00 +33 +00 +78 +00 +2c +00 +42 +00 +30 +00 +5a +00 +5e +00 +84 +00 +31 +00 +48 +00 +1e +00 +36 +00 +22 +00 +3d +00 +23 +00 +32 +00 +1e +00 +37 +00 +1f +00 +3a +00 +1c +00 +38 +00 +18 +00 +39 +00 +23 +00 +48 +00 +24 +00 +3d +00 +1c +00 +4c +00 +27 +00 +42 +00 +2c +00 +5d +00 +4d +00 +b8 +00 +55 +00 +76 +00 +4d +00 +67 +00 +3f +00 +51 +00 +4d +00 +57 +00 +41 +00 +46 +00 +2f +00 +40 +00 +57 +00 +f8 +00 +44 +10 +8e +10 +50 +00 +63 +00 +2c +00 +41 +00 +20 +00 +33 +00 +19 +00 +2d +00 +1b +00 +2d +00 +26 +00 +38 +00 +24 +00 +40 +00 +35 +00 +84 +00 +42 +00 +6b +00 +3e +00 +75 +00 +51 +00 +90 +00 +44 +00 +71 +00 +4e +00 +86 +00 +20 +10 +98 +30 +c0 +10 +b4 +20 +74 +20 +3a +50 +ba +20 +33 +50 +cd +10 +9a +10 +39 +10 +80 +20 +fd +00 +1f +10 +c0 +00 +93 +00 +8a +00 +08 +10 +52 +10 +2b +20 +e6 +00 +ec +00 +87 +00 +6f +00 +3b +00 +56 +00 +29 +00 +4e +00 +2e +00 +44 +00 +26 +00 +42 +00 +2d +00 +54 +00 +2c +00 +43 +00 +37 +00 +00 +00 +00 +00 +0c +00 +25 +00 +10 +00 +44 +00 +22 +00 +6a +00 +2c +00 +7e +00 +34 +00 +9c +00 +32 +00 +99 +00 +32 +00 +94 +00 +35 +00 +9f +00 +3c +00 +9e +00 +41 +00 +9c +00 +2f +00 +9d +00 +34 +00 +93 +00 +37 +00 +a5 +00 +40 +00 +97 +00 +37 +00 +a6 +00 +3b +00 +ac +00 +47 +00 +a4 +00 +37 +00 +a4 +00 +40 +00 +9a +00 +3b +00 +94 +00 +3a +00 +b1 +00 +3e +00 +a0 +00 +34 +00 +84 +00 +27 +00 +6d +00 +28 +00 +6d +00 +25 +00 +60 +00 +29 +00 +6b +00 +24 +00 +64 +00 +26 +00 +7b +00 +31 +00 +b1 +00 +4f +00 +de +00 +31 +00 +95 +00 +3b +00 +80 +00 +3d +00 +92 +00 +3f +00 +b1 +10 +6f +10 +bd +30 +11 +10 +b3 +20 +be +00 +33 +30 +6b +20 +4f +70 +93 +20 +9c +40 +93 +00 +8f +10 +ab +00 +00 +30 +83 +20 +64 +80 +25 +30 +01 +90 +1e +30 +00 +70 +a4 +20 +15 +80 +35 +30 +e1 +70 +58 +10 +e1 +20 +cc +10 +d8 +60 +2f +30 +fc +80 +80 +30 +d1 +90 +7f +30 +43 +a0 +a6 +30 +75 +a0 +c4 +30 +14 +a0 +ae +30 +56 +a0 +85 +30 +36 +a0 +99 +30 +15 +a0 +c3 +30 +8e +a0 +b4 +30 +07 +a0 +a2 +30 +96 +90 +3f +30 +d5 +80 +22 +20 +37 +40 +a0 +00 +3a +10 +5b +00 +ed +00 +48 +00 +e4 +00 +58 +00 +01 +10 +5e +00 +13 +10 +98 +00 +a4 +10 +7b +00 +fe +00 +43 +00 +b3 +00 +49 +00 +1f +10 +82 +00 +89 +10 +61 +00 +ee +00 +57 +00 +2d +10 +74 +00 +01 +10 +63 +00 +68 +10 +80 +00 +e4 +00 +43 +00 +10 +10 +99 +10 +f7 +50 +5d +20 +98 +50 +82 +10 +bc +40 +08 +20 +c7 +60 +5c +20 +33 +40 +93 +00 +45 +10 +8d +00 +96 +10 +73 +00 +3e +10 +dc +00 +f2 +20 +a9 +00 +74 +10 +54 +00 +dd +00 +4b +00 +9e +00 +3c +00 +83 +00 +28 +00 +7c +00 +2c +00 +71 +00 +22 +00 +67 +00 +22 +00 +61 +00 +24 +00 +62 +00 +24 +00 +57 +00 +20 +00 +4d +00 +1b +00 +5c +00 +27 +00 +55 +00 +20 +00 +58 +00 +2b +00 +5b +00 +23 +00 +52 +00 +1c +00 +5a +00 +28 +00 +66 +00 +25 +00 +5f +00 +20 +00 +52 +00 +22 +00 +4f +00 +21 +00 +59 +00 +1d +00 +57 +00 +1a +00 +49 +00 +1b +00 +41 +00 +1d +00 +45 +00 +22 +00 +3f +00 +16 +00 +39 +00 +1f +00 +36 +00 +20 +00 +4d +00 +3d +00 +d6 +10 +65 +00 +95 +00 +2b +00 +79 +00 +3f +00 +e0 +00 +41 +00 +7f +00 +2d +00 +69 +00 +29 +00 +68 +00 +1f +00 +56 +00 +1e +00 +65 +00 +69 +00 +22 +10 +46 +00 +a4 +00 +1d +00 +54 +00 +12 +00 +3b +00 +14 +00 +3e +00 +15 +00 +38 +00 +10 +00 +3c +00 +18 +00 +3f +00 +14 +00 +40 +00 +17 +00 +38 +00 +15 +00 +35 +00 +10 +00 +44 +00 +14 +00 +32 +00 +16 +00 +3d +00 +14 +00 +3c +00 +10 +00 +38 +00 +14 +00 +49 +00 +1d +00 +4f +00 +19 +00 +46 +00 +1c +00 +44 +00 +15 +00 +3f +00 +12 +00 +26 +00 +0f +00 +31 +00 +12 +00 +32 +00 +12 +00 +30 +00 +10 +00 +23 +00 +0c +00 +22 +00 +12 +00 +23 +00 +0f +00 +24 +00 +0e +00 +28 +00 +14 +00 +28 +00 +12 +00 +23 +00 +0c +00 +2a +00 +16 +00 +2e +00 +17 +00 +38 +00 +15 +00 +31 +00 +10 +00 +32 +00 +0e +00 +2d +00 +0f +00 +37 +00 +15 +00 +34 +00 +11 +00 +3d +00 +15 +00 +39 +00 +1d +00 +4a +00 +18 +00 +53 +00 +14 +00 +4f +00 +1a +00 +48 +00 +16 +00 +43 +00 +19 +00 +46 +00 +1a +00 +3e +00 +19 +00 +38 +00 +18 +00 +3d +00 +15 +00 +31 +00 +19 +00 +41 +00 +20 +00 +45 +00 +1c +00 +49 +00 +42 +00 +9d +10 +b1 +00 +ef +10 +53 +00 +b9 +00 +51 +00 +ee +00 +31 +00 +b2 +00 +90 +00 +5c +30 +0a +20 +fa +50 +6c +10 +95 +20 +3a +00 +6f +00 +1a +00 +58 +00 +28 +00 +8c +00 +42 +00 +65 +00 +1e +00 +63 +00 +40 +00 +66 +00 +1b +00 +40 +00 +2d +00 +7a +00 +28 +00 +61 +00 +22 +00 +51 +00 +15 +00 +37 +00 +24 +00 +d2 +00 +2a +00 +5e +00 +20 +00 +34 +00 +18 +00 +41 +00 +18 +00 +40 +00 +12 +00 +42 +00 +17 +00 +34 +00 +12 +00 +37 +00 +1a +00 +3d +00 +1a +00 +3c +00 +18 +00 +32 +00 +19 +00 +43 +00 +1a +00 +55 +00 +1d +00 +52 +00 +2b +00 +b2 +00 +5f +00 +a2 +00 +24 +00 +68 +00 +1c +00 +58 +00 +43 +00 +6b +10 +40 +00 +6a +00 +18 +00 +4b +00 +1a +00 +5e +00 +6b +00 +6b +10 +54 +00 +a8 +00 +23 +00 +44 +00 +0f +00 +2f +00 +14 +00 +34 +00 +11 +00 +35 +00 +18 +00 +37 +00 +11 +00 +30 +00 +16 +00 +47 +00 +23 +00 +5e +00 +1f +00 +57 +00 +27 +00 +73 +00 +2f +00 +72 +00 +2a +00 +67 +00 +37 +00 +b6 +10 +3b +10 +c0 +30 +ef +10 +d2 +40 +26 +10 +21 +30 +51 +10 +e7 +20 +80 +00 +37 +10 +6b +00 +05 +10 +52 +00 +10 +10 +33 +00 +81 +00 +45 +00 +4b +20 +5a +10 +15 +20 +41 +00 +a4 +00 +28 +00 +5b +00 +1d +00 +4f +00 +18 +00 +56 +00 +1a +00 +4d +00 +18 +00 +58 +00 +36 +00 +52 +00 +1b +00 +49 +00 +00 +00 +00 +00 +1e +00 +21 +00 +3b +00 +3c +00 +5d +00 +4b +00 +7a +00 +5a +00 +95 +00 +5f +00 +a0 +00 +5f +00 +9c +00 +62 +00 +98 +00 +5e +00 +9a +00 +6e +00 +9b +00 +6f +00 +a2 +00 +6a +00 +90 +00 +65 +00 +a8 +00 +76 +00 +9e +00 +76 +00 +b0 +00 +78 +00 +ab +00 +6b +00 +a5 +00 +72 +00 +a0 +00 +74 +00 +8d +00 +78 +00 +9a +00 +6e +00 +a1 +00 +67 +00 +b4 +00 +56 +00 +96 +00 +5c +00 +e4 +00 +5c +00 +89 +00 +4c +00 +60 +00 +43 +00 +61 +00 +42 +00 +67 +00 +41 +00 +65 +00 +52 +00 +8c +00 +61 +00 +98 +00 +47 +00 +97 +00 +4d +00 +7a +00 +59 +00 +89 +00 +82 +00 +aa +00 +ff +00 +11 +20 +61 +10 +fe +10 +58 +10 +97 +10 +aa +20 +fb +50 +80 +40 +97 +70 +d0 +20 +50 +20 +0c +10 +36 +10 +90 +10 +a9 +30 +96 +40 +25 +90 +a6 +50 +b7 +80 +fa +40 +a8 +70 +52 +50 +f0 +80 +0b +40 +75 +40 +b6 +20 +ed +40 +5a +50 +07 +90 +7c +60 +f2 +90 +f3 +60 +f2 +90 +ea +60 +37 +a0 +23 +70 +5f +a0 +2a +70 +56 +a0 +f3 +60 +9f +90 +f9 +60 +ed +90 +1c +70 +48 +a0 +2c +70 +c1 +a0 +08 +70 +41 +a0 +78 +60 +6b +90 +98 +50 +aa +70 +25 +30 +5e +20 +24 +10 +18 +10 +a2 +00 +de +00 +a2 +00 +e9 +00 +a7 +00 +20 +10 +7c +10 +51 +30 +ac +20 +4e +30 +f4 +00 +df +00 +a5 +00 +d7 +00 +fd +00 +7a +10 +d3 +00 +0d +10 +9c +00 +17 +10 +fe +10 +89 +40 +17 +20 +ad +10 +a3 +00 +db +00 +9a +00 +f0 +00 +d0 +00 +b0 +10 +cc +10 +d1 +40 +0f +20 +d4 +20 +e4 +20 +16 +40 +13 +30 +fc +50 +3e +20 +f3 +20 +2b +10 +57 +10 +dc +00 +2a +10 +ed +00 +a5 +10 +ea +20 +85 +50 +35 +30 +8d +20 +21 +10 +ca +00 +8d +00 +9e +00 +59 +00 +91 +00 +89 +00 +a4 +00 +56 +00 +75 +00 +41 +00 +86 +00 +3e +00 +88 +00 +36 +00 +66 +00 +32 +00 +56 +00 +37 +00 +58 +00 +2c +00 +52 +00 +33 +00 +5a +00 +37 +00 +4f +00 +26 +00 +44 +00 +31 +00 +44 +00 +35 +00 +61 +00 +38 +00 +52 +00 +37 +00 +4d +00 +29 +00 +52 +00 +2d +00 +4f +00 +2a +00 +53 +00 +29 +00 +52 +00 +2f +00 +46 +00 +25 +00 +40 +00 +2d +00 +48 +00 +21 +00 +4e +00 +2d +00 +3e +00 +27 +00 +3d +00 +3e +00 +8f +00 +5f +00 +f7 +00 +69 +00 +6b +00 +56 +00 +02 +10 +30 +10 +b4 +20 +ab +00 +b2 +00 +37 +10 +e9 +00 +74 +00 +62 +00 +4a +00 +65 +00 +48 +00 +79 +00 +41 +00 +bd +00 +48 +00 +67 +00 +31 +00 +41 +00 +29 +00 +3c +00 +1e +00 +38 +00 +21 +00 +3a +00 +29 +00 +41 +00 +22 +00 +3c +00 +24 +00 +39 +00 +26 +00 +37 +00 +1d +00 +37 +00 +1c +00 +33 +00 +20 +00 +35 +00 +20 +00 +41 +00 +22 +00 +30 +00 +23 +00 +3c +00 +23 +00 +44 +00 +25 +00 +42 +00 +22 +00 +46 +00 +26 +00 +31 +00 +1a +00 +38 +00 +1d +00 +33 +00 +20 +00 +31 +00 +21 +00 +2a +00 +16 +00 +28 +00 +19 +00 +23 +00 +1a +00 +1d +00 +19 +00 +25 +00 +15 +00 +2b +00 +16 +00 +2a +00 +13 +00 +2c +00 +1a +00 +2f +00 +15 +00 +2d +00 +1c +00 +35 +00 +1a +00 +36 +00 +21 +00 +2c +00 +1a +00 +2c +00 +1e +00 +38 +00 +17 +00 +33 +00 +18 +00 +3b +00 +25 +00 +3a +00 +23 +00 +34 +00 +29 +00 +41 +00 +30 +00 +40 +00 +2d +00 +37 +00 +28 +00 +37 +00 +25 +00 +45 +00 +23 +00 +3f +00 +1d +00 +4e +00 +1c +00 +39 +00 +1e +00 +35 +00 +21 +00 +3d +00 +25 +00 +42 +00 +34 +00 +44 +00 +7f +00 +ef +00 +eb +10 +c7 +40 +34 +10 +4f +10 +7e +00 +86 +00 +6d +00 +8e +00 +c3 +00 +e9 +00 +10 +10 +eb +10 +36 +10 +3c +20 +0a +10 +06 +10 +74 +00 +63 +00 +5d +00 +e8 +00 +37 +10 +a7 +10 +6c +00 +53 +00 +41 +00 +4a +00 +36 +00 +4d +00 +28 +00 +3d +00 +26 +00 +54 +00 +2b +00 +4d +00 +2a +00 +35 +00 +2d +00 +58 +00 +3b +00 +75 +00 +2a +00 +46 +00 +28 +00 +43 +00 +20 +00 +38 +00 +1a +00 +42 +00 +20 +00 +36 +00 +20 +00 +33 +00 +19 +00 +3b +00 +22 +00 +4c +00 +1c +00 +35 +00 +23 +00 +41 +00 +1c +00 +3e +00 +26 +00 +53 +00 +31 +00 +93 +00 +09 +10 +ad +20 +87 +00 +8b +00 +45 +00 +6a +00 +65 +00 +e2 +00 +52 +10 +e0 +10 +67 +00 +57 +00 +3b +00 +3b +00 +45 +00 +85 +00 +67 +00 +a5 +00 +69 +00 +78 +00 +36 +00 +3b +00 +20 +00 +35 +00 +20 +00 +37 +00 +1e +00 +37 +00 +20 +00 +33 +00 +22 +00 +3b +00 +22 +00 +54 +00 +25 +00 +56 +00 +40 +00 +b8 +00 +4e +00 +64 +00 +38 +00 +5e +00 +55 +00 +c9 +00 +e7 +00 +2f +20 +39 +20 +67 +50 +32 +30 +c3 +20 +9f +10 +08 +20 +2a +20 +5b +30 +10 +10 +d4 +00 +86 +00 +b3 +00 +70 +00 +87 +00 +70 +00 +a5 +00 +e2 +00 +9e +30 +39 +10 +1f +10 +69 +00 +77 +00 +30 +00 +59 +00 +27 +00 +58 +00 +2b +00 +4f +00 +2f +00 +55 +00 +9e +00 +67 +10 +66 +00 +63 +00 +3c +00 +00 +00 +00 +00 +0e +00 +2b +00 +14 +00 +53 +00 +22 +00 +6f +00 +29 +00 +86 +00 +2c +00 +97 +00 +38 +00 +9a +00 +34 +00 +97 +00 +39 +00 +9b +00 +30 +00 +99 +00 +35 +00 +ab +00 +3b +00 +98 +00 +3d +00 +a5 +00 +42 +00 +a4 +00 +33 +00 +9c +00 +3d +00 +a1 +00 +38 +00 +a4 +00 +39 +00 +a4 +00 +37 +00 +aa +00 +40 +00 +a8 +00 +3b +00 +ae +00 +33 +00 +a6 +00 +35 +00 +9a +00 +2c +00 +87 +00 +33 +00 +b2 +00 +3b +00 +7a +00 +2b +00 +65 +00 +24 +00 +65 +00 +20 +00 +60 +00 +2e +00 +7d +00 +32 +00 +8a +00 +33 +00 +90 +00 +30 +00 +83 +00 +2b +00 +85 +00 +3d +00 +a4 +00 +4e +00 +42 +10 +71 +00 +84 +10 +6f +00 +48 +10 +86 +00 +b3 +20 +77 +20 +0e +80 +9a +20 +e8 +40 +a3 +00 +64 +10 +6b +00 +97 +10 +fb +00 +1d +50 +08 +30 +4d +90 +ba +20 +10 +70 +20 +30 +e0 +80 +bd +20 +ae +50 +14 +10 +c9 +20 +e0 +10 +fc +70 +b0 +30 +ea +90 +ac +30 +21 +a0 +c3 +30 +55 +a0 +c0 +30 +3c +a0 +d0 +30 +59 +a0 +b0 +30 +20 +a0 +c2 +30 +ec +90 +ae +30 +11 +a0 +c0 +30 +48 +a0 +da +30 +b3 +a0 +94 +30 +01 +a0 +73 +30 +51 +90 +b5 +20 +69 +50 +dd +00 +ad +10 +60 +00 +02 +10 +51 +00 +e1 +00 +5b +00 +0e +10 +92 +00 +7d +20 +f8 +10 +05 +60 +5d +10 +03 +20 +50 +00 +d7 +00 +57 +00 +83 +10 +d2 +00 +ef +10 +59 +00 +dd +00 +9a +00 +33 +30 +d8 +10 +22 +50 +e1 +00 +28 +10 +4b +00 +d8 +00 +54 +00 +e5 +00 +61 +00 +c2 +10 +c5 +00 +44 +20 +01 +10 +d6 +30 +1a +10 +f7 +20 +78 +10 +e4 +20 +cd +00 +fd +10 +71 +00 +2a +10 +6e +00 +04 +10 +c8 +00 +61 +40 +8b +20 +3b +70 +5c +10 +d3 +10 +4b +00 +b2 +00 +3c +00 +a2 +00 +3f +00 +00 +10 +60 +00 +b0 +00 +30 +00 +81 +00 +30 +00 +7b +00 +33 +00 +6e +00 +20 +00 +5d +00 +28 +00 +64 +00 +22 +00 +5e +00 +22 +00 +60 +00 +1c +00 +66 +00 +1e +00 +54 +00 +18 +00 +4f +00 +1f +00 +5e +00 +17 +00 +59 +00 +17 +00 +55 +00 +22 +00 +50 +00 +1e +00 +51 +00 +1d +00 +59 +00 +20 +00 +59 +00 +1f +00 +47 +00 +17 +00 +4c +00 +23 +00 +52 +00 +1a +00 +4d +00 +1e +00 +49 +00 +1f +00 +48 +00 +1b +00 +49 +00 +28 +00 +79 +00 +2e +00 +a2 +00 +20 +00 +64 +00 +32 +00 +f2 +00 +93 +00 +53 +10 +e9 +00 +12 +40 +22 +10 +2d +10 +28 +00 +5d +00 +22 +00 +63 +00 +24 +00 +6d +00 +29 +00 +6b +00 +24 +00 +50 +00 +1d +00 +48 +00 +14 +00 +33 +00 +14 +00 +3e +00 +15 +00 +39 +00 +15 +00 +35 +00 +16 +00 +3a +00 +14 +00 +37 +00 +16 +00 +40 +00 +15 +00 +3f +00 +16 +00 +32 +00 +17 +00 +42 +00 +1d +00 +4a +00 +17 +00 +47 +00 +16 +00 +35 +00 +1a +00 +42 +00 +15 +00 +36 +00 +19 +00 +3b +00 +14 +00 +32 +00 +12 +00 +32 +00 +12 +00 +28 +00 +12 +00 +29 +00 +16 +00 +2f +00 +0d +00 +2c +00 +0d +00 +25 +00 +0f +00 +22 +00 +10 +00 +27 +00 +0f +00 +29 +00 +15 +00 +35 +00 +13 +00 +23 +00 +0c +00 +2e +00 +17 +00 +3b +00 +14 +00 +36 +00 +16 +00 +33 +00 +11 +00 +37 +00 +11 +00 +42 +00 +13 +00 +35 +00 +18 +00 +31 +00 +17 +00 +39 +00 +16 +00 +34 +00 +17 +00 +40 +00 +1a +00 +73 +00 +1a +00 +38 +00 +20 +00 +36 +00 +16 +00 +42 +00 +18 +00 +4a +00 +17 +00 +3c +00 +18 +00 +40 +00 +12 +00 +3f +00 +15 +00 +3f +00 +13 +00 +43 +00 +22 +00 +54 +00 +4c +00 +e2 +00 +ed +00 +4c +30 +c9 +00 +9c +10 +52 +00 +a1 +00 +2a +00 +75 +00 +4c +00 +5b +10 +4f +00 +12 +10 +63 +00 +4b +10 +60 +00 +1d +10 +38 +00 +81 +00 +21 +00 +5f +00 +c8 +00 +78 +20 +57 +00 +a4 +00 +21 +00 +62 +00 +15 +00 +47 +00 +1b +00 +49 +00 +19 +00 +4a +00 +18 +00 +3b +00 +1b +00 +3f +00 +15 +00 +35 +00 +1d +00 +4d +00 +29 +00 +51 +00 +1d +00 +46 +00 +1a +00 +3b +00 +18 +00 +3a +00 +13 +00 +35 +00 +1a +00 +36 +00 +16 +00 +34 +00 +15 +00 +3d +00 +15 +00 +3c +00 +1f +00 +40 +00 +19 +00 +3f +00 +1e +00 +4d +00 +23 +00 +5a +00 +2f +00 +2f +10 +6f +00 +df +00 +30 +00 +6a +00 +27 +00 +b9 +00 +c2 +00 +ea +10 +74 +00 +ad +00 +1d +00 +51 +00 +39 +00 +7e +00 +34 +00 +95 +00 +53 +00 +b4 +00 +1d +00 +3e +00 +1b +00 +2a +00 +12 +00 +2f +00 +10 +00 +2a +00 +14 +00 +2b +00 +15 +00 +40 +00 +16 +00 +43 +00 +12 +00 +3c +00 +1a +00 +4b +00 +33 +00 +76 +00 +1a +00 +5c +00 +22 +00 +6a +00 +4c +00 +0b +10 +66 +00 +17 +20 +5a +20 +11 +60 +dd +00 +c6 +10 +90 +00 +d8 +20 +d8 +00 +51 +10 +42 +00 +92 +00 +3a +00 +a4 +00 +2d +00 +68 +00 +30 +00 +ca +00 +5f +00 +1d +10 +52 +00 +a0 +00 +28 +00 +6d +00 +2a +00 +53 +00 +1d +00 +59 +00 +21 +00 +44 +00 +30 +00 +91 +10 +e2 +00 +15 +10 +29 +00 +53 +00 +00 +00 +00 +00 +21 +00 +2c +00 +3c +00 +46 +00 +6d +00 +56 +00 +8f +00 +5e +00 +89 +00 +66 +00 +9d +00 +71 +00 +a0 +00 +69 +00 +91 +00 +6a +00 +99 +00 +62 +00 +85 +00 +6a +00 +a8 +00 +66 +00 +96 +00 +64 +00 +a3 +00 +69 +00 +a0 +00 +79 +00 +ac +00 +6b +00 +9e +00 +6f +00 +ab +00 +79 +00 +a2 +00 +80 +00 +a6 +00 +6b +00 +9f +00 +68 +00 +a7 +00 +67 +00 +92 +00 +5f +00 +7d +00 +4b +00 +74 +00 +48 +00 +6d +00 +47 +00 +6a +00 +49 +00 +62 +00 +3b +00 +6d +00 +46 +00 +67 +00 +3e +00 +7d +00 +58 +00 +94 +00 +52 +00 +7b +00 +4a +00 +73 +00 +4f +00 +89 +00 +31 +10 +54 +20 +98 +10 +a8 +10 +d8 +00 +18 +10 +f2 +00 +3d +10 +d5 +20 +77 +60 +b6 +40 +f6 +70 +f6 +20 +59 +20 +22 +10 +29 +10 +32 +10 +fc +10 +d5 +20 +3f +70 +c9 +40 +f9 +60 +9f +50 +4b +80 +03 +50 +da +60 +96 +30 +36 +30 +8c +30 +59 +60 +c1 +50 +b1 +90 +b2 +60 +53 +a0 +15 +70 +63 +a0 +16 +70 +ac +a0 +46 +70 +59 +a0 +1c +70 +50 +a0 +fe +60 +01 +a0 +a0 +60 +04 +a0 +c1 +60 +70 +a0 +bd +60 +2b +a0 +f4 +60 +7b +a0 +a5 +60 +ef +90 +30 +60 +78 +80 +f7 +30 +0f +40 +76 +10 +27 +10 +a3 +00 +f7 +00 +93 +00 +fb +00 +4a +10 +56 +20 +ad +20 +0c +40 +d2 +20 +ec +30 +8c +10 +32 +10 +b0 +00 +d7 +00 +a9 +00 +59 +10 +b6 +00 +06 +10 +a0 +00 +21 +10 +ce +00 +ea +10 +29 +10 +ed +10 +d9 +00 +e1 +00 +7c +00 +b0 +00 +bd +00 +0f +10 +f0 +00 +8e +10 +31 +10 +c3 +10 +65 +10 +81 +20 +01 +20 +b3 +20 +ac +10 +74 +10 +31 +10 +e3 +10 +07 +20 +94 +10 +8b +10 +01 +20 +8d +30 +43 +70 +e4 +40 +48 +60 +b5 +20 +86 +10 +f4 +00 +b0 +00 +78 +00 +8c +00 +60 +00 +d0 +00 +9e +00 +a7 +00 +4b +00 +89 +00 +4e +00 +80 +00 +45 +00 +6e +00 +3a +00 +66 +00 +44 +00 +62 +00 +36 +00 +59 +00 +32 +00 +57 +00 +32 +00 +53 +00 +2d +00 +50 +00 +2c +00 +4d +00 +43 +00 +64 +00 +36 +00 +5c +00 +35 +00 +4b +00 +32 +00 +5c +00 +2e +00 +63 +00 +34 +00 +4d +00 +30 +00 +50 +00 +2d +00 +51 +00 +2b +00 +54 +00 +4f +00 +55 +00 +39 +00 +4c +00 +33 +00 +42 +00 +27 +00 +55 +00 +32 +00 +65 +00 +33 +00 +63 +00 +42 +00 +58 +00 +4f +00 +5c +00 +46 +00 +95 +00 +97 +00 +68 +10 +77 +10 +b8 +30 +e1 +00 +a1 +00 +4a +00 +66 +00 +32 +00 +65 +00 +3f +00 +66 +00 +3c +00 +4e +00 +2d +00 +3e +00 +1f +00 +47 +00 +24 +00 +35 +00 +22 +00 +36 +00 +27 +00 +3d +00 +27 +00 +3f +00 +25 +00 +32 +00 +1c +00 +46 +00 +25 +00 +49 +00 +24 +00 +3c +00 +20 +00 +3b +00 +26 +00 +40 +00 +2b +00 +67 +00 +30 +00 +45 +00 +1e +00 +49 +00 +18 +00 +3d +00 +1f +00 +37 +00 +1e +00 +33 +00 +1a +00 +34 +00 +1a +00 +29 +00 +1f +00 +2c +00 +1c +00 +2d +00 +1c +00 +29 +00 +19 +00 +27 +00 +12 +00 +22 +00 +18 +00 +2e +00 +18 +00 +27 +00 +24 +00 +26 +00 +1b +00 +26 +00 +1a +00 +2a +00 +18 +00 +30 +00 +1d +00 +2f +00 +18 +00 +34 +00 +1b +00 +3d +00 +22 +00 +2a +00 +25 +00 +39 +00 +26 +00 +40 +00 +23 +00 +36 +00 +20 +00 +3b +00 +20 +00 +34 +00 +28 +00 +43 +00 +27 +00 +4f +00 +26 +00 +2e +00 +20 +00 +39 +00 +1f +00 +37 +00 +23 +00 +40 +00 +1e +00 +47 +00 +27 +00 +37 +00 +20 +00 +3b +00 +2c +00 +52 +00 +93 +00 +97 +00 +06 +10 +ec +10 +43 +10 +0f +20 +c3 +00 +0b +10 +90 +00 +b9 +00 +62 +00 +68 +00 +78 +00 +bc +10 +a6 +10 +e7 +20 +66 +10 +2c +10 +d2 +00 +ee +00 +34 +10 +1b +10 +e3 +00 +85 +00 +76 +00 +02 +10 +7a +00 +d6 +00 +82 +00 +08 +10 +60 +00 +4e +00 +2e +00 +3c +00 +2d +00 +3e +00 +2d +00 +41 +00 +2b +00 +3c +00 +25 +00 +3f +00 +2f +00 +53 +00 +a3 +00 +af +00 +41 +00 +4e +00 +28 +00 +44 +00 +1d +00 +43 +00 +21 +00 +3c +00 +20 +00 +30 +00 +22 +00 +37 +00 +27 +00 +3d +00 +22 +00 +39 +00 +28 +00 +46 +00 +25 +00 +3e +00 +22 +00 +50 +00 +46 +00 +72 +00 +79 +00 +93 +00 +7a +00 +99 +00 +60 +00 +a3 +00 +51 +00 +68 +00 +57 +00 +0a +10 +79 +00 +c0 +00 +5f +00 +5f +00 +5b +00 +07 +10 +e1 +00 +b2 +10 +87 +10 +f3 +10 +89 +00 +6c +00 +2d +00 +3e +00 +1e +00 +2a +00 +1d +00 +30 +00 +1d +00 +38 +00 +24 +00 +3c +00 +28 +00 +3a +00 +25 +00 +38 +00 +20 +00 +3b +00 +40 +00 +51 +00 +32 +00 +4d +00 +32 +00 +53 +00 +42 +00 +85 +00 +89 +00 +cc +00 +09 +10 +ce +30 +35 +30 +46 +50 +4e +20 +8d +10 +fc +00 +11 +10 +9a +00 +ad +00 +55 +00 +73 +00 +4c +00 +72 +00 +5e +00 +ff +00 +7d +00 +b4 +00 +63 +00 +9e +00 +5a +00 +79 +00 +79 +00 +6f +00 +46 +00 +60 +00 +4b +00 +4f +00 +58 +00 +8e +00 +6a +10 +ec +20 +ae +00 +8b +00 +41 +00 +00 +00 +00 +00 +10 +00 +35 +00 +1c +00 +57 +00 +2c +00 +7a +00 +2d +00 +8b +00 +34 +00 +98 +00 +30 +00 +8f +00 +33 +00 +9c +00 +2e +00 +a2 +00 +38 +00 +8d +00 +34 +00 +96 +00 +2f +00 +98 +00 +37 +00 +a9 +00 +40 +00 +97 +00 +3e +00 +9f +00 +3e +00 +9e +00 +3a +00 +a0 +00 +3f +00 +9c +00 +3c +00 +a8 +00 +38 +00 +a6 +00 +39 +00 +97 +00 +39 +00 +a6 +00 +36 +00 +8b +00 +2a +00 +79 +00 +2b +00 +6b +00 +23 +00 +68 +00 +24 +00 +5b +00 +28 +00 +69 +00 +21 +00 +6b +00 +2a +00 +6e +00 +2b +00 +76 +00 +2e +00 +84 +00 +27 +00 +81 +00 +29 +00 +8b +00 +42 +00 +7b +10 +b2 +10 +fa +30 +92 +00 +1d +10 +4c +00 +e3 +00 +82 +00 +75 +30 +8c +20 +e5 +70 +c3 +20 +fb +40 +ad +00 +5b +10 +5f +00 +3a +10 +89 +00 +e9 +20 +58 +20 +b2 +60 +7c +20 +e1 +70 +04 +30 +6f +70 +45 +20 +d4 +50 +46 +10 +1a +50 +f5 +20 +1a +90 +82 +30 +21 +a0 +96 +30 +28 +a0 +c6 +30 +8c +a0 +ce +30 +39 +a0 +a1 +30 +4b +a0 +b4 +30 +04 +a0 +77 +30 +eb +90 +9c +30 +fa +90 +a2 +30 +5b +a0 +c3 +30 +62 +a0 +9b +30 +0a +a0 +87 +30 +a0 +90 +c5 +20 +9d +60 +87 +10 +62 +20 +64 +00 +01 +10 +5a +00 +eb +00 +67 +00 +d8 +10 +c7 +10 +70 +50 +74 +20 +5e +60 +69 +10 +a3 +20 +86 +00 +08 +10 +5b +00 +d9 +00 +51 +00 +fd +00 +4f +00 +e2 +00 +43 +00 +12 +10 +7f +00 +5b +10 +6e +00 +23 +10 +4e +00 +bd +00 +48 +00 +d2 +00 +56 +00 +21 +10 +6a +00 +2b +10 +65 +00 +5d +10 +a2 +00 +5b +20 +34 +10 +5a +20 +7a +00 +37 +10 +05 +10 +e0 +40 +3a +10 +fc +10 +4f +10 +07 +60 +ff +20 +53 +80 +af +20 +06 +60 +cf +00 +5f +10 +59 +00 +b3 +00 +36 +00 +8d +00 +49 +00 +d9 +00 +3e +00 +86 +00 +39 +00 +89 +00 +2f +00 +8e +00 +25 +00 +70 +00 +21 +00 +68 +00 +24 +00 +6a +00 +24 +00 +61 +00 +24 +00 +55 +00 +20 +00 +4b +00 +18 +00 +47 +00 +2c +00 +55 +00 +26 +00 +51 +00 +23 +00 +4b +00 +1e +00 +53 +00 +1d +00 +5b +00 +1a +00 +55 +00 +22 +00 +4b +00 +22 +00 +54 +00 +1c +00 +5d +00 +28 +00 +89 +00 +56 +00 +6a +00 +1c +00 +49 +00 +1a +00 +47 +00 +10 +00 +50 +00 +1d +00 +55 +00 +20 +00 +58 +00 +1d +00 +51 +00 +22 +00 +6b +00 +32 +00 +93 +00 +4a +00 +10 +10 +88 +00 +54 +10 +2f +00 +58 +00 +1f +00 +5d +00 +24 +00 +57 +00 +26 +00 +4c +00 +21 +00 +3e +00 +1a +00 +3c +00 +10 +00 +3b +00 +15 +00 +3a +00 +12 +00 +38 +00 +18 +00 +42 +00 +1a +00 +3a +00 +17 +00 +38 +00 +17 +00 +3f +00 +16 +00 +43 +00 +15 +00 +3a +00 +12 +00 +39 +00 +19 +00 +40 +00 +23 +00 +65 +00 +1b +00 +35 +00 +15 +00 +3e +00 +15 +00 +32 +00 +12 +00 +32 +00 +15 +00 +30 +00 +14 +00 +24 +00 +13 +00 +2a +00 +16 +00 +25 +00 +0f +00 +23 +00 +10 +00 +23 +00 +12 +00 +22 +00 +12 +00 +2c +00 +0f +00 +32 +00 +0f +00 +2b +00 +13 +00 +29 +00 +0b +00 +29 +00 +11 +00 +2c +00 +15 +00 +38 +00 +12 +00 +24 +00 +16 +00 +34 +00 +1b +00 +3c +00 +18 +00 +40 +00 +1f +00 +3f +00 +14 +00 +45 +00 +17 +00 +3d +00 +19 +00 +37 +00 +17 +00 +43 +00 +15 +00 +40 +00 +16 +00 +3f +00 +16 +00 +3c +00 +13 +00 +3a +00 +13 +00 +33 +00 +1d +00 +43 +00 +14 +00 +35 +00 +16 +00 +3b +00 +19 +00 +33 +00 +3d +00 +ed +10 +b1 +00 +de +20 +26 +10 +df +10 +50 +00 +ef +00 +47 +00 +ac +00 +2f +00 +7e +00 +22 +00 +6a +00 +81 +00 +b6 +10 +13 +10 +e4 +20 +5f +00 +e4 +00 +85 +00 +f9 +20 +ae +10 +f6 +20 +3f +00 +94 +00 +49 +00 +a2 +00 +2c +00 +9e +00 +44 +00 +a8 +00 +1c +00 +46 +00 +1e +00 +4a +00 +15 +00 +47 +00 +18 +00 +45 +00 +16 +00 +2c +00 +19 +00 +52 +00 +54 +00 +8a +10 +52 +00 +68 +00 +22 +00 +4a +00 +1e +00 +47 +00 +1c +00 +3a +00 +1b +00 +4c +00 +15 +00 +37 +00 +1f +00 +42 +00 +1b +00 +44 +00 +15 +00 +3c +00 +15 +00 +3d +00 +1c +00 +47 +00 +24 +00 +7f +00 +a9 +00 +1d +10 +bb +00 +11 +10 +32 +00 +9f +00 +40 +00 +7d +00 +28 +00 +74 +00 +2c +00 +d9 +00 +3d +00 +96 +00 +1f +00 +67 +00 +53 +00 +1c +10 +63 +10 +3b +30 +70 +00 +a7 +00 +24 +00 +48 +00 +15 +00 +3a +00 +17 +00 +2d +00 +12 +00 +3d +00 +12 +00 +33 +00 +17 +00 +33 +00 +15 +00 +4b +00 +1d +00 +4a +00 +17 +00 +92 +00 +46 +00 +55 +00 +1e +00 +46 +00 +16 +00 +41 +00 +36 +00 +c6 +00 +33 +00 +05 +10 +bb +00 +6b +40 +6f +20 +76 +40 +7f +00 +05 +10 +3b +00 +b5 +00 +2f +00 +5e +00 +25 +00 +86 +00 +5e +00 +98 +00 +46 +00 +a8 +00 +32 +00 +88 +00 +29 +00 +64 +00 +5c +00 +ed +10 +9a +00 +9d +00 +4d +00 +ca +00 +3b +00 +70 +00 +45 +00 +50 +20 +a6 +00 +fb +00 +2b +00 +5b +00 +00 +00 +00 +00 +2b +00 +30 +00 +48 +00 +48 +00 +6e +00 +5c +00 +9e +00 +61 +00 +98 +00 +69 +00 +91 +00 +5f +00 +a1 +00 +72 +00 +9e +00 +6f +00 +8e +00 +6e +00 +a2 +00 +67 +00 +a2 +00 +70 +00 +a2 +00 +6d +00 +ac +00 +6e +00 +a7 +00 +7d +00 +9e +00 +74 +00 +a3 +00 +75 +00 +ba +00 +77 +00 +ae +00 +65 +00 +ba +00 +73 +00 +ae +00 +65 +00 +9c +00 +6d +00 +94 +00 +61 +00 +81 +00 +55 +00 +66 +00 +43 +00 +6d +00 +45 +00 +5f +00 +46 +00 +6d +00 +44 +00 +78 +00 +49 +00 +69 +00 +37 +00 +74 +00 +40 +00 +6e +00 +49 +00 +7f +00 +48 +00 +8c +00 +5a +00 +b1 +00 +bf +00 +ca +10 +94 +10 +18 +20 +bb +00 +c2 +00 +bd +00 +12 +10 +22 +20 +d1 +50 +fa +40 +25 +80 +96 +30 +ad +20 +38 +10 +2d +10 +17 +10 +82 +10 +2e +20 +ae +40 +40 +40 +c7 +70 +54 +50 +63 +70 +b5 +40 +66 +70 +66 +30 +f1 +30 +9b +40 +57 +80 +66 +60 +05 +a0 +0b +70 +68 +a0 +15 +70 +75 +a0 +22 +70 +8c +a0 +34 +70 +56 +a0 +02 +70 +51 +a0 +e0 +50 +7b +90 +e3 +40 +2f +80 +0b +50 +8a +90 +28 +60 +01 +a0 +a9 +60 +23 +a0 +af +60 +cc +90 +dc +50 +19 +80 +20 +40 +6b +50 +bc +10 +55 +10 +b3 +00 +f0 +00 +ab +00 +0a +10 +57 +10 +db +30 +33 +20 +ad +40 +57 +20 +0a +40 +a6 +10 +d9 +10 +de +00 +e6 +00 +91 +00 +e2 +00 +90 +00 +d4 +00 +87 +00 +d5 +00 +9c +00 +60 +10 +37 +10 +1d +20 +e8 +00 +ee +00 +b3 +00 +cf +00 +8e +00 +d7 +00 +eb +00 +f8 +00 +fa +00 +2a +10 +43 +10 +f2 +10 +44 +30 +82 +50 +8c +20 +d6 +10 +c0 +10 +a9 +20 +9e +30 +46 +60 +38 +40 +6c +50 +e9 +40 +48 +80 +92 +50 +7a +80 +f4 +40 +94 +50 +1e +20 +ff +10 +a8 +00 +a7 +00 +67 +00 +ae +00 +69 +00 +a6 +00 +5e +00 +97 +00 +5b +00 +84 +00 +58 +00 +8d +00 +73 +00 +8f +00 +51 +00 +76 +00 +3f +00 +63 +00 +36 +00 +58 +00 +33 +00 +65 +00 +32 +00 +4d +00 +30 +00 +58 +00 +30 +00 +5d +00 +33 +00 +5a +00 +34 +00 +4a +00 +30 +00 +58 +00 +28 +00 +45 +00 +2e +00 +50 +00 +29 +00 +51 +00 +2a +00 +4d +00 +32 +00 +5c +00 +a7 +00 +cb +10 +5a +00 +5e +00 +30 +00 +44 +00 +2e +00 +45 +00 +24 +00 +41 +00 +31 +00 +4f +00 +31 +00 +48 +00 +3b +00 +60 +00 +46 +00 +6f +00 +59 +00 +97 +00 +56 +00 +b7 +00 +77 +00 +87 +00 +31 +00 +53 +00 +2b +00 +50 +00 +35 +00 +5b +00 +2d +00 +48 +00 +2d +00 +49 +00 +1f +00 +45 +00 +20 +00 +2e +00 +24 +00 +43 +00 +26 +00 +43 +00 +24 +00 +39 +00 +26 +00 +46 +00 +1d +00 +3c +00 +20 +00 +46 +00 +22 +00 +34 +00 +1a +00 +2e +00 +22 +00 +35 +00 +1f +00 +56 +00 +2e +00 +52 +00 +26 +00 +3c +00 +21 +00 +33 +00 +1f +00 +32 +00 +1c +00 +30 +00 +18 +00 +32 +00 +20 +00 +27 +00 +1a +00 +2a +00 +1b +00 +1d +00 +17 +00 +21 +00 +17 +00 +26 +00 +1c +00 +36 +00 +1b +00 +30 +00 +1a +00 +2d +00 +15 +00 +2a +00 +1e +00 +2c +00 +18 +00 +2a +00 +17 +00 +2e +00 +1b +00 +35 +00 +13 +00 +3d +00 +18 +00 +36 +00 +22 +00 +40 +00 +31 +00 +f6 +00 +36 +00 +43 +00 +21 +00 +38 +00 +1e +00 +35 +00 +19 +00 +3a +00 +2c +00 +3b +00 +22 +00 +4b +00 +23 +00 +40 +00 +1a +00 +31 +00 +1b +00 +39 +00 +1e +00 +3b +00 +20 +00 +37 +00 +1c +00 +43 +00 +24 +00 +37 +00 +43 +00 +82 +00 +12 +10 +a7 +20 +08 +10 +85 +20 +27 +10 +06 +10 +83 +00 +93 +00 +66 +00 +75 +00 +64 +00 +6b +00 +68 +00 +a3 +00 +b2 +00 +a2 +10 +e0 +00 +16 +10 +01 +10 +2d +20 +2e +20 +ea +40 +3b +10 +35 +10 +e9 +00 +5e +10 +8e +10 +f6 +00 +97 +00 +8e +00 +4a +00 +7b +00 +41 +00 +66 +00 +68 +00 +5e +00 +30 +00 +4b +00 +2b +00 +3a +00 +27 +00 +3a +00 +3d +00 +d1 +00 +5c +00 +a1 +00 +4a +00 +5e +00 +2f +00 +3e +00 +23 +00 +3a +00 +21 +00 +39 +00 +21 +00 +44 +00 +29 +00 +81 +00 +3c +00 +42 +00 +22 +00 +48 +00 +24 +00 +4a +00 +20 +00 +4c +00 +2a +00 +51 +00 +bd +00 +30 +20 +fa +10 +87 +20 +b6 +00 +97 +00 +5b +00 +8f +00 +3c +00 +68 +00 +40 +00 +6f +00 +53 +00 +bd +00 +7a +00 +74 +00 +6c +00 +5c +00 +6c +00 +e5 +00 +85 +00 +e3 +00 +69 +00 +69 +00 +29 +00 +31 +00 +1f +00 +39 +00 +21 +00 +31 +00 +16 +00 +35 +00 +20 +00 +3d +00 +2a +00 +46 +00 +30 +00 +43 +00 +3c +00 +59 +00 +34 +00 +b8 +00 +3a +00 +4d +00 +32 +00 +3f +00 +36 +00 +4f +00 +65 +00 +71 +00 +bc +00 +02 +10 +15 +10 +70 +30 +7f +10 +d3 +10 +e4 +00 +97 +00 +72 +00 +7a +00 +47 +00 +5e +00 +35 +00 +9b +00 +4a +00 +71 +00 +4a +00 +70 +00 +41 +00 +5f +00 +45 +00 +b7 +00 +a6 +00 +a9 +10 +79 +00 +f6 +00 +fc +00 +6e +10 +6f +00 +99 +00 +70 +00 +d7 +00 +73 +00 +89 +00 +46 +00 +00 +00 +00 +00 +12 +00 +46 +00 +21 +00 +64 +00 +2f +00 +81 +00 +29 +00 +8a +00 +2c +00 +89 +00 +2b +00 +9c +00 +39 +00 +93 +00 +35 +00 +a2 +00 +31 +00 +a0 +00 +3b +00 +a5 +00 +3e +00 +99 +00 +3d +00 +98 +00 +39 +00 +a5 +00 +34 +00 +94 +00 +3e +00 +a4 +00 +32 +00 +ac +00 +3f +00 +ab +00 +3c +00 +ba +00 +35 +00 +a8 +00 +3b +00 +a9 +00 +41 +00 +9b +00 +3d +00 +a2 +00 +27 +00 +7a +00 +27 +00 +6d +00 +22 +00 +6d +00 +25 +00 +65 +00 +28 +00 +66 +00 +25 +00 +6d +00 +2d +00 +7d +00 +26 +00 +76 +00 +27 +00 +7c +00 +28 +00 +8a +00 +2f +00 +9a +00 +3d +00 +d9 +00 +96 +00 +0d +20 +7b +00 +f2 +00 +44 +00 +c6 +00 +6a +00 +c9 +10 +f2 +10 +af +70 +11 +30 +6c +70 +08 +10 +ae +10 +64 +00 +20 +10 +7b +00 +fe +10 +8c +10 +bd +60 +ee +20 +d3 +70 +5a +20 +8d +70 +66 +20 +6a +40 +d3 +10 +04 +70 +4a +30 +9a +90 +a6 +30 +4b +a0 +af +30 +76 +a0 +b4 +30 +8d +a0 +c7 +30 +6c +a0 +b9 +30 +5b +a0 +75 +30 +86 +80 +03 +20 +33 +50 +70 +10 +96 +40 +06 +20 +f8 +70 +75 +30 +e2 +90 +87 +30 +f6 +90 +4e +30 +8b +90 +85 +20 +07 +60 +71 +10 +b2 +20 +69 +00 +f7 +00 +5b +00 +dc +00 +5f +00 +6a +10 +b7 +00 +41 +20 +ce +00 +6a +20 +a1 +00 +c1 +10 +69 +00 +35 +10 +5c +00 +ca +00 +4f +00 +c7 +00 +44 +00 +b3 +00 +4e +00 +f2 +00 +5b +00 +b7 +10 +0f +10 +33 +20 +74 +00 +07 +10 +4e +00 +e1 +00 +5f +00 +23 +10 +87 +00 +4e +10 +68 +00 +40 +10 +20 +10 +aa +50 +a1 +20 +12 +50 +b9 +00 +ca +10 +a5 +10 +b2 +60 +a4 +20 +c7 +60 +cf +20 +39 +80 +16 +30 +ec +80 +2f +30 +4f +80 +78 +20 +58 +50 +9f +00 +1a +10 +39 +00 +ac +00 +3a +00 +af +00 +39 +00 +9a +00 +3c +00 +9d +00 +2e +00 +86 +00 +6c +00 +7f +10 +3d +00 +d2 +00 +3b +00 +6c +00 +20 +00 +5c +00 +1f +00 +63 +00 +20 +00 +50 +00 +1e +00 +54 +00 +22 +00 +59 +00 +1b +00 +51 +00 +1e +00 +54 +00 +22 +00 +52 +00 +24 +00 +4d +00 +23 +00 +57 +00 +21 +00 +55 +00 +1c +00 +59 +00 +1d +00 +46 +00 +23 +00 +a3 +00 +97 +00 +f1 +00 +22 +00 +53 +00 +14 +00 +42 +00 +1b +00 +41 +00 +1f +00 +41 +00 +1b +00 +42 +00 +1f +00 +46 +00 +22 +00 +56 +00 +1f +00 +5d +00 +21 +00 +90 +00 +33 +00 +83 +00 +15 +00 +48 +00 +1b +00 +44 +00 +12 +00 +4e +00 +1e +00 +44 +00 +1d +00 +47 +00 +16 +00 +40 +00 +18 +00 +3e +00 +14 +00 +3a +00 +1b +00 +44 +00 +1d +00 +43 +00 +17 +00 +40 +00 +18 +00 +46 +00 +1b +00 +40 +00 +18 +00 +41 +00 +1a +00 +3c +00 +13 +00 +3e +00 +12 +00 +3d +00 +2b +00 +52 +00 +18 +00 +3e +00 +19 +00 +39 +00 +13 +00 +30 +00 +0d +00 +26 +00 +0d +00 +26 +00 +10 +00 +30 +00 +0d +00 +27 +00 +11 +00 +2f +00 +10 +00 +1f +00 +13 +00 +24 +00 +13 +00 +2a +00 +10 +00 +33 +00 +0e +00 +30 +00 +0f +00 +36 +00 +10 +00 +2a +00 +12 +00 +32 +00 +15 +00 +33 +00 +11 +00 +34 +00 +17 +00 +29 +00 +16 +00 +30 +00 +17 +00 +38 +00 +1e +00 +42 +00 +28 +00 +6c +00 +16 +00 +3b +00 +18 +00 +38 +00 +16 +00 +34 +00 +0b +00 +3e +00 +16 +00 +37 +00 +19 +00 +40 +00 +16 +00 +37 +00 +16 +00 +2d +00 +19 +00 +33 +00 +16 +00 +2e +00 +12 +00 +3a +00 +1c +00 +4e +00 +16 +00 +42 +00 +54 +00 +11 +20 +82 +00 +35 +10 +0d +10 +06 +20 +46 +00 +81 +00 +25 +00 +55 +00 +1f +00 +78 +00 +4b +00 +7c +00 +38 +00 +c7 +00 +7a +00 +bb +10 +70 +00 +61 +10 +d6 +00 +2d +20 +b0 +00 +72 +10 +50 +00 +f7 +00 +bf +10 +19 +50 +98 +00 +e4 +00 +27 +00 +6b +00 +2a +00 +75 +00 +2e +00 +7a +00 +29 +00 +5d +00 +21 +00 +50 +00 +14 +00 +34 +00 +1e +00 +60 +00 +4b +00 +97 +00 +28 +00 +5e +00 +15 +00 +3a +00 +14 +00 +40 +00 +11 +00 +44 +00 +17 +00 +4b +00 +1b +00 +43 +00 +40 +00 +d1 +00 +1d +00 +4a +00 +1b +00 +42 +00 +16 +00 +45 +00 +16 +00 +53 +00 +32 +00 +58 +10 +c0 +10 +24 +50 +a3 +00 +16 +10 +41 +00 +85 +00 +2e +00 +69 +00 +22 +00 +66 +00 +22 +00 +77 +00 +34 +00 +3a +10 +72 +00 +a5 +00 +2c +00 +77 +00 +2b +00 +8e +00 +36 +00 +82 +00 +1b +00 +49 +00 +14 +00 +34 +00 +18 +00 +2d +00 +0f +00 +38 +00 +19 +00 +3b +00 +15 +00 +3f +00 +1c +00 +49 +00 +1d +00 +6a +00 +23 +00 +50 +00 +22 +00 +65 +00 +20 +00 +5a +00 +22 +00 +5e +00 +20 +00 +5f +00 +1f +00 +7f +00 +30 +00 +fa +00 +60 +00 +5b +10 +5f +00 +0d +10 +2d +00 +d0 +00 +2a +00 +5a +00 +25 +00 +6a +00 +2a +00 +73 +00 +23 +00 +5d +00 +21 +00 +5a +00 +1c +00 +62 +00 +37 +00 +c8 +00 +40 +00 +bc +00 +68 +00 +d3 +10 +5d +00 +bd +00 +2c +00 +92 +00 +29 +00 +82 +00 +27 +00 +56 +00 +00 +00 +00 +00 +39 +00 +39 +00 +5b +00 +4d +00 +7f +00 +5f +00 +86 +00 +6c +00 +9a +00 +71 +00 +89 +00 +68 +00 +a8 +00 +71 +00 +9c +00 +68 +00 +9c +00 +73 +00 +8d +00 +65 +00 +9a +00 +71 +00 +a0 +00 +70 +00 +af +00 +70 +00 +a1 +00 +76 +00 +8b +00 +7e +00 +b0 +00 +6b +00 +9d +00 +85 +00 +a2 +00 +75 +00 +ad +00 +7e +00 +b7 +00 +72 +00 +9e +00 +74 +00 +c1 +00 +e0 +00 +fc +00 +62 +00 +84 +00 +62 +00 +b2 +00 +92 +00 +92 +00 +48 +00 +5e +00 +46 +00 +65 +00 +43 +00 +66 +00 +41 +00 +76 +00 +46 +00 +80 +00 +4b +00 +7c +00 +72 +00 +98 +00 +6e +00 +9b +00 +bb +00 +30 +10 +bf +00 +27 +10 +97 +00 +b8 +00 +9e +00 +e5 +00 +a6 +10 +bd +30 +3d +40 +73 +80 +9d +40 +ad +50 +f7 +10 +57 +10 +2f +10 +4f +10 +ae +20 +5c +50 +9f +40 +83 +70 +e0 +40 +66 +70 +ac +40 +0f +60 +d8 +30 +dc +50 +99 +50 +38 +90 +7f +60 +30 +a0 +16 +70 +4a +a0 +4b +70 +c3 +a0 +56 +70 +6c +a0 +d7 +60 +c0 +a0 +01 +60 +6b +80 +73 +30 +11 +40 +82 +20 +02 +30 +5f +20 +02 +30 +f1 +20 +48 +70 +e9 +50 +e1 +90 +b5 +50 +f9 +80 +0d +40 +57 +50 +53 +20 +80 +20 +39 +10 +35 +10 +9e +00 +e3 +00 +a4 +00 +eb +00 +31 +10 +90 +10 +46 +20 +52 +20 +2d +20 +c2 +10 +97 +10 +ac +10 +1f +10 +34 +10 +9d +00 +d2 +00 +7c +00 +c1 +00 +7f +00 +c9 +00 +a2 +00 +f5 +00 +71 +10 +f5 +30 +9a +20 +8f +30 +fb +00 +07 +10 +00 +10 +d2 +10 +78 +20 +a9 +30 +d1 +10 +74 +10 +70 +20 +96 +30 +25 +40 +0c +80 +80 +40 +e0 +30 +08 +40 +c5 +50 +08 +50 +4c +80 +ca +50 +09 +80 +07 +60 +2b +90 +24 +60 +32 +90 +67 +40 +2c +60 +2b +20 +29 +20 +fa +00 +dc +00 +ca +00 +d0 +00 +b5 +00 +b9 +00 +6e +00 +9e +00 +61 +00 +96 +00 +5b +00 +c0 +00 +85 +00 +22 +10 +63 +00 +91 +00 +56 +00 +66 +00 +43 +00 +52 +00 +3a +00 +54 +00 +36 +00 +4b +00 +30 +00 +62 +00 +2f +00 +53 +00 +30 +00 +54 +00 +31 +00 +4f +00 +3a +00 +5a +00 +35 +00 +50 +00 +2d +00 +5e +00 +33 +00 +55 +00 +2b +00 +53 +00 +32 +00 +4d +00 +3e +00 +69 +00 +3f +00 +8b +00 +2c +00 +46 +00 +24 +00 +47 +00 +28 +00 +44 +00 +25 +00 +4f +00 +2d +00 +48 +00 +2a +00 +50 +00 +30 +00 +5b +00 +3c +00 +66 +00 +52 +00 +72 +00 +49 +00 +56 +00 +31 +00 +50 +00 +23 +00 +45 +00 +26 +00 +49 +00 +29 +00 +4a +00 +23 +00 +3c +00 +27 +00 +44 +00 +21 +00 +3f +00 +20 +00 +32 +00 +1e +00 +4c +00 +27 +00 +44 +00 +2c +00 +3f +00 +22 +00 +42 +00 +1e +00 +38 +00 +26 +00 +35 +00 +23 +00 +37 +00 +1b +00 +3f +00 +22 +00 +42 +00 +24 +00 +3a +00 +19 +00 +2e +00 +21 +00 +31 +00 +1e +00 +2d +00 +1d +00 +2d +00 +20 +00 +2c +00 +19 +00 +2e +00 +1b +00 +34 +00 +1b +00 +2e +00 +1a +00 +2b +00 +18 +00 +2c +00 +1e +00 +2d +00 +15 +00 +34 +00 +11 +00 +26 +00 +19 +00 +2e +00 +1c +00 +2f +00 +16 +00 +2c +00 +1c +00 +37 +00 +18 +00 +37 +00 +1d +00 +33 +00 +25 +00 +3d +00 +27 +00 +40 +00 +2b +00 +46 +00 +22 +00 +50 +00 +24 +00 +3d +00 +20 +00 +3b +00 +23 +00 +3a +00 +25 +00 +3d +00 +22 +00 +43 +00 +1f +00 +3e +00 +21 +00 +35 +00 +19 +00 +3b +00 +28 +00 +2e +00 +1b +00 +35 +00 +1e +00 +45 +00 +21 +00 +46 +00 +43 +00 +a0 +00 +a2 +00 +1b +10 +93 +00 +26 +10 +9f +00 +d3 +00 +69 +00 +56 +00 +3e +00 +67 +00 +02 +10 +09 +20 +78 +00 +9a +00 +b6 +00 +b6 +10 +3e +10 +d0 +20 +cf +10 +7e +30 +5c +10 +68 +10 +c5 +00 +e7 +00 +39 +10 +0d +40 +6b +20 +62 +20 +e1 +00 +99 +00 +5c +00 +75 +00 +6e +00 +70 +00 +4a +00 +6a +00 +1b +10 +dc +10 +6e +00 +62 +00 +3e +00 +4b +00 +9e +00 +e1 +10 +90 +00 +74 +00 +46 +00 +4a +00 +29 +00 +35 +00 +24 +00 +3a +00 +21 +00 +40 +00 +31 +00 +42 +00 +2b +00 +52 +00 +36 +00 +7b +00 +2b +00 +43 +00 +1f +00 +3e +00 +27 +00 +53 +00 +42 +00 +7b +00 +99 +00 +d3 +20 +df +10 +bb +20 +e5 +00 +d1 +00 +76 +00 +67 +00 +4d +00 +57 +00 +38 +00 +56 +00 +44 +00 +6d +00 +e5 +00 +ef +30 +85 +10 +26 +10 +74 +00 +73 +00 +4e +00 +77 +00 +49 +00 +47 +00 +2e +00 +32 +00 +1d +00 +37 +00 +20 +00 +34 +00 +1f +00 +37 +00 +2e +00 +43 +00 +28 +00 +48 +00 +30 +00 +5c +00 +31 +00 +52 +00 +29 +00 +4b +00 +2b +00 +4c +00 +33 +00 +5e +00 +c8 +00 +f0 +10 +9f +00 +7d +00 +77 +00 +77 +00 +8b +00 +d1 +00 +88 +00 +ac +00 +75 +00 +73 +00 +48 +00 +63 +00 +35 +00 +5b +00 +39 +00 +64 +00 +34 +00 +5f +00 +30 +00 +4f +00 +2f +00 +4a +00 +3a +00 +72 +00 +40 +00 +9d +00 +5e +00 +c5 +00 +79 +00 +d9 +00 +5b +00 +73 +00 +4f +00 +5a +00 +46 +00 +76 +00 +35 +00 +00 +00 +00 +00 +17 +00 +50 +00 +22 +00 +6b +00 +27 +00 +8f +00 +2a +00 +91 +00 +33 +00 +9d +00 +35 +00 +96 +00 +29 +00 +93 +00 +34 +00 +99 +00 +34 +00 +9a +00 +3a +00 +96 +00 +40 +00 +9f +00 +37 +00 +aa +00 +38 +00 +a7 +00 +34 +00 +aa +00 +37 +00 +ae +00 +3c +00 +a1 +00 +3a +00 +9c +00 +3d +00 +a5 +00 +34 +00 +ab +00 +39 +00 +a5 +00 +3d +00 +aa +00 +48 +00 +4b +10 +7e +00 +ea +00 +5c +00 +c5 +00 +5b +00 +45 +10 +48 +00 +7e +00 +2a +00 +81 +00 +2f +00 +71 +00 +2b +00 +70 +00 +31 +00 +75 +00 +35 +00 +86 +00 +39 +00 +e6 +00 +3e +00 +96 +00 +53 +00 +04 +10 +53 +00 +05 +10 +3f +00 +b8 +00 +3b +00 +b3 +00 +4d +00 +40 +10 +45 +10 +bf +50 +e8 +20 +02 +80 +00 +20 +4d +40 +e1 +00 +ab +10 +95 +00 +2d +30 +8a +20 +5b +70 +1b +20 +e0 +60 +ed +20 +f5 +70 +c7 +10 +f7 +40 +b8 +20 +c3 +80 +69 +30 +f7 +90 +a2 +30 +51 +a0 +d3 +30 +5c +a0 +ce +30 +8f +a0 +da +30 +b3 +a0 +98 +30 +b4 +80 +d5 +10 +0e +40 +d8 +00 +75 +20 +b8 +00 +2c +20 +cd +00 +ba +20 +70 +10 +b5 +60 +e8 +20 +df +70 +de +10 +65 +40 +f0 +00 +64 +20 +8c +00 +54 +10 +52 +00 +df +00 +50 +00 +c5 +00 +59 +00 +91 +10 +83 +10 +5a +50 +43 +20 +a6 +50 +03 +10 +e4 +20 +e5 +00 +b0 +10 +5f +00 +00 +10 +43 +00 +c1 +00 +47 +00 +c4 +00 +48 +00 +e2 +00 +7a +00 +ae +10 +f8 +00 +08 +40 +2f +10 +1b +20 +59 +00 +1d +10 +6a +10 +83 +50 +79 +20 +77 +40 +05 +10 +02 +40 +86 +20 +84 +70 +23 +30 +3d +80 +99 +20 +d7 +60 +ed +20 +c0 +80 +22 +30 +eb +80 +2f +30 +26 +90 +48 +30 +37 +90 +c6 +20 +19 +60 +2d +10 +7c +20 +83 +00 +34 +10 +90 +00 +d5 +10 +9d +00 +2a +10 +4d +00 +b8 +00 +37 +00 +91 +00 +38 +00 +95 +00 +3b +00 +9d +00 +43 +00 +aa +00 +2f +00 +5f +00 +23 +00 +5d +00 +22 +00 +65 +00 +23 +00 +50 +00 +22 +00 +53 +00 +1f +00 +5b +00 +23 +00 +58 +00 +25 +00 +5b +00 +20 +00 +5e +00 +1b +00 +53 +00 +1e +00 +5b +00 +1e +00 +51 +00 +1f +00 +4d +00 +21 +00 +52 +00 +1e +00 +4d +00 +21 +00 +60 +00 +1c +00 +44 +00 +1b +00 +41 +00 +1b +00 +46 +00 +1c +00 +37 +00 +17 +00 +4b +00 +1a +00 +47 +00 +18 +00 +48 +00 +1d +00 +59 +00 +27 +00 +5d +00 +18 +00 +47 +00 +16 +00 +49 +00 +18 +00 +4d +00 +15 +00 +50 +00 +1d +00 +43 +00 +16 +00 +3f +00 +16 +00 +4d +00 +15 +00 +3d +00 +17 +00 +3a +00 +10 +00 +38 +00 +15 +00 +3c +00 +19 +00 +36 +00 +19 +00 +3c +00 +19 +00 +45 +00 +15 +00 +34 +00 +14 +00 +3d +00 +17 +00 +33 +00 +19 +00 +3e +00 +14 +00 +2d +00 +11 +00 +31 +00 +14 +00 +34 +00 +10 +00 +2c +00 +11 +00 +29 +00 +12 +00 +31 +00 +17 +00 +2e +00 +0f +00 +2c +00 +11 +00 +24 +00 +18 +00 +2b +00 +0e +00 +31 +00 +17 +00 +32 +00 +12 +00 +2b +00 +14 +00 +2f +00 +0a +00 +2d +00 +12 +00 +2f +00 +12 +00 +36 +00 +13 +00 +34 +00 +17 +00 +31 +00 +17 +00 +3a +00 +16 +00 +42 +00 +29 +00 +50 +00 +18 +00 +3d +00 +1e +00 +46 +00 +12 +00 +39 +00 +12 +00 +31 +00 +11 +00 +39 +00 +15 +00 +43 +00 +17 +00 +40 +00 +12 +00 +36 +00 +19 +00 +34 +00 +16 +00 +3a +00 +1c +00 +40 +00 +12 +00 +33 +00 +14 +00 +31 +00 +17 +00 +40 +00 +1a +00 +4c +00 +20 +00 +86 +00 +3d +00 +bf +00 +33 +00 +7f +00 +26 +00 +6c +00 +1e +00 +47 +00 +3a +00 +52 +10 +5d +00 +b7 +00 +34 +00 +cf +00 +be +00 +2f +20 +b7 +00 +27 +20 +e3 +00 +6e +30 +e8 +00 +2e +10 +3f +00 +51 +10 +60 +10 +b4 +30 +a7 +00 +35 +10 +35 +00 +6d +00 +58 +00 +0f +10 +30 +00 +6a +00 +7c +00 +72 +30 +dc +00 +01 +10 +27 +00 +4a +00 +22 +00 +1e +10 +e7 +00 +01 +10 +28 +00 +64 +00 +1c +00 +3d +00 +16 +00 +32 +00 +15 +00 +3c +00 +20 +00 +50 +00 +1c +00 +46 +00 +21 +00 +5e +00 +22 +00 +4e +00 +19 +00 +45 +00 +1a +00 +5e +00 +23 +00 +59 +00 +28 +00 +ac +00 +6a +00 +75 +10 +92 +00 +5e +10 +84 +00 +e2 +00 +30 +00 +58 +00 +28 +00 +52 +00 +1e +00 +5e +00 +21 +00 +dc +00 +3d +10 +45 +30 +6f +00 +ab +00 +22 +00 +4f +00 +1d +00 +53 +00 +17 +00 +2d +00 +14 +00 +2c +00 +17 +00 +35 +00 +1b +00 +38 +00 +17 +00 +48 +00 +1b +00 +4d +00 +24 +00 +49 +00 +1e +00 +51 +00 +19 +00 +4a +00 +15 +00 +4f +00 +14 +00 +44 +00 +2a +00 +dc +00 +f3 +00 +c1 +10 +2a +00 +6c +00 +21 +00 +70 +00 +2f +00 +d7 +00 +30 +00 +78 +00 +23 +00 +4a +00 +1c +00 +4a +00 +18 +00 +5a +00 +22 +00 +63 +00 +19 +00 +56 +00 +27 +00 +62 +00 +1c +00 +55 +00 +25 +00 +6d +00 +2d +00 +92 +00 +46 +00 +a6 +00 +2d +00 +93 +00 +1d +00 +52 +00 +1a +00 +48 +00 +12 +00 +46 +00 +00 +00 +00 +00 +46 +00 +3f +00 +64 +00 +58 +00 +86 +00 +67 +00 +93 +00 +5c +00 +a3 +00 +74 +00 +8e +00 +6a +00 +9f +00 +7c +00 +a5 +00 +75 +00 +96 +00 +74 +00 +aa +00 +5f +00 +9e +00 +6e +00 +a9 +00 +7b +00 +9c +00 +6a +00 +9d +00 +70 +00 +a5 +00 +7c +00 +a0 +00 +67 +00 +9b +00 +77 +00 +b6 +00 +6c +00 +9f +00 +74 +00 +ab +00 +75 +00 +93 +00 +6b +00 +a9 +00 +6f +00 +bc +00 +7d +00 +fe +00 +88 +00 +a5 +00 +69 +00 +e1 +00 +81 +00 +b7 +00 +70 +00 +a0 +00 +50 +00 +78 +00 +44 +00 +73 +00 +60 +00 +8d +00 +6d +00 +bc +00 +7f +00 +bc +00 +d1 +00 +b6 +10 +09 +10 +1f +10 +a8 +00 +c3 +00 +8d +00 +a1 +00 +89 +00 +d6 +00 +2e +10 +d6 +10 +ff +10 +77 +40 +b2 +30 +bd +60 +7a +30 +d4 +50 +bd +20 +d6 +20 +57 +30 +49 +60 +6f +40 +e5 +60 +74 +50 +6a +80 +e3 +30 +93 +40 +d9 +40 +2a +80 +45 +60 +0f +a0 +e7 +60 +f8 +90 +27 +70 +6f +a0 +1a +70 +8a +a0 +4e +70 +56 +a0 +d6 +60 +b4 +90 +23 +40 +67 +40 +37 +20 +3f +20 +77 +10 +d1 +10 +57 +10 +e1 +10 +f2 +10 +ef +20 +36 +30 +95 +50 +ee +20 +cb +30 +0e +20 +3d +20 +31 +10 +5b +10 +b6 +00 +db +00 +9a +00 +d2 +00 +98 +00 +ed +00 +ab +10 +5f +50 +7d +40 +56 +80 +5e +40 +97 +50 +1e +30 +82 +30 +90 +10 +48 +10 +cf +00 +f5 +00 +a6 +00 +c9 +00 +a0 +00 +cd +00 +ae +00 +30 +10 +a5 +10 +9b +30 +a0 +10 +14 +20 +63 +10 +43 +10 +55 +10 +6a +20 +d7 +30 +c8 +70 +9c +40 +02 +60 +fe +40 +b2 +70 +bd +50 +17 +90 +1a +60 +d4 +80 +d5 +50 +ed +80 +03 +60 +53 +90 +69 +60 +31 +90 +8d +60 +75 +90 +19 +60 +2d +70 +44 +30 +e4 +20 +92 +10 +62 +10 +55 +10 +4a +20 +a4 +20 +e6 +30 +84 +10 +29 +10 +8c +00 +a8 +00 +5c +00 +89 +00 +54 +00 +87 +00 +55 +00 +98 +00 +55 +00 +72 +00 +45 +00 +73 +00 +3b +00 +54 +00 +31 +00 +5c +00 +33 +00 +59 +00 +3c +00 +66 +00 +30 +00 +64 +00 +32 +00 +5a +00 +4a +00 +6d +00 +34 +00 +64 +00 +34 +00 +55 +00 +2e +00 +59 +00 +2e +00 +60 +00 +33 +00 +4f +00 +35 +00 +4a +00 +39 +00 +61 +00 +34 +00 +51 +00 +23 +00 +43 +00 +21 +00 +4b +00 +28 +00 +40 +00 +26 +00 +47 +00 +24 +00 +4a +00 +25 +00 +44 +00 +20 +00 +43 +00 +26 +00 +50 +00 +31 +00 +4d +00 +2e +00 +3e +00 +1d +00 +3e +00 +1f +00 +35 +00 +28 +00 +4a +00 +25 +00 +3e +00 +25 +00 +3b +00 +22 +00 +47 +00 +23 +00 +43 +00 +27 +00 +3d +00 +1c +00 +34 +00 +1b +00 +36 +00 +23 +00 +3e +00 +1e +00 +36 +00 +28 +00 +40 +00 +1f +00 +38 +00 +1d +00 +38 +00 +17 +00 +31 +00 +1c +00 +33 +00 +1c +00 +32 +00 +1b +00 +33 +00 +1a +00 +2a +00 +17 +00 +28 +00 +1e +00 +30 +00 +1c +00 +37 +00 +19 +00 +2d +00 +22 +00 +2e +00 +1c +00 +35 +00 +1e +00 +2c +00 +22 +00 +35 +00 +1c +00 +34 +00 +1c +00 +28 +00 +1c +00 +29 +00 +1a +00 +2f +00 +1f +00 +30 +00 +25 +00 +39 +00 +21 +00 +3b +00 +22 +00 +42 +00 +26 +00 +3c +00 +54 +00 +66 +10 +45 +00 +49 +00 +2e +00 +47 +00 +22 +00 +42 +00 +1a +00 +41 +00 +18 +00 +34 +00 +22 +00 +3e +00 +24 +00 +3b +00 +1c +00 +37 +00 +1e +00 +3a +00 +1b +00 +41 +00 +1e +00 +41 +00 +1d +00 +43 +00 +21 +00 +3d +00 +21 +00 +35 +00 +2e +00 +3b +00 +42 +00 +5c +00 +4b +00 +7f +00 +54 +00 +71 +00 +4d +00 +5c +00 +32 +00 +43 +00 +34 +00 +75 +00 +6a +00 +a2 +00 +cd +00 +00 +20 +ef +00 +d3 +00 +a3 +00 +13 +10 +b7 +00 +45 +10 +10 +10 +c3 +10 +e0 +00 +1d +10 +cd +10 +72 +30 +1e +20 +19 +20 +c9 +00 +97 +00 +57 +00 +8f +00 +4f +00 +73 +00 +71 +00 +d3 +10 +f4 +00 +b0 +10 +89 +00 +8b +00 +61 +00 +aa +00 +fc +00 +eb +10 +81 +00 +a3 +00 +4f +00 +52 +00 +2d +00 +37 +00 +22 +00 +3a +00 +72 +00 +84 +00 +a7 +00 +6f +00 +46 +00 +5e +00 +2e +00 +4f +00 +2d +00 +4c +00 +26 +00 +5d +00 +35 +00 +5b +00 +3b +00 +6a +00 +70 +00 +a7 +00 +6f +00 +fd +00 +97 +10 +11 +40 +1b +10 +ad +00 +57 +00 +53 +00 +35 +00 +51 +00 +47 +00 +65 +00 +67 +00 +d5 +00 +c2 +00 +2c +10 +90 +00 +6e +00 +48 +00 +40 +00 +36 +00 +35 +00 +26 +00 +35 +00 +22 +00 +35 +00 +27 +00 +32 +00 +28 +00 +3b +00 +28 +00 +4b +00 +5a +00 +0e +10 +bc +00 +80 +00 +41 +00 +47 +00 +2f +00 +3c +00 +20 +00 +3d +00 +33 +00 +47 +00 +45 +00 +91 +00 +5a +00 +9b +00 +45 +00 +5b +00 +41 +00 +60 +00 +49 +00 +78 +00 +51 +00 +64 +00 +3c +00 +52 +00 +57 +00 +48 +00 +36 +00 +48 +00 +2e +00 +4a +00 +2c +00 +81 +00 +39 +00 +67 +00 +3a +00 +95 +00 +98 +00 +78 +00 +51 +00 +a4 +00 +4c +00 +7b +00 +3d +00 +5c +00 +3f +00 +3f +00 +36 +00 +48 +00 +34 +00 +00 +00 +00 +00 +18 +00 +54 +00 +29 +00 +6f +00 +30 +00 +84 +00 +39 +00 +8b +00 +2f +00 +93 +00 +38 +00 +99 +00 +36 +00 +91 +00 +37 +00 +92 +00 +3c +00 +8e +00 +2e +00 +a4 +00 +39 +00 +9c +00 +3a +00 +9c +00 +37 +00 +a6 +00 +40 +00 +9f +00 +37 +00 +a0 +00 +3b +00 +b2 +00 +3b +00 +a6 +00 +3d +00 +a3 +00 +3c +00 +a9 +00 +40 +00 +a0 +00 +34 +00 +97 +00 +2f +00 +97 +00 +36 +00 +95 +00 +3f +00 +a3 +00 +34 +00 +87 +00 +31 +00 +d9 +00 +69 +00 +4a +10 +44 +00 +8f +00 +34 +00 +77 +00 +2e +00 +77 +00 +7c +00 +78 +10 +56 +00 +c6 +00 +52 +00 +06 +10 +09 +10 +4e +20 +70 +00 +fb +00 +4d +00 +af +00 +43 +00 +ac +00 +48 +00 +fa +00 +6e +00 +cc +10 +ed +00 +05 +40 +fd +10 +3f +50 +d9 +10 +49 +40 +1e +20 +59 +60 +17 +20 +86 +60 +f8 +20 +b0 +80 +e2 +20 +bb +50 +97 +10 +c3 +60 +6a +30 +c4 +90 +9e +30 +43 +a0 +b7 +30 +81 +a0 +97 +30 +5e +a0 +af +30 +7c +a0 +bf +30 +54 +a0 +bd +20 +7e +50 +eb +00 +4c +20 +88 +00 +9a +10 +7e +00 +6f +10 +8b +00 +bb +10 +3f +10 +a2 +40 +47 +10 +2c +30 +c5 +00 +0a +20 +92 +00 +5a +10 +5c +00 +f6 +00 +4e +00 +e0 +00 +4b +00 +e5 +00 +61 +00 +8d +10 +fb +10 +6e +70 +36 +30 +39 +80 +2b +20 +5f +60 +a3 +10 +56 +30 +89 +00 +32 +10 +5c +00 +08 +10 +4e +00 +da +00 +57 +00 +f2 +00 +78 +00 +b1 +10 +ca +10 +f9 +30 +b9 +00 +94 +10 +7b +00 +47 +10 +1b +10 +de +50 +20 +30 +53 +80 +1d +30 +1d +80 +40 +30 +3c +90 +54 +30 +91 +90 +69 +30 +2d +90 +43 +30 +3d +90 +4d +30 +67 +90 +48 +30 +90 +90 +82 +30 +9c +90 +41 +20 +1e +40 +a4 +00 +c2 +10 +76 +00 +b5 +10 +74 +10 +b7 +40 +e8 +10 +22 +30 +5c +00 +d0 +00 +3c +00 +a2 +00 +41 +00 +8b +00 +32 +00 +87 +00 +31 +00 +86 +00 +2a +00 +7a +00 +24 +00 +71 +00 +25 +00 +5a +00 +23 +00 +54 +00 +20 +00 +61 +00 +32 +00 +5f +00 +24 +00 +5c +00 +2d +00 +a2 +00 +4b +00 +97 +00 +2c +00 +5a +00 +1c +00 +5c +00 +21 +00 +62 +00 +25 +00 +66 +00 +25 +00 +54 +00 +1d +00 +50 +00 +1c +00 +4a +00 +1a +00 +4a +00 +1a +00 +45 +00 +17 +00 +4a +00 +1a +00 +54 +00 +1e +00 +42 +00 +19 +00 +3b +00 +1d +00 +3c +00 +19 +00 +4b +00 +19 +00 +48 +00 +17 +00 +4e +00 +19 +00 +34 +00 +15 +00 +39 +00 +15 +00 +45 +00 +1b +00 +43 +00 +1b +00 +42 +00 +14 +00 +3f +00 +18 +00 +4f +00 +15 +00 +47 +00 +10 +00 +3a +00 +17 +00 +33 +00 +15 +00 +39 +00 +1c +00 +39 +00 +19 +00 +41 +00 +10 +00 +3a +00 +15 +00 +3a +00 +13 +00 +2f +00 +12 +00 +33 +00 +0f +00 +2d +00 +10 +00 +2b +00 +11 +00 +27 +00 +0f +00 +2e +00 +10 +00 +26 +00 +10 +00 +33 +00 +0f +00 +2e +00 +13 +00 +2c +00 +12 +00 +33 +00 +17 +00 +38 +00 +11 +00 +3d +00 +1c +00 +3e +00 +17 +00 +2c +00 +0d +00 +2c +00 +14 +00 +2c +00 +14 +00 +33 +00 +18 +00 +38 +00 +13 +00 +3e +00 +15 +00 +40 +00 +1c +00 +41 +00 +15 +00 +58 +00 +30 +00 +84 +00 +22 +00 +3f +00 +19 +00 +35 +00 +12 +00 +3a +00 +17 +00 +39 +00 +1c +00 +30 +00 +1b +00 +35 +00 +11 +00 +33 +00 +10 +00 +2f +00 +1a +00 +32 +00 +13 +00 +43 +00 +14 +00 +34 +00 +16 +00 +36 +00 +19 +00 +35 +00 +15 +00 +42 +00 +1e +00 +48 +00 +1c +00 +61 +00 +28 +00 +6c +00 +1e +00 +58 +00 +1e +00 +45 +00 +14 +00 +46 +00 +3e +00 +ca +00 +c8 +00 +5a +20 +a8 +00 +3a +10 +45 +00 +96 +00 +33 +00 +b6 +00 +4f +00 +76 +10 +64 +00 +fb +00 +7a +00 +6f +20 +1e +10 +3d +30 +85 +00 +0f +10 +2c +00 +67 +00 +29 +00 +74 +00 +1d +00 +6f +00 +42 +00 +ed +00 +58 +00 +c3 +00 +26 +00 +5d +00 +43 +00 +4a +10 +98 +00 +cf +00 +2a +00 +5d +00 +15 +00 +44 +00 +19 +00 +49 +00 +29 +00 +98 +00 +1a +10 +f8 +20 +3d +00 +6f +00 +1f +00 +44 +00 +22 +00 +49 +00 +1d +00 +4f +00 +25 +00 +4b +00 +21 +00 +4c +00 +23 +00 +6a +00 +23 +00 +91 +00 +42 +00 +8e +10 +fa +00 +b9 +10 +51 +00 +95 +00 +1a +00 +51 +00 +1c +00 +4d +00 +2a +00 +95 +00 +58 +00 +0d +20 +7f +00 +c0 +00 +21 +00 +4b +00 +19 +00 +40 +00 +13 +00 +35 +00 +1a +00 +36 +00 +13 +00 +3d +00 +1a +00 +3a +00 +1c +00 +42 +00 +1f +00 +88 +00 +01 +10 +db +10 +3d +00 +75 +00 +1d +00 +4b +00 +19 +00 +3c +00 +19 +00 +53 +00 +17 +00 +5b +00 +1d +00 +6b +00 +20 +00 +62 +00 +28 +00 +49 +00 +25 +00 +58 +00 +23 +00 +5c +00 +24 +00 +54 +00 +59 +00 +f9 +00 +45 +00 +5c +00 +1a +00 +3a +00 +12 +00 +3b +00 +1e +00 +5e +00 +22 +00 +5c +00 +40 +00 +08 +10 +71 +00 +91 +00 +23 +00 +5e +00 +22 +00 +68 +00 +1e +00 +87 +00 +20 +00 +52 +00 +1d +00 +60 +00 +00 +00 +00 +00 +4a +00 +40 +00 +68 +00 +53 +00 +82 +00 +5d +00 +87 +00 +61 +00 +8d +00 +65 +00 +97 +00 +5e +00 +92 +00 +6f +00 +a8 +00 +66 +00 +94 +00 +64 +00 +90 +00 +77 +00 +a3 +00 +6d +00 +a4 +00 +70 +00 +94 +00 +71 +00 +99 +00 +69 +00 +a0 +00 +68 +00 +af +00 +6c +00 +a3 +00 +74 +00 +a7 +00 +69 +00 +b3 +00 +6b +00 +aa +00 +72 +00 +9a +00 +64 +00 +a0 +00 +63 +00 +83 +00 +4c +00 +81 +00 +51 +00 +8a +00 +4c +00 +78 +00 +64 +00 +f8 +00 +c6 +00 +1c +10 +63 +00 +74 +00 +46 +00 +74 +00 +61 +00 +df +00 +17 +10 +80 +10 +14 +10 +bc +10 +db +00 +da +10 +ac +10 +32 +20 +5e +10 +19 +10 +77 +00 +a8 +00 +b5 +00 +dd +00 +0d +10 +3d +10 +67 +10 +e8 +10 +a0 +10 +ba +30 +74 +20 +1e +40 +32 +30 +6e +50 +dd +30 +01 +60 +89 +50 +9e +80 +34 +50 +7e +70 +70 +30 +4a +40 +ff +40 +89 +90 +90 +60 +06 +a0 +e9 +60 +4d +a0 +00 +70 +3c +a0 +15 +70 +4f +a0 +08 +70 +60 +a0 +69 +60 +87 +80 +9b +30 +03 +30 +60 +10 +7e +10 +e9 +00 +4a +10 +f5 +00 +3d +10 +90 +10 +33 +30 +e6 +10 +ad +20 +af +10 +d2 +10 +29 +10 +6a +10 +e7 +00 +01 +10 +ba +00 +d8 +00 +94 +00 +e4 +00 +99 +00 +e3 +00 +01 +10 +fe +10 +49 +20 +f2 +60 +1b +40 +e5 +50 +9a +40 +e6 +60 +d4 +20 +54 +20 +0b +20 +b1 +10 +5b +10 +23 +10 +3b +10 +2c +10 +3c +10 +53 +10 +5c +20 +32 +50 +84 +30 +0b +30 +08 +30 +b6 +20 +59 +20 +3d +30 +1c +40 +42 +80 +95 +50 +fd +80 +1c +60 +58 +90 +76 +60 +a7 +90 +63 +60 +98 +90 +ae +60 +85 +90 +7a +60 +73 +90 +a7 +60 +8b +90 +96 +60 +ae +90 +74 +60 +c1 +80 +17 +50 +06 +40 +9a +20 +86 +20 +d2 +10 +ed +30 +5f +20 +b8 +50 +ab +10 +84 +10 +af +00 +b2 +00 +5d +00 +9b +00 +5a +00 +8b +00 +5b +00 +86 +00 +47 +00 +7c +00 +47 +00 +69 +00 +3b +00 +60 +00 +39 +00 +55 +00 +3f +00 +52 +00 +32 +00 +6e +00 +33 +00 +65 +00 +38 +00 +83 +00 +53 +00 +ec +00 +7a +00 +91 +00 +3d +00 +54 +00 +31 +00 +66 +00 +3c +00 +69 +00 +60 +00 +84 +00 +3c +00 +50 +00 +34 +00 +47 +00 +2d +00 +49 +00 +28 +00 +40 +00 +2a +00 +5d +00 +3d +00 +bf +00 +38 +00 +56 +00 +28 +00 +3d +00 +29 +00 +3d +00 +23 +00 +43 +00 +26 +00 +45 +00 +2b +00 +4f +00 +22 +00 +42 +00 +23 +00 +31 +00 +1e +00 +39 +00 +23 +00 +3f +00 +27 +00 +44 +00 +25 +00 +41 +00 +1d +00 +50 +00 +25 +00 +43 +00 +25 +00 +31 +00 +23 +00 +3b +00 +1c +00 +31 +00 +20 +00 +34 +00 +24 +00 +39 +00 +25 +00 +3d +00 +1b +00 +33 +00 +15 +00 +31 +00 +1b +00 +38 +00 +18 +00 +25 +00 +1c +00 +24 +00 +19 +00 +27 +00 +1d +00 +26 +00 +1d +00 +27 +00 +1f +00 +33 +00 +1b +00 +2b +00 +1a +00 +39 +00 +19 +00 +34 +00 +18 +00 +3a +00 +20 +00 +38 +00 +1e +00 +38 +00 +21 +00 +37 +00 +1c +00 +2a +00 +1c +00 +31 +00 +18 +00 +2c +00 +15 +00 +37 +00 +1f +00 +2e +00 +1e +00 +3e +00 +30 +00 +41 +00 +1f +00 +35 +00 +24 +00 +4a +00 +2b +00 +61 +00 +2e +00 +46 +00 +21 +00 +46 +00 +21 +00 +3b +00 +29 +00 +3f +00 +3d +00 +46 +00 +2a +00 +3c +00 +20 +00 +32 +00 +22 +00 +2f +00 +1b +00 +4a +00 +1a +00 +3e +00 +20 +00 +3e +00 +20 +00 +40 +00 +47 +00 +41 +00 +35 +00 +4f +00 +42 +00 +46 +00 +3a +00 +56 +00 +67 +00 +68 +00 +48 +00 +50 +00 +3b +00 +4a +00 +36 +00 +5d +00 +5c +00 +f9 +00 +97 +00 +06 +10 +9b +00 +ca +00 +6e +00 +82 +00 +6e +00 +df +00 +d7 +00 +1a +10 +92 +00 +bd +00 +b1 +00 +7b +10 +bf +00 +46 +10 +b4 +00 +9e +00 +52 +00 +55 +00 +3f +00 +4d +00 +4b +00 +82 +00 +49 +00 +89 +00 +4a +00 +6b +00 +7c +00 +a3 +00 +35 +10 +b7 +10 +89 +00 +9e +00 +53 +00 +4c +00 +27 +00 +45 +00 +69 +00 +43 +10 +0f +20 +58 +40 +41 +10 +40 +10 +70 +00 +5e +00 +2c +00 +4e +00 +2c +00 +5c +00 +29 +00 +5c +00 +24 +00 +4b +00 +28 +00 +55 +00 +40 +00 +65 +00 +55 +00 +9d +00 +78 +00 +b6 +00 +75 +00 +cf +00 +5e +00 +69 +00 +3d +00 +4c +00 +47 +00 +d3 +00 +b8 +00 +d2 +00 +f2 +00 +24 +20 +92 +00 +7e +00 +69 +00 +c5 +00 +5e +00 +51 +00 +2b +00 +3b +00 +23 +00 +2f +00 +27 +00 +36 +00 +24 +00 +3b +00 +2d +00 +59 +00 +90 +00 +f0 +00 +7a +00 +95 +00 +4e +00 +5b +00 +2f +00 +51 +00 +25 +00 +59 +00 +2c +00 +5a +00 +39 +00 +5a +00 +2f +00 +53 +00 +2f +00 +50 +00 +29 +00 +4b +00 +2f +00 +53 +00 +2a +00 +48 +00 +4c +00 +4b +10 +80 +00 +22 +10 +5f +00 +4d +00 +2a +00 +3b +00 +22 +00 +3b +00 +29 +00 +5c +00 +3b +00 +68 +00 +68 +00 +bc +10 +86 +00 +68 +00 +3d +00 +5b +00 +3b +00 +7d +00 +93 +00 +a4 +00 +42 +00 +4e +00 +c4 +00 +00 +00 +00 +00 +1a +00 +65 +00 +26 +00 +79 +00 +2f +00 +8e +00 +2e +00 +9a +00 +36 +00 +9b +00 +2f +00 +8e +00 +31 +00 +a0 +00 +32 +00 +96 +00 +41 +00 +91 +00 +3b +00 +9b +00 +33 +00 +a9 +00 +39 +00 +99 +00 +3e +00 +9b +00 +3b +00 +a9 +00 +37 +00 +a6 +00 +3f +00 +b4 +00 +3a +00 +aa +00 +3f +00 +9b +00 +3b +00 +aa +00 +3b +00 +a5 +00 +35 +00 +8f +00 +2c +00 +7b +00 +1f +00 +71 +00 +2b +00 +7d +00 +2a +00 +89 +00 +33 +00 +86 +00 +7f +00 +47 +10 +4b +00 +ac +00 +30 +00 +7a +00 +23 +00 +84 +00 +40 +00 +fd +00 +7f +00 +bc +10 +fc +00 +fc +10 +cc +00 +e8 +30 +b2 +10 +f0 +30 +bf +00 +fa +00 +4a +00 +03 +10 +77 +00 +51 +10 +63 +00 +4c +10 +71 +00 +c1 +10 +a9 +00 +d0 +20 +f4 +10 +9d +50 +b2 +10 +de +40 +cb +20 +57 +80 +4c +30 +c9 +80 +11 +20 +30 +40 +bb +10 +48 +70 +7d +30 +df +90 +b9 +30 +83 +a0 +b3 +30 +75 +a0 +b6 +30 +79 +a0 +b5 +30 +48 +a0 +aa +30 +c0 +90 +44 +30 +43 +70 +e6 +00 +ac +10 +79 +00 +40 +10 +6d +00 +22 +10 +76 +00 +8a +10 +d5 +00 +3b +20 +94 +00 +aa +10 +6d +00 +56 +10 +7d +00 +22 +10 +52 +00 +0a +10 +4f +00 +e3 +00 +54 +00 +e0 +00 +5c +00 +2e +10 +96 +00 +32 +20 +52 +10 +19 +50 +4d +20 +ac +70 +a4 +20 +a7 +40 +81 +10 +df +40 +1d +10 +e8 +20 +ce +00 +37 +20 +24 +10 +43 +20 +b9 +00 +d8 +30 +d3 +20 +63 +70 +1c +20 +4c +60 +66 +20 +73 +50 +34 +10 +c3 +40 +e4 +20 +d0 +80 +2f +30 +13 +90 +5f +30 +e2 +90 +88 +30 +d0 +90 +6d +30 +b4 +90 +7c +30 +bf +90 +68 +30 +a3 +90 +8a +30 +da +90 +5c +30 +a7 +90 +47 +30 +a3 +80 +70 +20 +0d +50 +f2 +00 +00 +20 +d4 +00 +b2 +20 +89 +10 +da +20 +6e +00 +c9 +00 +46 +00 +c3 +00 +32 +00 +9c +00 +31 +00 +88 +00 +2d +00 +6e +00 +29 +00 +6b +00 +27 +00 +65 +00 +20 +00 +5b +00 +1d +00 +63 +00 +26 +00 +60 +00 +29 +00 +66 +00 +1b +00 +6f +00 +30 +00 +70 +00 +34 +00 +c9 +00 +34 +00 +66 +00 +19 +00 +5f +00 +1f +00 +58 +00 +21 +00 +73 +00 +28 +00 +5e +00 +1a +00 +4f +00 +18 +00 +36 +00 +1e +00 +43 +00 +17 +00 +48 +00 +1b +00 +55 +00 +31 +00 +7b +00 +23 +00 +4c +00 +1b +00 +44 +00 +17 +00 +43 +00 +1c +00 +4b +00 +18 +00 +45 +00 +1e +00 +45 +00 +1c +00 +40 +00 +19 +00 +42 +00 +15 +00 +44 +00 +1a +00 +4a +00 +1e +00 +42 +00 +12 +00 +3b +00 +15 +00 +3e +00 +10 +00 +3e +00 +15 +00 +38 +00 +12 +00 +36 +00 +1a +00 +41 +00 +19 +00 +3b +00 +1d +00 +3b +00 +1a +00 +35 +00 +18 +00 +31 +00 +13 +00 +30 +00 +0f +00 +30 +00 +0e +00 +2b +00 +0f +00 +26 +00 +11 +00 +25 +00 +0e +00 +2b +00 +0e +00 +25 +00 +14 +00 +2b +00 +19 +00 +33 +00 +17 +00 +2f +00 +1a +00 +36 +00 +17 +00 +40 +00 +16 +00 +4b +00 +1c +00 +39 +00 +13 +00 +2f +00 +15 +00 +3b +00 +14 +00 +38 +00 +0f +00 +3a +00 +12 +00 +32 +00 +16 +00 +3a +00 +25 +00 +cf +00 +22 +00 +3b +00 +1e +00 +44 +00 +1c +00 +45 +00 +1b +00 +5b +00 +21 +00 +3d +00 +1a +00 +38 +00 +21 +00 +51 +00 +44 +00 +8f +00 +1f +00 +55 +00 +17 +00 +3c +00 +13 +00 +34 +00 +14 +00 +37 +00 +16 +00 +3a +00 +16 +00 +36 +00 +1a +00 +36 +00 +22 +00 +cc +00 +71 +00 +8e +00 +20 +00 +44 +00 +1a +00 +47 +00 +3f +00 +06 +10 +8f +00 +a3 +00 +1a +00 +49 +00 +16 +00 +4c +00 +1f +00 +68 +00 +2e +00 +a4 +00 +4d +00 +15 +10 +2d +00 +8a +00 +1f +00 +76 +00 +59 +00 +1f +10 +43 +00 +b1 +00 +37 +00 +c9 +00 +55 +00 +e5 +00 +47 +00 +2d +10 +34 +00 +67 +00 +1c +00 +44 +00 +19 +00 +4c +00 +1b +00 +5f +00 +1a +00 +5f +00 +1e +00 +83 +00 +9e +00 +a9 +20 +8d +00 +e4 +00 +27 +00 +63 +00 +32 +00 +51 +00 +1e +00 +7a +00 +ff +00 +ec +40 +56 +10 +bd +10 +57 +00 +ae +00 +28 +00 +44 +00 +1a +00 +47 +00 +1f +00 +54 +00 +22 +00 +4a +00 +18 +00 +40 +00 +18 +00 +5c +00 +21 +00 +57 +00 +32 +00 +af +00 +36 +00 +b3 +00 +26 +00 +77 +00 +22 +00 +57 +00 +17 +00 +59 +00 +3d +00 +ad +00 +3f +00 +d6 +00 +9f +00 +1f +10 +3d +00 +ae +00 +b9 +00 +da +00 +1a +00 +42 +00 +13 +00 +32 +00 +16 +00 +35 +00 +19 +00 +3d +00 +1c +00 +49 +00 +44 +00 +6c +10 +66 +00 +b9 +00 +26 +00 +68 +00 +1e +00 +4c +00 +1d +00 +49 +00 +1c +00 +57 +00 +22 +00 +53 +00 +1a +00 +44 +00 +18 +00 +49 +00 +1b +00 +46 +00 +17 +00 +4d +00 +18 +00 +4e +00 +15 +00 +5e +00 +36 +00 +c1 +00 +33 +00 +8e +00 +1f +00 +46 +00 +19 +00 +3b +00 +12 +00 +41 +00 +1a +00 +4b +00 +24 +00 +7e +00 +37 +00 +9d +00 +20 +00 +4e +00 +1b +00 +46 +00 +33 +00 +8c +00 +2f +00 +69 +00 +20 +00 +ef +00 +00 +00 +00 +00 +52 +00 +4e +00 +77 +00 +57 +00 +9b +00 +58 +00 +88 +00 +60 +00 +8b +00 +6e +00 +94 +00 +69 +00 +9b +00 +6b +00 +95 +00 +66 +00 +a9 +00 +6e +00 +9e +00 +67 +00 +af +00 +64 +00 +9f +00 +64 +00 +a4 +00 +71 +00 +b0 +00 +7a +00 +9e +00 +77 +00 +a2 +00 +68 +00 +a9 +00 +6e +00 +ae +00 +66 +00 +a6 +00 +6e +00 +a5 +00 +62 +00 +a0 +00 +57 +00 +75 +00 +49 +00 +68 +00 +3c +00 +69 +00 +4b +00 +76 +00 +40 +00 +7a +00 +5d +00 +b2 +00 +65 +00 +af +00 +5a +00 +81 +00 +52 +00 +87 +00 +6a +00 +a7 +00 +32 +10 +03 +30 +77 +10 +80 +10 +09 +10 +9e +10 +02 +10 +75 +20 +15 +10 +d3 +10 +f1 +00 +21 +10 +44 +20 +f5 +30 +d2 +20 +f7 +10 +78 +10 +67 +10 +34 +10 +86 +10 +7f +10 +64 +30 +ef +20 +4c +40 +96 +40 +99 +70 +83 +50 +02 +90 +19 +40 +fd +40 +dd +20 +3c +40 +1d +50 +65 +90 +7d +60 +14 +a0 +dc +60 +68 +a0 +f2 +60 +8c +a0 +f7 +60 +ec +a0 +d9 +60 +2e +a0 +48 +50 +fc +70 +13 +30 +c4 +30 +2a +10 +50 +10 +e5 +00 +13 +10 +06 +10 +25 +10 +39 +10 +85 +10 +7b +10 +a7 +10 +2a +10 +50 +10 +b9 +10 +60 +20 +4f +20 +84 +20 +3d +10 +13 +10 +a9 +00 +cb +00 +a0 +00 +ea +00 +ea +00 +6c +10 +18 +20 +aa +30 +ef +30 +01 +60 +0e +40 +d4 +50 +39 +40 +1c +60 +99 +40 +75 +60 +5b +30 +b7 +30 +de +30 +b3 +60 +2e +30 +83 +20 +5f +30 +70 +70 +a3 +50 +c7 +80 +ac +50 +90 +80 +86 +50 +2d +70 +33 +40 +6a +40 +b6 +40 +dd +80 +50 +60 +b0 +90 +91 +60 +fa +90 +b9 +60 +d0 +90 +b7 +60 +e9 +90 +d6 +60 +d7 +90 +c3 +60 +ec +90 +e3 +60 +ba +90 +c2 +60 +c1 +90 +e0 +50 +93 +70 +d4 +20 +7b +20 +56 +10 +6a +10 +49 +10 +32 +20 +39 +10 +6c +10 +a2 +00 +d2 +00 +66 +00 +95 +00 +74 +00 +8f +00 +60 +00 +79 +00 +4c +00 +6c +00 +44 +00 +5d +00 +32 +00 +60 +00 +2c +00 +65 +00 +35 +00 +60 +00 +39 +00 +66 +00 +3a +00 +64 +00 +37 +00 +64 +00 +42 +00 +69 +00 +42 +00 +7e +00 +3d +00 +69 +00 +33 +00 +5a +00 +38 +00 +50 +00 +42 +00 +53 +00 +39 +00 +5d +00 +2d +00 +4d +00 +2b +00 +48 +00 +29 +00 +46 +00 +2f +00 +43 +00 +2c +00 +4f +00 +2c +00 +4d +00 +30 +00 +3f +00 +2f +00 +4c +00 +24 +00 +3e +00 +24 +00 +44 +00 +1f +00 +46 +00 +21 +00 +45 +00 +23 +00 +3e +00 +25 +00 +47 +00 +23 +00 +4d +00 +24 +00 +3e +00 +27 +00 +43 +00 +24 +00 +39 +00 +22 +00 +3e +00 +1f +00 +3d +00 +25 +00 +38 +00 +21 +00 +40 +00 +21 +00 +3c +00 +25 +00 +4a +00 +20 +00 +38 +00 +22 +00 +30 +00 +1a +00 +2a +00 +1b +00 +28 +00 +17 +00 +25 +00 +19 +00 +30 +00 +16 +00 +31 +00 +19 +00 +2e +00 +17 +00 +2c +00 +1b +00 +30 +00 +1c +00 +3e +00 +1a +00 +41 +00 +1c +00 +3b +00 +24 +00 +37 +00 +1c +00 +3e +00 +21 +00 +43 +00 +1f +00 +35 +00 +1a +00 +3c +00 +22 +00 +35 +00 +21 +00 +3c +00 +26 +00 +37 +00 +24 +00 +35 +00 +27 +00 +51 +00 +3a +00 +6b +00 +2a +00 +37 +00 +26 +00 +33 +00 +2d +00 +6d +00 +49 +00 +5c +00 +29 +00 +35 +00 +25 +00 +48 +00 +7f +00 +48 +20 +e9 +00 +9c +00 +33 +00 +44 +00 +26 +00 +35 +00 +1b +00 +31 +00 +20 +00 +36 +00 +1d +00 +3f +00 +1b +00 +3d +00 +2b +00 +50 +00 +89 +00 +9b +20 +9a +00 +84 +00 +60 +00 +54 +00 +4e +00 +8a +00 +b3 +00 +20 +10 +56 +00 +83 +00 +32 +00 +48 +00 +2f +00 +4c +00 +34 +00 +66 +00 +52 +00 +93 +00 +57 +00 +85 +00 +59 +00 +69 +00 +55 +00 +8b +00 +4f +00 +8f +00 +52 +00 +8a +00 +62 +00 +95 +00 +5e +00 +8a +00 +67 +00 +9d +00 +3f +00 +50 +00 +2e +00 +45 +00 +36 +00 +44 +00 +34 +00 +52 +00 +36 +00 +44 +00 +65 +00 +d9 +00 +93 +00 +34 +10 +7b +00 +88 +00 +47 +00 +60 +00 +37 +00 +65 +00 +61 +00 +e6 +00 +b6 +00 +0b +20 +a6 +00 +ef +00 +72 +00 +6c +00 +2e +00 +3f +00 +28 +00 +4e +00 +25 +00 +54 +00 +27 +00 +47 +00 +31 +00 +4b +00 +30 +00 +5d +00 +3b +00 +5a +00 +46 +00 +87 +00 +50 +00 +75 +00 +48 +00 +5f +00 +3b +00 +66 +00 +54 +00 +72 +00 +61 +00 +8e +00 +74 +00 +29 +10 +a6 +00 +b2 +00 +61 +00 +09 +10 +67 +00 +7c +00 +34 +00 +36 +00 +23 +00 +36 +00 +25 +00 +39 +00 +23 +00 +50 +00 +87 +00 +e8 +00 +66 +10 +40 +10 +e0 +00 +89 +00 +6f +00 +53 +00 +32 +00 +4a +00 +2d +00 +65 +00 +38 +00 +54 +00 +31 +00 +44 +00 +2d +00 +45 +00 +27 +00 +46 +00 +23 +00 +3e +00 +21 +00 +44 +00 +27 +00 +40 +00 +31 +00 +5a +00 +30 +00 +71 +00 +3c +00 +4c +00 +29 +00 +36 +00 +22 +00 +2e +00 +20 +00 +34 +00 +2f +00 +4e +00 +30 +00 +62 +00 +32 +00 +67 +00 +3b +00 +3f +00 +2f +00 +52 +00 +2e +00 +5e +00 +3d +00 +5c +00 +39 +00 +00 +00 +00 +00 +1b +00 +6a +00 +29 +00 +87 +00 +38 +00 +92 +00 +3d +00 +a6 +00 +2f +00 +94 +00 +36 +00 +98 +00 +30 +00 +9d +00 +3d +00 +9c +00 +38 +00 +a1 +00 +34 +00 +98 +00 +3b +00 +a0 +00 +38 +00 +a0 +00 +33 +00 +a4 +00 +40 +00 +a4 +00 +38 +00 +bc +00 +3f +00 +98 +00 +3e +00 +ae +00 +3e +00 +a9 +00 +39 +00 +a8 +00 +2a +00 +a3 +00 +37 +00 +80 +00 +26 +00 +67 +00 +24 +00 +68 +00 +28 +00 +5e +00 +21 +00 +6d +00 +2e +00 +7c +00 +33 +00 +9e +00 +2d +00 +8b +00 +2e +00 +79 +00 +2e +00 +a0 +00 +50 +00 +ae +10 +e0 +00 +3a +20 +d7 +00 +50 +20 +71 +00 +25 +10 +73 +00 +49 +10 +61 +00 +11 +10 +16 +10 +9d +40 +47 +20 +ab +60 +fc +10 +98 +30 +80 +00 +46 +10 +67 +00 +77 +10 +e6 +00 +47 +30 +f3 +10 +36 +70 +12 +30 +f0 +80 +bb +20 +73 +50 +0b +10 +de +20 +e3 +10 +cd +70 +69 +30 +e4 +90 +c0 +30 +58 +a0 +b0 +30 +64 +a0 +c5 +30 +53 +a0 +c7 +30 +80 +a0 +2a +30 +80 +60 +6d +10 +5d +30 +c6 +00 +bc +10 +75 +00 +3c +10 +71 +00 +39 +10 +92 +00 +c7 +10 +c3 +00 +3a +20 +c6 +00 +f4 +10 +a3 +00 +01 +30 +cc +10 +3d +50 +20 +20 +3e +30 +79 +00 +f8 +00 +5e +00 +f2 +00 +56 +00 +fb +00 +7d +00 +77 +20 +07 +20 +cc +50 +3e +20 +e2 +50 +df +10 +67 +60 +f8 +20 +76 +80 +09 +30 +98 +60 +0b +20 +f5 +60 +0c +30 +e2 +60 +13 +10 +35 +40 +37 +30 +67 +90 +8f +30 +63 +90 +5d +30 +02 +90 +30 +30 +3a +80 +1c +20 +93 +40 +23 +20 +3d +80 +51 +30 +a5 +90 +82 +30 +e1 +90 +70 +30 +cb +90 +80 +30 +e7 +90 +7f +30 +14 +a0 +86 +30 +a9 +90 +67 +30 +fb +90 +4e +30 +4f +90 +64 +20 +6a +40 +92 +00 +95 +10 +6c +00 +6e +10 +7a +00 +5d +10 +87 +00 +21 +10 +3e +00 +b1 +00 +3d +00 +b0 +00 +44 +00 +a8 +00 +2b +00 +79 +00 +2d +00 +6e +00 +2b +00 +58 +00 +25 +00 +5e +00 +28 +00 +67 +00 +20 +00 +5f +00 +27 +00 +6d +00 +27 +00 +5f +00 +21 +00 +66 +00 +25 +00 +68 +00 +32 +00 +78 +00 +2a +00 +60 +00 +2d +00 +5e +00 +20 +00 +6b +00 +30 +00 +75 +00 +25 +00 +57 +00 +22 +00 +4b +00 +1d +00 +44 +00 +1d +00 +4d +00 +18 +00 +45 +00 +19 +00 +45 +00 +20 +00 +3d +00 +1c +00 +42 +00 +14 +00 +38 +00 +17 +00 +42 +00 +1a +00 +4d +00 +1b +00 +3e +00 +17 +00 +44 +00 +19 +00 +47 +00 +18 +00 +4b +00 +1c +00 +49 +00 +1b +00 +4d +00 +14 +00 +38 +00 +1a +00 +41 +00 +1a +00 +39 +00 +18 +00 +38 +00 +15 +00 +32 +00 +15 +00 +38 +00 +18 +00 +4e +00 +20 +00 +4d +00 +15 +00 +33 +00 +0c +00 +33 +00 +0f +00 +20 +00 +0d +00 +23 +00 +11 +00 +2a +00 +0f +00 +2a +00 +12 +00 +2c +00 +0b +00 +2f +00 +0c +00 +26 +00 +1e +00 +3c +00 +19 +00 +37 +00 +17 +00 +4e +00 +14 +00 +3a +00 +15 +00 +35 +00 +19 +00 +43 +00 +14 +00 +35 +00 +16 +00 +3e +00 +17 +00 +3f +00 +14 +00 +37 +00 +19 +00 +3d +00 +15 +00 +3a +00 +14 +00 +42 +00 +27 +00 +51 +00 +1d +00 +55 +00 +16 +00 +3f +00 +19 +00 +43 +00 +17 +00 +4f +00 +25 +00 +4e +00 +13 +00 +31 +00 +2a +00 +29 +10 +a6 +00 +5f +10 +3f +00 +5f +00 +1c +00 +44 +00 +16 +00 +39 +00 +18 +00 +30 +00 +15 +00 +3d +00 +15 +00 +3b +00 +1d +00 +48 +00 +24 +00 +97 +00 +b6 +00 +3d +10 +41 +00 +b5 +00 +2e +00 +74 +00 +2d +00 +7d +00 +41 +00 +6b +00 +2e +00 +53 +00 +21 +00 +4c +00 +1e +00 +4d +00 +20 +00 +65 +00 +1e +00 +6b +00 +3c +00 +91 +00 +2e +00 +95 +00 +23 +00 +72 +00 +28 +00 +6e +00 +24 +00 +66 +00 +2a +00 +5d +00 +22 +00 +74 +00 +2c +00 +71 +00 +21 +00 +4c +00 +17 +00 +40 +00 +17 +00 +4a +00 +14 +00 +3b +00 +17 +00 +5a +00 +44 +00 +04 +10 +4c +00 +af +00 +21 +00 +5c +00 +24 +00 +4c +00 +1c +00 +6e +00 +2f +00 +c9 +00 +5d +00 +07 +10 +38 +00 +83 +00 +25 +00 +4b +00 +1a +00 +49 +00 +18 +00 +4d +00 +1c +00 +41 +00 +1d +00 +46 +00 +1b +00 +4d +00 +22 +00 +59 +00 +23 +00 +54 +00 +33 +00 +76 +00 +24 +00 +62 +00 +20 +00 +5a +00 +23 +00 +a3 +00 +5c +00 +8c +00 +35 +00 +b9 +00 +95 +00 +a6 +10 +45 +00 +89 +00 +2b +00 +79 +00 +25 +00 +40 +00 +1c +00 +3d +00 +14 +00 +3b +00 +1a +00 +51 +00 +23 +00 +d2 +00 +05 +10 +f4 +20 +89 +10 +45 +20 +36 +00 +68 +00 +26 +00 +46 +00 +21 +00 +56 +00 +4d +00 +9c +00 +25 +00 +4b +00 +16 +00 +48 +00 +19 +00 +41 +00 +19 +00 +39 +00 +1f +00 +43 +00 +1d +00 +39 +00 +1f +00 +49 +00 +19 +00 +5b +00 +27 +00 +52 +00 +1e +00 +3b +00 +0f +00 +39 +00 +1b +00 +32 +00 +10 +00 +49 +00 +1e +00 +4a +00 +20 +00 +53 +00 +1e +00 +41 +00 +15 +00 +40 +00 +16 +00 +4c +00 +18 +00 +39 +00 +1c +00 +4c +00 +00 +00 +00 +00 +5d +00 +45 +00 +85 +00 +52 +00 +93 +00 +5d +00 +93 +00 +65 +00 +96 +00 +63 +00 +9b +00 +69 +00 +99 +00 +70 +00 +ae +00 +68 +00 +94 +00 +65 +00 +a9 +00 +6c +00 +99 +00 +66 +00 +9a +00 +67 +00 +a5 +00 +69 +00 +9a +00 +75 +00 +a9 +00 +68 +00 +a0 +00 +6d +00 +a1 +00 +77 +00 +ad +00 +77 +00 +a6 +00 +6f +00 +ac +00 +6c +00 +92 +00 +51 +00 +75 +00 +41 +00 +5d +00 +3b +00 +50 +00 +40 +00 +59 +00 +43 +00 +74 +00 +48 +00 +7f +00 +51 +00 +78 +00 +64 +00 +7d +00 +71 +00 +8f +00 +71 +00 +c5 +00 +ab +00 +04 +10 +d8 +00 +48 +10 +f6 +00 +72 +10 +cc +00 +14 +10 +f5 +00 +19 +10 +81 +10 +12 +20 +49 +20 +3d +60 +de +30 +44 +70 +ec +20 +a0 +20 +f2 +00 +02 +10 +46 +10 +b9 +20 +f6 +20 +fc +40 +e0 +40 +d7 +80 +0b +50 +bc +60 +f2 +20 +f7 +20 +3d +30 +06 +50 +76 +50 +7e +90 +eb +60 +0e +a0 +9c +60 +4c +a0 +6a +50 +b5 +70 +ee +50 +68 +a0 +60 +60 +8c +80 +85 +30 +5b +30 +cc +10 +dd +10 +61 +10 +67 +10 +78 +10 +73 +10 +26 +20 +4d +40 +0a +30 +35 +50 +96 +20 +a3 +40 +f1 +10 +9f +20 +e2 +20 +ba +40 +68 +30 +e4 +60 +b5 +20 +14 +20 +c0 +00 +e0 +00 +96 +00 +e6 +00 +93 +10 +b3 +20 +19 +40 +1c +70 +e0 +30 +67 +50 +72 +30 +f8 +50 +68 +50 +d3 +80 +b1 +50 +03 +90 +8b +40 +95 +60 +45 +50 +d5 +80 +00 +50 +7d +40 +b6 +30 +65 +70 +10 +60 +10 +a0 +80 +60 +e6 +90 +32 +60 +3e +90 +5d +60 +f9 +80 +d5 +50 +9b +50 +37 +40 +20 +70 +19 +60 +e7 +90 +b3 +60 +cd +90 +9f +60 +08 +a0 +bc +60 +be +90 +e0 +60 +f7 +90 +f7 +60 +f8 +90 +ff +60 +db +90 +ec +50 +bb +70 +f9 +20 +40 +20 +92 +10 +65 +10 +30 +10 +49 +10 +35 +10 +68 +10 +f2 +00 +e8 +00 +a5 +00 +e9 +00 +77 +10 +f3 +10 +95 +00 +91 +00 +5a +00 +86 +00 +56 +00 +6d +00 +3d +00 +66 +00 +37 +00 +5f +00 +32 +00 +58 +00 +35 +00 +75 +00 +3b +00 +6c +00 +37 +00 +60 +00 +3d +00 +65 +00 +44 +00 +69 +00 +38 +00 +68 +00 +3a +00 +6c +00 +3a +00 +5b +00 +93 +00 +ee +10 +79 +00 +6a +00 +37 +00 +49 +00 +2b +00 +44 +00 +29 +00 +4a +00 +23 +00 +42 +00 +22 +00 +4c +00 +24 +00 +4e +00 +23 +00 +3a +00 +29 +00 +4c +00 +2f +00 +3f +00 +26 +00 +51 +00 +2b +00 +4b +00 +1e +00 +49 +00 +25 +00 +46 +00 +21 +00 +3c +00 +3a +00 +5c +00 +46 +00 +56 +00 +36 +00 +46 +00 +22 +00 +47 +00 +26 +00 +38 +00 +1f +00 +34 +00 +27 +00 +35 +00 +1d +00 +39 +00 +24 +00 +42 +00 +19 +00 +44 +00 +22 +00 +3a +00 +21 +00 +2d +00 +19 +00 +26 +00 +18 +00 +29 +00 +18 +00 +2b +00 +16 +00 +25 +00 +1b +00 +30 +00 +1b +00 +2a +00 +13 +00 +30 +00 +1e +00 +42 +00 +26 +00 +46 +00 +1f +00 +45 +00 +1b +00 +3e +00 +29 +00 +34 +00 +21 +00 +39 +00 +20 +00 +30 +00 +1f +00 +3d +00 +20 +00 +47 +00 +22 +00 +33 +00 +24 +00 +3e +00 +20 +00 +37 +00 +28 +00 +3d +00 +28 +00 +4c +00 +7a +00 +b9 +00 +32 +00 +4c +00 +2f +00 +43 +00 +23 +00 +44 +00 +1c +00 +34 +00 +21 +00 +3b +00 +33 +00 +5e +00 +7e +00 +2a +10 +49 +00 +9d +00 +45 +00 +40 +00 +27 +00 +3b +00 +20 +00 +35 +00 +1d +00 +2d +00 +1b +00 +36 +00 +1a +00 +44 +00 +2d +00 +46 +00 +8c +00 +11 +20 +0c +10 +0d +10 +97 +00 +9d +00 +49 +00 +63 +00 +2b +00 +69 +00 +2f +00 +54 +00 +2b +00 +46 +00 +29 +00 +3f +00 +33 +00 +47 +00 +34 +00 +53 +00 +38 +00 +b5 +00 +3f +00 +72 +00 +38 +00 +5c +00 +50 +00 +61 +00 +5c +00 +5a +00 +39 +00 +53 +00 +42 +00 +5d +00 +36 +00 +55 +00 +24 +00 +4a +00 +22 +00 +40 +00 +25 +00 +41 +00 +37 +00 +3f +00 +27 +00 +3c +00 +48 +00 +8d +00 +a3 +00 +e2 +00 +4e +00 +5d +00 +3a +00 +4b +00 +2a +00 +48 +00 +4d +00 +80 +00 +5d +00 +a2 +00 +5f +00 +86 +00 +53 +00 +58 +00 +29 +00 +43 +00 +29 +00 +48 +00 +21 +00 +43 +00 +23 +00 +4e +00 +2c +00 +51 +00 +33 +00 +55 +00 +2a +00 +52 +00 +41 +00 +5a +00 +64 +00 +79 +00 +53 +00 +64 +00 +45 +00 +5e +00 +42 +00 +81 +00 +50 +00 +6e +00 +86 +00 +4a +20 +05 +10 +7d +10 +9d +00 +78 +00 +54 +00 +5c +00 +28 +00 +3a +00 +23 +00 +37 +00 +2a +00 +41 +00 +4f +00 +75 +00 +73 +10 +c1 +40 +c3 +20 +65 +40 +b0 +10 +1d +10 +85 +00 +5d +00 +31 +00 +41 +00 +36 +00 +c2 +00 +72 +00 +82 +00 +33 +00 +3e +00 +26 +00 +46 +00 +22 +00 +4a +00 +24 +00 +32 +00 +20 +00 +38 +00 +26 +00 +37 +00 +27 +00 +3d +00 +27 +00 +4b +00 +2e +00 +44 +00 +21 +00 +36 +00 +20 +00 +38 +00 +1a +00 +30 +00 +22 +00 +3a +00 +25 +00 +45 +00 +27 +00 +3f +00 +27 +00 +39 +00 +1d +00 +37 +00 +2c +00 +3c +00 +2e +00 +2e +00 +2f +00 +00 +00 +00 +00 +22 +00 +72 +00 +2a +00 +78 +00 +35 +00 +8b +00 +3e +00 +9a +00 +3e +00 +8b +00 +3a +00 +9e +00 +30 +00 +a1 +00 +32 +00 +8b +00 +31 +00 +a2 +00 +31 +00 +a7 +00 +38 +00 +9e +00 +39 +00 +98 +00 +3d +00 +9f +00 +3e +00 +a6 +00 +37 +00 +a0 +00 +3e +00 +a0 +00 +30 +00 +b4 +00 +37 +00 +aa +00 +36 +00 +aa +00 +36 +00 +9c +00 +32 +00 +7e +00 +22 +00 +5f +00 +24 +00 +5d +00 +1e +00 +60 +00 +29 +00 +7d +00 +26 +00 +7c +00 +30 +00 +7e +00 +2a +00 +81 +00 +45 +00 +c4 +00 +31 +00 +9c +00 +45 +00 +ce +00 +56 +00 +fb +00 +59 +00 +f3 +00 +4d +00 +fe +00 +5b +00 +1f +10 +9a +00 +b1 +20 +6e +10 +35 +30 +52 +10 +fb +40 +8c +20 +c8 +50 +da +00 +79 +10 +55 +00 +35 +10 +73 +10 +63 +50 +16 +20 +55 +70 +27 +30 +86 +80 +b3 +10 +5e +30 +41 +10 +92 +40 +35 +20 +0f +80 +84 +30 +ed +90 +b6 +30 +42 +a0 +34 +30 +26 +70 +8d +10 +89 +50 +69 +30 +fd +90 +ed +20 +f7 +50 +1e +10 +d2 +20 +00 +10 +71 +20 +d6 +00 +98 +20 +66 +10 +be +40 +11 +20 +04 +50 +86 +10 +a5 +30 +1a +10 +19 +30 +a8 +10 +d0 +40 +63 +20 +da +50 +68 +20 +3e +50 +ae +00 +2e +10 +56 +00 +05 +10 +63 +00 +2c +20 +3f +20 +44 +70 +fd +20 +e3 +60 +56 +10 +6e +40 +d1 +20 +c1 +80 +7b +30 +ce +90 +c2 +20 +05 +60 +d9 +20 +d8 +80 +74 +30 +c7 +80 +9e +10 +1b +40 +1b +30 +e3 +90 +99 +30 +5f +a0 +97 +30 +c1 +90 +67 +30 +86 +90 +4a +30 +66 +90 +fa +20 +24 +70 +a4 +10 +d4 +50 +02 +30 +b6 +90 +7d +30 +0b +a0 +9f +30 +08 +a0 +71 +30 +cc +90 +71 +30 +c3 +90 +9b +30 +de +90 +58 +30 +55 +90 +79 +20 +5d +40 +cf +00 +37 +20 +b2 +00 +61 +10 +6a +00 +a1 +10 +0f +10 +b8 +10 +50 +00 +bc +00 +63 +00 +0b +30 +cf +00 +5c +10 +40 +00 +7f +00 +2b +00 +78 +00 +28 +00 +78 +00 +26 +00 +66 +00 +21 +00 +51 +00 +27 +00 +71 +00 +32 +00 +86 +00 +2b +00 +5d +00 +2c +00 +66 +00 +24 +00 +5a +00 +28 +00 +65 +00 +24 +00 +64 +00 +1d +00 +53 +00 +33 +00 +f9 +00 +88 +00 +16 +10 +2c +00 +5c +00 +1e +00 +49 +00 +1f +00 +36 +00 +23 +00 +4b +00 +1c +00 +43 +00 +1b +00 +45 +00 +1f +00 +4f +00 +18 +00 +46 +00 +19 +00 +43 +00 +1c +00 +3f +00 +21 +00 +58 +00 +21 +00 +46 +00 +16 +00 +44 +00 +1e +00 +4e +00 +1b +00 +4b +00 +78 +00 +53 +10 +2c +00 +5b +00 +1a +00 +41 +00 +1e +00 +44 +00 +1a +00 +45 +00 +13 +00 +3d +00 +16 +00 +36 +00 +18 +00 +3a +00 +1a +00 +43 +00 +18 +00 +41 +00 +15 +00 +4d +00 +18 +00 +2d +00 +14 +00 +27 +00 +0f +00 +27 +00 +0c +00 +20 +00 +12 +00 +31 +00 +12 +00 +2e +00 +11 +00 +34 +00 +1a +00 +33 +00 +1c +00 +3f +00 +1d +00 +3e +00 +17 +00 +3b +00 +18 +00 +3b +00 +18 +00 +39 +00 +19 +00 +39 +00 +1a +00 +3a +00 +1c +00 +3c +00 +11 +00 +3e +00 +10 +00 +42 +00 +1a +00 +3a +00 +15 +00 +3c +00 +1e +00 +3c +00 +23 +00 +8c +00 +3e +00 +6b +00 +23 +00 +44 +00 +17 +00 +42 +00 +15 +00 +37 +00 +14 +00 +3d +00 +14 +00 +3e +00 +1c +00 +6f +00 +2c +00 +8c +00 +28 +00 +5e +00 +10 +00 +33 +00 +11 +00 +35 +00 +27 +00 +38 +00 +0e +00 +3d +00 +14 +00 +35 +00 +15 +00 +3e +00 +1b +00 +99 +00 +e6 +00 +c3 +20 +d4 +00 +24 +10 +2c +00 +68 +00 +1b +00 +56 +00 +20 +00 +4c +00 +1f +00 +48 +00 +18 +00 +41 +00 +1a +00 +41 +00 +17 +00 +4f +00 +21 +00 +6a +00 +31 +00 +6e +00 +26 +00 +56 +00 +26 +00 +b6 +00 +6a +00 +a8 +00 +20 +00 +4a +00 +22 +00 +4d +00 +26 +00 +5d +00 +1e +00 +47 +00 +1a +00 +39 +00 +14 +00 +38 +00 +16 +00 +3e +00 +14 +00 +33 +00 +19 +00 +51 +00 +25 +00 +86 +00 +3e +00 +7b +00 +1c +00 +4f +00 +1b +00 +46 +00 +16 +00 +52 +00 +28 +00 +70 +00 +25 +00 +65 +00 +1c +00 +53 +00 +1a +00 +43 +00 +1d +00 +42 +00 +15 +00 +3a +00 +17 +00 +42 +00 +21 +00 +4b +00 +2b +00 +90 +00 +1f +00 +4e +00 +1d +00 +55 +00 +2c +00 +da +00 +a2 +00 +a0 +00 +2c +00 +61 +00 +28 +00 +59 +00 +2b +00 +6d +00 +26 +00 +8a +00 +5c +00 +52 +10 +20 +10 +ac +10 +2f +00 +6b +00 +1f +00 +30 +00 +14 +00 +37 +00 +19 +00 +47 +00 +22 +00 +63 +00 +7f +00 +dd +10 +3e +10 +62 +40 +6d +10 +fe +10 +54 +00 +b1 +00 +22 +00 +53 +00 +1c +00 +54 +00 +22 +00 +72 +00 +2c +00 +52 +00 +1c +00 +3f +00 +20 +00 +58 +00 +1a +00 +4b +00 +17 +00 +41 +00 +10 +00 +39 +00 +15 +00 +3f +00 +1b +00 +3d +00 +1a +00 +3d +00 +1a +00 +38 +00 +12 +00 +2d +00 +14 +00 +2f +00 +15 +00 +31 +00 +16 +00 +38 +00 +1a +00 +3d +00 +13 +00 +36 +00 +17 +00 +33 +00 +15 +00 +32 +00 +13 +00 +3b +00 +18 +00 +35 +00 +00 +00 +00 +00 +58 +00 +52 +00 +6d +00 +62 +00 +7e +00 +66 +00 +a1 +00 +6c +00 +a2 +00 +6a +00 +97 +00 +74 +00 +9f +00 +79 +00 +98 +00 +6d +00 +9f +00 +70 +00 +a7 +00 +6f +00 +a6 +00 +77 +00 +a0 +00 +63 +00 +9f +00 +7a +00 +af +00 +75 +00 +a7 +00 +71 +00 +a5 +00 +73 +00 +a9 +00 +74 +00 +a2 +00 +74 +00 +aa +00 +66 +00 +a2 +00 +58 +00 +8b +00 +4b +00 +71 +00 +39 +00 +5c +00 +3a +00 +6c +00 +38 +00 +6c +00 +3f +00 +7e +00 +3e +00 +60 +00 +4e +00 +84 +00 +e5 +00 +de +10 +09 +10 +fe +00 +97 +00 +dd +00 +18 +10 +c3 +10 +01 +10 +22 +10 +16 +10 +fd +00 +24 +10 +a8 +10 +29 +20 +b3 +30 +89 +20 +a5 +40 +d9 +10 +81 +20 +9c +10 +06 +30 +a9 +10 +44 +30 +26 +10 +12 +10 +1a +10 +ef +10 +14 +20 +b3 +40 +49 +40 +9d +80 +02 +40 +98 +40 +38 +30 +78 +40 +fb +30 +8b +50 +75 +50 +97 +90 +6d +60 +f3 +90 +91 +50 +55 +70 +57 +30 +99 +30 +32 +30 +80 +60 +12 +50 +2f +80 +d9 +20 +fb +20 +16 +20 +92 +50 +c6 +30 +ed +50 +4c +30 +67 +50 +21 +20 +01 +30 +79 +10 +3e +20 +48 +10 +fe +10 +49 +20 +8e +50 +ed +30 +61 +70 +32 +50 +ca +70 +d3 +20 +94 +20 +f4 +00 +fe +00 +ac +00 +0b +10 +ec +10 +fb +50 +9b +40 +9d +80 +f4 +30 +ae +40 +de +40 +2d +80 +3c +60 +15 +a0 +eb +50 +ee +70 +46 +50 +3b +80 +0e +60 +9c +90 +72 +50 +f1 +50 +fe +30 +92 +70 +31 +60 +5a +a0 +f8 +60 +95 +a0 +e9 +60 +18 +a0 +d3 +60 +a5 +90 +80 +60 +57 +90 +51 +60 +76 +80 +d0 +40 +2c +50 +4f +50 +83 +90 +69 +60 +e3 +90 +9c +60 +e0 +90 +b4 +60 +cb +90 +bc +60 +fa +90 +db +60 +85 +90 +0f +60 +77 +80 +24 +50 +21 +50 +44 +30 +a8 +30 +b6 +10 +81 +10 +4e +20 +d3 +40 +97 +10 +45 +10 +ca +00 +f9 +00 +f4 +00 +ca +10 +e8 +00 +be +00 +6c +00 +86 +00 +4c +00 +77 +00 +4f +00 +76 +00 +56 +00 +54 +00 +3d +00 +57 +00 +32 +00 +66 +00 +3b +00 +63 +00 +43 +00 +6e +00 +37 +00 +59 +00 +41 +00 +5d +00 +3f +00 +56 +00 +36 +00 +59 +00 +34 +00 +65 +00 +60 +00 +9b +00 +51 +00 +88 +00 +34 +00 +47 +00 +2a +00 +43 +00 +2e +00 +40 +00 +29 +00 +48 +00 +27 +00 +40 +00 +2e +00 +41 +00 +21 +00 +39 +00 +28 +00 +49 +00 +2e +00 +3f +00 +1b +00 +4c +00 +27 +00 +46 +00 +22 +00 +49 +00 +25 +00 +48 +00 +29 +00 +4b +00 +3b +00 +b6 +00 +5d +00 +9f +00 +35 +00 +40 +00 +20 +00 +3f +00 +28 +00 +3e +00 +26 +00 +3d +00 +41 +00 +62 +00 +2b +00 +45 +00 +21 +00 +44 +00 +21 +00 +42 +00 +28 +00 +43 +00 +20 +00 +35 +00 +1f +00 +34 +00 +1c +00 +29 +00 +17 +00 +2b +00 +1a +00 +2f +00 +18 +00 +2f +00 +1d +00 +2e +00 +1e +00 +31 +00 +1f +00 +3b +00 +1b +00 +4f +00 +19 +00 +42 +00 +21 +00 +3f +00 +28 +00 +2d +00 +1b +00 +3b +00 +21 +00 +40 +00 +1f +00 +45 +00 +2f +00 +3a +00 +1f +00 +3b +00 +69 +00 +6b +00 +39 +00 +44 +00 +31 +00 +34 +00 +32 +00 +4d +00 +35 +00 +53 +00 +28 +00 +45 +00 +1e +00 +34 +00 +1e +00 +3c +00 +26 +00 +3b +00 +1e +00 +3f +00 +23 +00 +4d +00 +36 +00 +59 +00 +39 +00 +65 +00 +2a +00 +3e +00 +24 +00 +2d +00 +1f +00 +39 +00 +20 +00 +3c +00 +23 +00 +44 +00 +1e +00 +3a +00 +2d +00 +4f +00 +4c +00 +ab +00 +85 +00 +a0 +10 +83 +00 +9f +00 +47 +00 +5d +00 +33 +00 +4d +00 +2d +00 +3d +00 +2b +00 +3c +00 +24 +00 +44 +00 +26 +00 +3d +00 +2e +00 +55 +00 +93 +00 +8e +00 +54 +00 +58 +00 +39 +00 +65 +00 +aa +00 +4f +20 +a7 +00 +77 +00 +3e +00 +4d +00 +2a +00 +6c +00 +30 +00 +4d +00 +21 +00 +42 +00 +1f +00 +33 +00 +1e +00 +3e +00 +26 +00 +3a +00 +20 +00 +37 +00 +30 +00 +5b +00 +40 +00 +68 +00 +2e +00 +4e +00 +2f +00 +47 +00 +26 +00 +41 +00 +38 +00 +4b +00 +35 +00 +4f +00 +37 +00 +4d +00 +2e +00 +4a +00 +2a +00 +4b +00 +2c +00 +4e +00 +29 +00 +44 +00 +23 +00 +3f +00 +2e +00 +49 +00 +42 +00 +63 +00 +ac +00 +90 +00 +ef +00 +af +00 +f9 +00 +95 +30 +3e +10 +e0 +00 +fd +00 +aa +00 +78 +00 +8d +00 +49 +00 +60 +00 +48 +00 +82 +00 +9b +00 +0b +30 +d0 +00 +bd +00 +52 +00 +45 +00 +2f +00 +38 +00 +27 +00 +37 +00 +2c +00 +55 +00 +79 +00 +59 +10 +9f +10 +ef +20 +82 +20 +f6 +30 +b9 +10 +37 +10 +ac +00 +6c +00 +3d +00 +47 +00 +2b +00 +56 +00 +2b +00 +53 +00 +3e +00 +4f +00 +26 +00 +5a +00 +23 +00 +4a +00 +25 +00 +4a +00 +21 +00 +44 +00 +22 +00 +3d +00 +24 +00 +39 +00 +21 +00 +3a +00 +26 +00 +39 +00 +1a +00 +2d +00 +1d +00 +2c +00 +1e +00 +2e +00 +21 +00 +31 +00 +26 +00 +35 +00 +20 +00 +31 +00 +21 +00 +37 +00 +1c +00 +35 +00 +1a +00 +31 +00 +1d +00 +28 +00 +18 +00 +00 +00 +00 +00 +20 +00 +66 +00 +2e +00 +8a +00 +31 +00 +94 +00 +30 +00 +a6 +00 +36 +00 +90 +00 +3f +00 +90 +00 +33 +00 +a3 +00 +34 +00 +b3 +00 +37 +00 +a2 +00 +40 +00 +98 +00 +3b +00 +a3 +00 +2f +00 +a1 +00 +3c +00 +ab +00 +40 +00 +9b +00 +3c +00 +9d +00 +39 +00 +ab +00 +4d +00 +ad +00 +3a +00 +b6 +00 +3b +00 +a7 +00 +3b +00 +94 +00 +30 +00 +76 +00 +28 +00 +63 +00 +2a +00 +6e +00 +23 +00 +61 +00 +2b +00 +69 +00 +24 +00 +66 +00 +27 +00 +6f +00 +3c +00 +1c +10 +12 +10 +2b +20 +63 +00 +e7 +00 +e3 +00 +cc +20 +b1 +00 +8c +10 +17 +10 +ac +20 +b6 +00 +64 +10 +18 +10 +04 +50 +19 +20 +c5 +40 +ff +00 +63 +20 +7d +00 +bc +10 +99 +00 +ae +10 +91 +00 +7a +10 +60 +00 +0a +10 +70 +00 +a9 +10 +06 +10 +cf +40 +54 +20 +4e +50 +39 +10 +08 +40 +82 +20 +2f +70 +25 +20 +a3 +70 +7e +30 +ad +90 +72 +30 +59 +80 +9e +10 +a8 +30 +ce +00 +1b +30 +0a +20 +62 +70 +e6 +10 +95 +30 +b9 +00 +1a +20 +a9 +10 +44 +60 +c1 +20 +2d +70 +7c +10 +b2 +20 +a4 +00 +d0 +10 +8b +00 +7d +10 +96 +00 +ae +20 +51 +20 +2f +60 +d3 +20 +a9 +80 +fc +20 +67 +50 +bb +00 +44 +10 +5f +00 +f3 +00 +5e +00 +a0 +10 +d8 +10 +c1 +70 +93 +20 +6b +50 +30 +20 +bf +70 +6b +30 +27 +a0 +94 +30 +b1 +90 +40 +20 +68 +70 +43 +30 +db +90 +8e +30 +49 +90 +dd +10 +52 +40 +da +20 +af +90 +a5 +30 +92 +a0 +8d +30 +55 +a0 +8a +30 +d4 +90 +66 +30 +a2 +90 +7e +30 +ad +90 +55 +30 +bf +80 +d5 +10 +98 +40 +8e +20 +ec +80 +65 +30 +96 +90 +55 +30 +99 +90 +8a +30 +26 +a0 +59 +30 +d7 +90 +53 +30 +41 +90 +3d +30 +6c +80 +8f +20 +f0 +50 +98 +10 +ea +30 +05 +10 +e1 +40 +84 +10 +e2 +20 +6c +00 +f3 +00 +59 +00 +3d +10 +61 +00 +11 +10 +73 +00 +d7 +00 +34 +00 +8c +00 +28 +00 +80 +00 +39 +00 +7e +00 +27 +00 +63 +00 +1d +00 +56 +00 +20 +00 +5e +00 +23 +00 +78 +00 +22 +00 +59 +00 +22 +00 +4f +00 +1f +00 +5f +00 +1f +00 +52 +00 +1e +00 +4c +00 +1b +00 +6b +00 +2e +00 +72 +00 +1d +00 +4d +00 +1e +00 +4e +00 +15 +00 +3d +00 +1d +00 +47 +00 +18 +00 +44 +00 +19 +00 +3a +00 +16 +00 +3d +00 +15 +00 +49 +00 +1f +00 +43 +00 +16 +00 +3f +00 +1a +00 +45 +00 +1d +00 +45 +00 +14 +00 +4c +00 +21 +00 +49 +00 +1f +00 +46 +00 +28 +00 +57 +00 +24 +00 +6c +00 +19 +00 +3b +00 +12 +00 +4a +00 +1b +00 +3f +00 +20 +00 +73 +00 +6f +00 +79 +00 +17 +00 +3f +00 +17 +00 +36 +00 +1b +00 +3e +00 +16 +00 +3c +00 +13 +00 +35 +00 +0d +00 +26 +00 +13 +00 +24 +00 +0c +00 +2f +00 +12 +00 +32 +00 +15 +00 +32 +00 +16 +00 +38 +00 +1a +00 +33 +00 +1e +00 +43 +00 +21 +00 +39 +00 +13 +00 +43 +00 +14 +00 +3d +00 +13 +00 +30 +00 +12 +00 +3f +00 +1a +00 +42 +00 +16 +00 +4f +00 +15 +00 +37 +00 +1c +00 +91 +00 +90 +00 +ad +00 +21 +00 +4e +00 +16 +00 +3a +00 +16 +00 +3f +00 +1c +00 +47 +00 +13 +00 +3d +00 +19 +00 +3b +00 +17 +00 +2f +00 +16 +00 +3a +00 +14 +00 +44 +00 +17 +00 +49 +00 +16 +00 +46 +00 +1c +00 +39 +00 +13 +00 +33 +00 +12 +00 +3e +00 +13 +00 +2b +00 +15 +00 +4c +00 +14 +00 +44 +00 +18 +00 +3d +00 +19 +00 +5b +00 +23 +00 +96 +00 +37 +00 +9c +00 +20 +00 +5c +00 +16 +00 +4f +00 +17 +00 +32 +00 +1a +00 +8d +00 +27 +00 +63 +00 +1d +00 +47 +00 +18 +00 +44 +00 +3c +00 +07 +20 +70 +00 +9f +00 +20 +00 +5e +00 +21 +00 +87 +00 +49 +00 +e9 +00 +32 +00 +6a +00 +19 +00 +4d +00 +20 +00 +4b +00 +1d +00 +3f +00 +0e +00 +3f +00 +16 +00 +3f +00 +18 +00 +38 +00 +18 +00 +33 +00 +1e +00 +40 +00 +1f +00 +6f +00 +22 +00 +4f +00 +1a +00 +47 +00 +12 +00 +43 +00 +19 +00 +54 +00 +1e +00 +4f +00 +1b +00 +47 +00 +16 +00 +4c +00 +17 +00 +55 +00 +16 +00 +3e +00 +18 +00 +41 +00 +20 +00 +4a +00 +1d +00 +43 +00 +22 +00 +68 +00 +5a +00 +3c +20 +b8 +00 +ad +10 +85 +00 +0f +10 +5c +10 +51 +30 +e2 +00 +b7 +20 +5f +00 +b7 +00 +42 +00 +75 +00 +1e +00 +60 +00 +29 +00 +97 +00 +84 +00 +1f +10 +39 +00 +70 +00 +18 +00 +4e +00 +16 +00 +3a +00 +19 +00 +44 +00 +1b +00 +a3 +00 +dd +00 +8e +20 +2b +20 +80 +60 +8c +10 +4a +30 +9b +00 +c9 +00 +23 +00 +58 +00 +1a +00 +4b +00 +18 +00 +4e +00 +20 +00 +52 +00 +24 +00 +51 +00 +1d +00 +4d +00 +1e +00 +4a +00 +1d +00 +41 +00 +10 +00 +3d +00 +1c +00 +33 +00 +17 +00 +3d +00 +20 +00 +3f +00 +15 +00 +37 +00 +13 +00 +35 +00 +15 +00 +2e +00 +13 +00 +32 +00 +15 +00 +24 +00 +12 +00 +42 +00 +13 +00 +32 +00 +16 +00 +3a +00 +11 +00 +2c +00 +10 +00 +35 +00 +0f +00 +27 +00 +00 +00 +00 +00 +6b +00 +51 +00 +76 +00 +61 +00 +8e +00 +61 +00 +96 +00 +60 +00 +8c +00 +67 +00 +92 +00 +70 +00 +91 +00 +70 +00 +99 +00 +68 +00 +a4 +00 +75 +00 +98 +00 +69 +00 +a1 +00 +6e +00 +b0 +00 +6c +00 +9d +00 +75 +00 +a0 +00 +6e +00 +a4 +00 +6d +00 +a2 +00 +6c +00 +a9 +00 +66 +00 +ac +00 +66 +00 +a5 +00 +6f +00 +a3 +00 +53 +00 +8d +00 +47 +00 +6a +00 +4a +00 +6d +00 +4f +00 +71 +00 +40 +00 +66 +00 +41 +00 +6e +00 +42 +00 +77 +00 +7b +00 +b3 +00 +1e +10 +0e +30 +db +00 +65 +10 +a7 +00 +1f +10 +c8 +00 +8c +10 +08 +10 +41 +20 +cf +20 +cd +40 +d8 +10 +2b +20 +9f +20 +60 +50 +d2 +10 +32 +20 +4a +10 +5e +10 +f1 +00 +6e +10 +d7 +00 +10 +10 +a3 +00 +e8 +00 +b7 +00 +25 +10 +10 +10 +bb +10 +b4 +10 +13 +30 +98 +20 +89 +30 +39 +40 +4b +70 +d3 +40 +f4 +50 +ce +40 +e5 +80 +c5 +50 +82 +90 +2f +50 +49 +50 +63 +30 +21 +30 +4c +20 +29 +40 +2c +30 +39 +50 +f5 +10 +d2 +10 +33 +10 +0b +20 +93 +10 +35 +40 +68 +20 +78 +30 +6a +10 +99 +10 +fd +00 +5f +10 +11 +10 +88 +10 +cb +10 +06 +40 +1e +30 +01 +60 +ab +30 +87 +70 +73 +20 +50 +20 +d3 +00 +fd +00 +a6 +00 +e4 +00 +54 +10 +80 +20 +53 +30 +e5 +50 +be +30 +63 +60 +a8 +50 +e2 +90 +8a +60 +57 +a0 +90 +50 +c6 +60 +b2 +50 +33 +90 +72 +60 +30 +a0 +d1 +50 +45 +60 +ea +30 +d1 +60 +2d +60 +63 +a0 +1c +70 +62 +a0 +13 +70 +56 +a0 +d2 +60 +cd +90 +b0 +60 +a8 +90 +d7 +60 +d4 +90 +3f +60 +39 +80 +a4 +40 +73 +40 +1d +40 +08 +80 +9c +50 +51 +90 +2c +60 +7e +90 +30 +60 +45 +90 +20 +60 +2b +90 +e2 +50 +7b +80 +d5 +40 +33 +70 +12 +30 +3d +50 +47 +20 +30 +30 +2d +20 +79 +30 +66 +20 +18 +20 +9d +10 +58 +10 +d8 +00 +26 +10 +a1 +00 +df +00 +6e +00 +b6 +00 +d8 +00 +72 +10 +ce +00 +b9 +00 +5a +00 +74 +00 +3b +00 +5f +00 +3e +00 +65 +00 +69 +00 +4c +10 +6a +00 +6c +00 +36 +00 +64 +00 +41 +00 +5c +00 +5b +00 +58 +00 +5f +00 +5c +00 +45 +00 +57 +00 +3d +00 +70 +00 +42 +00 +4a +00 +2c +00 +3d +00 +2f +00 +40 +00 +21 +00 +41 +00 +2b +00 +45 +00 +25 +00 +37 +00 +25 +00 +41 +00 +2b +00 +4e +00 +2c +00 +3d +00 +2b +00 +42 +00 +26 +00 +3e +00 +27 +00 +43 +00 +26 +00 +48 +00 +24 +00 +47 +00 +24 +00 +49 +00 +2f +00 +65 +00 +2f +00 +5e +00 +31 +00 +4b +00 +26 +00 +3e +00 +25 +00 +41 +00 +20 +00 +53 +00 +28 +00 +7f +00 +3f +00 +57 +00 +25 +00 +39 +00 +1e +00 +39 +00 +22 +00 +32 +00 +20 +00 +37 +00 +19 +00 +2e +00 +1b +00 +2d +00 +18 +00 +24 +00 +1a +00 +2c +00 +1e +00 +3a +00 +19 +00 +32 +00 +25 +00 +39 +00 +2a +00 +51 +00 +26 +00 +48 +00 +2a +00 +45 +00 +23 +00 +34 +00 +20 +00 +3f +00 +1e +00 +35 +00 +22 +00 +3a +00 +24 +00 +3e +00 +1c +00 +34 +00 +29 +00 +3b +00 +4b +00 +8b +10 +9d +00 +6f +00 +3e +00 +4b +00 +30 +00 +41 +00 +23 +00 +4a +00 +22 +00 +3d +00 +1f +00 +37 +00 +1a +00 +33 +00 +24 +00 +3c +00 +1f +00 +3b +00 +24 +00 +41 +00 +21 +00 +47 +00 +2f +00 +3e +00 +25 +00 +3d +00 +1e +00 +3f +00 +1f +00 +35 +00 +20 +00 +36 +00 +19 +00 +38 +00 +24 +00 +38 +00 +23 +00 +4e +00 +3c +00 +5c +00 +41 +00 +7b +00 +43 +00 +70 +00 +3d +00 +41 +00 +25 +00 +3f +00 +23 +00 +41 +00 +32 +00 +88 +00 +28 +00 +4a +00 +30 +00 +42 +00 +38 +00 +85 +00 +f7 +00 +d3 +10 +6c +00 +6d +00 +3f +00 +62 +00 +43 +00 +7b +00 +3b +00 +76 +00 +44 +00 +4c +00 +26 +00 +3c +00 +25 +00 +38 +00 +23 +00 +43 +00 +27 +00 +3b +00 +20 +00 +35 +00 +23 +00 +2b +00 +20 +00 +37 +00 +2d +00 +4c +00 +2b +00 +40 +00 +2a +00 +46 +00 +25 +00 +44 +00 +25 +00 +4f +00 +29 +00 +46 +00 +26 +00 +4c +00 +2e +00 +49 +00 +28 +00 +47 +00 +29 +00 +46 +00 +2a +00 +43 +00 +29 +00 +45 +00 +29 +00 +4c +00 +43 +00 +58 +00 +cf +00 +91 +20 +f7 +10 +70 +30 +34 +20 +97 +20 +ff +00 +64 +10 +0b +10 +ac +20 +28 +10 +0a +10 +75 +00 +7e +00 +41 +00 +53 +00 +41 +00 +56 +00 +46 +00 +be +00 +5e +00 +9e +00 +52 +00 +45 +00 +23 +00 +37 +00 +2c +00 +40 +00 +36 +00 +54 +00 +a0 +00 +04 +30 +73 +20 +db +50 +98 +30 +6a +60 +69 +20 +e1 +10 +b8 +00 +65 +00 +3b +00 +4c +00 +27 +00 +4f +00 +28 +00 +4c +00 +32 +00 +4d +00 +29 +00 +4b +00 +4b +00 +72 +00 +2f +00 +44 +00 +27 +00 +4a +00 +26 +00 +3b +00 +21 +00 +3b +00 +18 +00 +3b +00 +1c +00 +31 +00 +1c +00 +2d +00 +22 +00 +25 +00 +1d +00 +2e +00 +1d +00 +29 +00 +21 +00 +30 +00 +20 +00 +31 +00 +24 +00 +34 +00 +1c +00 +34 +00 +21 +00 +2d +00 +1c +00 +2c +00 +21 +00 +00 +00 +00 +00 +22 +00 +6e +00 +2a +00 +97 +00 +33 +00 +a3 +00 +2b +00 +8c +00 +34 +00 +85 +00 +3f +00 +99 +00 +37 +00 +a5 +00 +3a +00 +8d +00 +3c +00 +9e +00 +3a +00 +9c +00 +35 +00 +aa +00 +35 +00 +9d +00 +39 +00 +9f +00 +34 +00 +aa +00 +37 +00 +a3 +00 +3f +00 +9b +00 +3b +00 +a8 +00 +40 +00 +a3 +00 +34 +00 +a0 +00 +36 +00 +8e +00 +27 +00 +7d +00 +2e +00 +71 +00 +23 +00 +79 +00 +2c +00 +80 +00 +2e +00 +61 +00 +26 +00 +75 +00 +42 +00 +08 +10 +81 +00 +1a +20 +aa +00 +82 +10 +7d +00 +0b +10 +4f +00 +e6 +00 +5b +00 +13 +10 +03 +10 +f4 +40 +e3 +10 +55 +30 +b8 +00 +f6 +30 +76 +10 +bb +20 +79 +00 +22 +10 +53 +00 +ed +00 +4c +00 +ea +00 +45 +00 +df +00 +46 +00 +de +00 +4e +00 +20 +10 +6c +00 +a2 +10 +bb +00 +14 +30 +0e +20 +cd +60 +04 +30 +9d +70 +c4 +10 +93 +50 +c4 +20 +37 +80 +29 +30 +db +80 +b9 +20 +ae +60 +62 +10 +7c +20 +d4 +00 +57 +30 +5f +10 +f2 +20 +8b +00 +7b +10 +8b +00 +c4 +10 +ba +00 +26 +20 +b0 +00 +a7 +10 +76 +00 +33 +10 +66 +00 +24 +10 +d6 +00 +45 +30 +d9 +00 +9f +20 +0a +10 +59 +30 +3c +10 +ef +20 +84 +00 +20 +10 +50 +00 +db +00 +53 +00 +42 +10 +67 +10 +ca +40 +a4 +10 +6f +40 +89 +20 +90 +80 +b7 +30 +31 +a0 +5c +30 +b7 +70 +9b +20 +78 +80 +69 +30 +14 +a0 +b0 +30 +d1 +90 +d7 +10 +07 +40 +a6 +20 +42 +90 +c9 +30 +77 +a0 +c8 +30 +4e +a0 +92 +30 +2b +a0 +86 +30 +0f +a0 +a6 +30 +ee +90 +6a +30 +b5 +90 +d1 +20 +70 +70 +73 +20 +6c +50 +80 +10 +d6 +50 +5d +20 +49 +70 +a1 +20 +68 +70 +8d +20 +4a +70 +77 +20 +17 +70 +80 +20 +5e +60 +94 +10 +17 +40 +ed +00 +80 +20 +c1 +00 +00 +30 +ac +10 +f2 +40 +c6 +10 +24 +40 +a0 +00 +33 +10 +49 +00 +c9 +00 +33 +00 +9d +00 +4e +00 +66 +10 +39 +10 +cc +20 +5d +00 +96 +00 +2e +00 +73 +00 +28 +00 +5f +00 +22 +00 +7f +00 +64 +00 +0c +10 +31 +00 +4b +00 +27 +00 +67 +00 +25 +00 +77 +00 +4a +00 +e4 +00 +37 +00 +7d +00 +21 +00 +6b +00 +20 +00 +5d +00 +17 +00 +45 +00 +15 +00 +3b +00 +1a +00 +3d +00 +1c +00 +40 +00 +12 +00 +3c +00 +13 +00 +36 +00 +15 +00 +3e +00 +19 +00 +41 +00 +18 +00 +4d +00 +13 +00 +47 +00 +1f +00 +3c +00 +1a +00 +40 +00 +13 +00 +40 +00 +22 +00 +4c +00 +19 +00 +4f +00 +1c +00 +65 +00 +21 +00 +49 +00 +1b +00 +42 +00 +1c +00 +45 +00 +15 +00 +40 +00 +1d +00 +59 +00 +1e +00 +47 +00 +1b +00 +38 +00 +13 +00 +26 +00 +13 +00 +39 +00 +16 +00 +36 +00 +0f +00 +38 +00 +0e +00 +28 +00 +0b +00 +28 +00 +0e +00 +2f +00 +12 +00 +2f +00 +15 +00 +3b +00 +17 +00 +41 +00 +1b +00 +4f +00 +1c +00 +48 +00 +12 +00 +46 +00 +17 +00 +40 +00 +10 +00 +40 +00 +13 +00 +35 +00 +13 +00 +46 +00 +15 +00 +39 +00 +18 +00 +36 +00 +18 +00 +37 +00 +16 +00 +4b +00 +2f +00 +95 +00 +31 +00 +59 +00 +1a +00 +3e +00 +16 +00 +3b +00 +12 +00 +49 +00 +1a +00 +35 +00 +10 +00 +30 +00 +13 +00 +36 +00 +10 +00 +36 +00 +19 +00 +36 +00 +18 +00 +2d +00 +15 +00 +38 +00 +16 +00 +42 +00 +18 +00 +41 +00 +17 +00 +2d +00 +15 +00 +38 +00 +17 +00 +38 +00 +17 +00 +33 +00 +16 +00 +3e +00 +18 +00 +50 +00 +20 +00 +70 +00 +25 +00 +6b +00 +1a +00 +3d +00 +17 +00 +40 +00 +16 +00 +38 +00 +20 +00 +40 +00 +1c +00 +54 +00 +1a +00 +3a +00 +18 +00 +48 +00 +2b +00 +e7 +00 +65 +00 +cc +00 +2a +00 +59 +00 +20 +00 +6c +00 +24 +00 +66 +00 +20 +00 +53 +00 +15 +00 +45 +00 +16 +00 +41 +00 +18 +00 +46 +00 +19 +00 +3d +00 +18 +00 +3f +00 +17 +00 +34 +00 +15 +00 +2b +00 +16 +00 +3a +00 +19 +00 +48 +00 +16 +00 +45 +00 +15 +00 +41 +00 +17 +00 +53 +00 +23 +00 +3d +00 +1c +00 +4a +00 +18 +00 +3e +00 +1d +00 +49 +00 +1e +00 +44 +00 +23 +00 +52 +00 +14 +00 +54 +00 +1c +00 +4f +00 +2a +00 +6f +00 +32 +00 +f6 +00 +9f +00 +d6 +10 +9d +10 +45 +50 +7c +10 +01 +20 +71 +00 +29 +10 +b1 +00 +13 +20 +5d +00 +ae +00 +23 +00 +5e +00 +18 +00 +4f +00 +1c +00 +5c +00 +26 +00 +67 +00 +1f +00 +5a +00 +19 +00 +3c +00 +16 +00 +3a +00 +18 +00 +47 +00 +1d +00 +a6 +00 +b8 +00 +89 +30 +ed +10 +fc +50 +24 +10 +c1 +20 +6d +00 +c9 +00 +1d +00 +50 +00 +1a +00 +52 +00 +22 +00 +4e +00 +1d +00 +3c +00 +1d +00 +48 +00 +23 +00 +6f +00 +22 +00 +50 +00 +1c +00 +38 +00 +15 +00 +36 +00 +19 +00 +33 +00 +17 +00 +33 +00 +13 +00 +31 +00 +14 +00 +36 +00 +11 +00 +24 +00 +10 +00 +30 +00 +0f +00 +26 +00 +0d +00 +3a +00 +16 +00 +2f +00 +10 +00 +25 +00 +13 +00 +35 +00 +14 +00 +25 +00 +0c +00 +31 +00 +12 +00 +23 +00 +00 +00 +00 +00 +66 +00 +51 +00 +82 +00 +62 +00 +8b +00 +74 +00 +98 +00 +5a +00 +a2 +00 +5d +00 +97 +00 +64 +00 +90 +00 +6f +00 +9c +00 +6f +00 +9d +00 +71 +00 +b0 +00 +6a +00 +a1 +00 +6a +00 +b1 +00 +70 +00 +94 +00 +73 +00 +ad +00 +69 +00 +a5 +00 +7a +00 +9f +00 +6d +00 +a5 +00 +67 +00 +a3 +00 +71 +00 +96 +00 +68 +00 +9b +00 +4f +00 +83 +00 +40 +00 +79 +00 +92 +00 +0c +10 +8c +00 +83 +00 +4a +00 +7e +00 +3f +00 +6d +00 +50 +00 +b1 +00 +b0 +00 +6b +10 +a7 +00 +5a +10 +96 +00 +ca +00 +79 +00 +db +00 +84 +00 +c0 +00 +cf +00 +86 +10 +25 +20 +ff +40 +fa +20 +a2 +20 +9b +10 +f2 +20 +5d +10 +30 +10 +b1 +00 +b4 +00 +99 +00 +d4 +00 +7a +00 +db +00 +82 +00 +c6 +00 +9c +00 +d0 +00 +c3 +00 +0a +10 +25 +10 +b7 +10 +a2 +20 +a8 +50 +05 +40 +47 +60 +27 +40 +60 +50 +90 +30 +49 +40 +19 +40 +b1 +50 +e0 +30 +79 +50 +73 +20 +76 +30 +be +10 +03 +20 +60 +10 +7d +20 +63 +10 +7e +10 +00 +10 +62 +10 +1f +10 +94 +10 +06 +10 +90 +10 +e8 +00 +23 +10 +ae +00 +f8 +00 +f4 +00 +1a +20 +e3 +20 +80 +40 +0d +20 +23 +20 +a9 +10 +27 +20 +3c +10 +6b +10 +a4 +00 +d6 +00 +98 +00 +e3 +00 +57 +10 +c2 +30 +8b +20 +ba +40 +b8 +30 +96 +60 +d8 +50 +1d +a0 +94 +60 +7c +90 +17 +50 +b2 +70 +29 +60 +cb +90 +e5 +60 +41 +a0 +f0 +50 +c5 +60 +b6 +30 +68 +50 +ac +50 +55 +a0 +33 +70 +97 +a0 +fc +60 +5a +a0 +25 +70 +26 +a0 +04 +70 +d3 +90 +09 +70 +d1 +90 +1d +60 +d7 +80 +d3 +50 +26 +80 +bb +50 +d2 +50 +65 +40 +70 +70 +f3 +50 +60 +80 +15 +60 +c6 +80 +3e +60 +a1 +80 +da +50 +2d +80 +50 +40 +f2 +40 +4d +20 +82 +20 +a3 +10 +44 +20 +69 +30 +4e +60 +29 +40 +16 +70 +be +20 +af +20 +1f +10 +fd +00 +ae +00 +bc +00 +71 +00 +a1 +00 +b2 +00 +db +10 +bd +00 +43 +10 +77 +00 +81 +00 +4f +00 +6b +00 +42 +00 +68 +00 +40 +00 +84 +00 +57 +00 +8e +00 +71 +00 +82 +00 +aa +00 +b1 +00 +4d +10 +95 +20 +ea +00 +f7 +00 +5f +00 +6e +00 +38 +00 +57 +00 +30 +00 +4d +00 +28 +00 +47 +00 +2e +00 +3e +00 +2b +00 +43 +00 +21 +00 +45 +00 +20 +00 +3a +00 +25 +00 +3b +00 +2b +00 +43 +00 +29 +00 +43 +00 +21 +00 +34 +00 +2a +00 +3a +00 +25 +00 +3f +00 +23 +00 +44 +00 +22 +00 +46 +00 +24 +00 +46 +00 +29 +00 +4e +00 +2a +00 +47 +00 +22 +00 +42 +00 +28 +00 +47 +00 +22 +00 +3f +00 +1e +00 +3d +00 +23 +00 +49 +00 +26 +00 +3e +00 +22 +00 +33 +00 +1c +00 +35 +00 +20 +00 +36 +00 +1c +00 +2d +00 +1e +00 +28 +00 +18 +00 +2b +00 +1b +00 +28 +00 +18 +00 +35 +00 +20 +00 +3f +00 +26 +00 +39 +00 +1c +00 +4b +00 +35 +00 +4f +00 +22 +00 +45 +00 +23 +00 +3c +00 +22 +00 +3b +00 +1c +00 +33 +00 +28 +00 +35 +00 +1d +00 +3c +00 +1d +00 +3b +00 +1a +00 +34 +00 +1f +00 +3c +00 +24 +00 +59 +00 +32 +00 +5f +00 +3d +00 +3d +00 +24 +00 +38 +00 +1d +00 +3d +00 +23 +00 +3a +00 +1d +00 +32 +00 +18 +00 +30 +00 +23 +00 +31 +00 +1b +00 +3f +00 +1b +00 +2e +00 +21 +00 +34 +00 +20 +00 +40 +00 +20 +00 +4c +00 +20 +00 +37 +00 +1e +00 +42 +00 +26 +00 +3b +00 +25 +00 +36 +00 +1e +00 +32 +00 +21 +00 +42 +00 +24 +00 +43 +00 +33 +00 +47 +00 +2e +00 +4d +00 +31 +00 +44 +00 +1b +00 +35 +00 +27 +00 +3c +00 +2e +00 +4d +00 +23 +00 +42 +00 +2c +00 +33 +00 +2f +00 +5c +00 +43 +00 +81 +00 +3f +00 +68 +00 +42 +00 +5b +00 +44 +00 +52 +00 +74 +00 +86 +00 +2f +00 +4b +00 +2b +00 +47 +00 +23 +00 +43 +00 +1f +00 +32 +00 +1f +00 +36 +00 +1d +00 +37 +00 +1e +00 +2a +00 +1e +00 +31 +00 +1e +00 +40 +00 +22 +00 +48 +00 +22 +00 +46 +00 +24 +00 +43 +00 +29 +00 +4d +00 +26 +00 +4c +00 +21 +00 +41 +00 +27 +00 +49 +00 +24 +00 +43 +00 +26 +00 +50 +00 +23 +00 +55 +00 +28 +00 +47 +00 +59 +00 +b5 +00 +5a +10 +5c +10 +c0 +00 +b3 +00 +d9 +00 +94 +10 +0a +10 +57 +20 +07 +10 +10 +10 +9c +00 +dd +00 +94 +00 +83 +10 +78 +00 +71 +00 +43 +00 +4d +00 +36 +00 +4b +00 +3e +00 +51 +00 +3f +00 +53 +00 +31 +00 +37 +00 +2a +00 +3a +00 +26 +00 +40 +00 +3d +00 +4f +00 +8a +00 +15 +10 +23 +10 +ea +20 +15 +20 +91 +20 +41 +10 +51 +10 +b9 +00 +8b +00 +54 +00 +55 +00 +4a +00 +63 +00 +45 +00 +45 +00 +2d +00 +42 +00 +28 +00 +40 +00 +2f +00 +4b +00 +34 +00 +52 +00 +24 +00 +3f +00 +21 +00 +37 +00 +1d +00 +30 +00 +23 +00 +2f +00 +1d +00 +30 +00 +16 +00 +2a +00 +16 +00 +28 +00 +22 +00 +30 +00 +1a +00 +30 +00 +19 +00 +2c +00 +1f +00 +34 +00 +1a +00 +31 +00 +1b +00 +2f +00 +22 +00 +24 +00 +1b +00 +26 +00 +1d +00 +00 +00 +00 +00 +29 +00 +7c +00 +31 +00 +84 +00 +33 +00 +8d +00 +35 +00 +a3 +00 +33 +00 +9c +00 +3b +00 +91 +00 +3e +00 +ac +00 +32 +00 +a1 +00 +2b +00 +a4 +00 +3d +00 +9b +00 +35 +00 +a0 +00 +37 +00 +a1 +00 +37 +00 +af +00 +3b +00 +a1 +00 +36 +00 +a8 +00 +47 +00 +ab +00 +3f +00 +b2 +00 +37 +00 +ad +00 +38 +00 +a7 +00 +2d +00 +96 +00 +2c +00 +75 +00 +24 +00 +ce +00 +ea +00 +a9 +10 +3a +00 +74 +00 +2a +00 +71 +00 +2d +00 +73 +00 +35 +00 +ab +00 +52 +00 +f0 +00 +43 +00 +bb +00 +42 +00 +a5 +00 +3a +00 +b3 +00 +41 +00 +ce +00 +7c +00 +ac +20 +13 +20 +cb +50 +f5 +00 +e7 +10 +84 +00 +68 +10 +4a +00 +b6 +00 +44 +00 +ab +00 +3f +00 +ba +00 +43 +00 +b6 +00 +50 +00 +ca +00 +46 +00 +d3 +00 +4f +00 +0b +10 +73 +00 +ad +20 +cc +10 +f7 +50 +31 +20 +59 +60 +12 +20 +9e +40 +23 +20 +b2 +60 +93 +20 +8e +60 +78 +10 +a6 +20 +b6 +00 +bb +10 +80 +00 +ae +10 +8b +00 +5a +10 +71 +00 +35 +10 +67 +00 +47 +10 +7c +00 +51 +10 +5c +00 +ff +00 +50 +00 +ec +00 +5b +00 +f0 +00 +af +00 +48 +40 +65 +20 +e1 +40 +b3 +00 +eb +10 +8b +00 +5d +10 +5b +00 +e0 +00 +52 +00 +c7 +00 +5b +00 +3a +10 +d7 +00 +02 +30 +fc +10 +48 +50 +84 +20 +df +80 +9c +30 +44 +a0 +97 +20 +d1 +60 +fe +20 +26 +90 +7e +30 +3a +a0 +93 +30 +e2 +90 +01 +20 +b9 +30 +f1 +10 +54 +80 +ad +30 +a6 +a0 +c1 +30 +59 +a0 +a0 +30 +47 +a0 +a2 +30 +4b +a0 +ab +30 +1d +a0 +72 +30 +be +90 +f0 +20 +1d +80 +17 +30 +0f +90 +b6 +20 +b6 +50 +61 +20 +8b +80 +42 +30 +6d +90 +3c +30 +9a +90 +67 +30 +3f +90 +b2 +20 +27 +60 +02 +20 +b1 +30 +a6 +00 +cc +10 +65 +10 +29 +60 +9f +20 +a0 +60 +32 +20 +87 +40 +aa +00 +61 +10 +c7 +00 +e0 +10 +46 +00 +ac +00 +30 +00 +ad +00 +43 +00 +e6 +00 +42 +00 +9c +00 +2a +00 +81 +00 +26 +00 +70 +00 +21 +00 +7a +00 +30 +00 +81 +00 +26 +00 +87 +00 +d9 +00 +66 +20 +be +00 +5a +20 +e8 +00 +86 +10 +4a +00 +92 +00 +23 +00 +50 +00 +1c +00 +51 +00 +23 +00 +49 +00 +1d +00 +43 +00 +1d +00 +5a +00 +25 +00 +4a +00 +14 +00 +36 +00 +18 +00 +34 +00 +14 +00 +3e +00 +19 +00 +39 +00 +1c +00 +39 +00 +10 +00 +37 +00 +16 +00 +34 +00 +15 +00 +3c +00 +16 +00 +41 +00 +19 +00 +4a +00 +22 +00 +41 +00 +1a +00 +48 +00 +18 +00 +3d +00 +18 +00 +44 +00 +17 +00 +3b +00 +1b +00 +43 +00 +14 +00 +3f +00 +16 +00 +40 +00 +11 +00 +38 +00 +17 +00 +30 +00 +0e +00 +31 +00 +15 +00 +37 +00 +16 +00 +2f +00 +10 +00 +2f +00 +0c +00 +36 +00 +12 +00 +39 +00 +17 +00 +3c +00 +1d +00 +41 +00 +17 +00 +44 +00 +18 +00 +77 +00 +26 +00 +3f +00 +1a +00 +32 +00 +17 +00 +32 +00 +14 +00 +39 +00 +17 +00 +32 +00 +1a +00 +38 +00 +16 +00 +3a +00 +14 +00 +35 +00 +19 +00 +2b +00 +13 +00 +39 +00 +1b +00 +6c +00 +1e +00 +4c +00 +19 +00 +36 +00 +17 +00 +35 +00 +15 +00 +3d +00 +18 +00 +30 +00 +14 +00 +3a +00 +15 +00 +3d +00 +1a +00 +36 +00 +14 +00 +3b +00 +17 +00 +39 +00 +1e +00 +37 +00 +17 +00 +4a +00 +17 +00 +3e +00 +16 +00 +32 +00 +1e +00 +3d +00 +19 +00 +40 +00 +19 +00 +36 +00 +15 +00 +39 +00 +1e +00 +52 +00 +14 +00 +3f +00 +22 +00 +47 +00 +1c +00 +53 +00 +23 +00 +38 +00 +18 +00 +3b +00 +17 +00 +42 +00 +17 +00 +4a +00 +17 +00 +42 +00 +1c +00 +53 +00 +1c +00 +5b +00 +25 +00 +5d +00 +1d +00 +53 +00 +27 +00 +60 +00 +23 +00 +a4 +00 +3d +00 +56 +00 +1e +00 +4f +00 +1b +00 +3f +00 +1b +00 +45 +00 +13 +00 +38 +00 +14 +00 +37 +00 +12 +00 +2b +00 +11 +00 +36 +00 +14 +00 +2e +00 +18 +00 +41 +00 +14 +00 +46 +00 +1b +00 +3e +00 +1c +00 +49 +00 +1f +00 +4b +00 +1e +00 +38 +00 +15 +00 +41 +00 +16 +00 +3a +00 +16 +00 +3f +00 +1b +00 +45 +00 +1b +00 +4c +00 +1d +00 +70 +00 +e5 +00 +b0 +30 +ab +00 +50 +10 +47 +00 +fd +00 +49 +00 +02 +10 +60 +00 +1d +10 +3a +00 +b0 +00 +29 +00 +a0 +00 +34 +00 +93 +00 +21 +00 +63 +00 +1c +00 +4b +00 +20 +00 +42 +00 +1d +00 +3a +00 +18 +00 +36 +00 +16 +00 +33 +00 +13 +00 +3f +00 +17 +00 +51 +00 +67 +00 +15 +10 +4d +00 +07 +10 +9a +00 +e0 +30 +cf +00 +6f +10 +7e +00 +6e +10 +52 +00 +a6 +00 +33 +00 +90 +00 +2e +00 +70 +00 +1f +00 +52 +00 +18 +00 +46 +00 +1c +00 +50 +00 +1f +00 +76 +00 +28 +00 +4c +00 +12 +00 +3f +00 +17 +00 +30 +00 +10 +00 +2b +00 +0e +00 +29 +00 +10 +00 +2e +00 +14 +00 +2d +00 +13 +00 +2c +00 +17 +00 +30 +00 +15 +00 +26 +00 +11 +00 +2b +00 +13 +00 +2d +00 +10 +00 +30 +00 +0e +00 +2b +00 +15 +00 +29 +00 +15 +00 +2d +00 +00 +00 +00 +00 +70 +00 +5a +00 +82 +00 +64 +00 +8c +00 +64 +00 +8f +00 +69 +00 +98 +00 +68 +00 +ad +00 +63 +00 +a2 +00 +6e +00 +ab +00 +67 +00 +a4 +00 +69 +00 +b3 +00 +70 +00 +b0 +00 +64 +00 +b0 +00 +74 +00 +a1 +00 +69 +00 +a3 +00 +6d +00 +ad +00 +7b +00 +ae +00 +7c +00 +b0 +00 +76 +00 +97 +00 +67 +00 +96 +00 +75 +00 +a7 +00 +6c +00 +75 +00 +55 +00 +81 +00 +5d +00 +08 +10 +81 +00 +d4 +00 +48 +00 +79 +00 +46 +00 +6a +00 +50 +00 +93 +00 +54 +00 +9d +00 +73 +00 +c1 +00 +5f +00 +9a +00 +6d +00 +9b +00 +7a +00 +b2 +00 +c9 +00 +15 +10 +5d +20 +17 +50 +4c +30 +e9 +30 +95 +10 +31 +10 +a1 +00 +c0 +00 +6f +00 +a0 +00 +4f +00 +97 +00 +64 +00 +95 +00 +76 +00 +31 +10 +93 +00 +d5 +00 +8f +00 +c9 +00 +10 +10 +72 +10 +a7 +20 +77 +60 +11 +50 +74 +80 +67 +50 +f6 +70 +42 +40 +96 +50 +55 +40 +76 +70 +86 +20 +17 +30 +75 +10 +db +10 +0f +10 +5c +10 +e8 +00 +2e +10 +b7 +00 +1b +10 +b0 +00 +07 +10 +c2 +00 +3d +10 +c0 +00 +e8 +00 +91 +00 +d3 +00 +8e +00 +dc +00 +e0 +00 +51 +10 +d9 +20 +15 +60 +a5 +30 +0b +40 +ef +10 +91 +10 +d2 +00 +fb +00 +8b +00 +d2 +00 +93 +00 +e7 +00 +eb +00 +63 +10 +23 +20 +e7 +30 +f3 +30 +22 +70 +5a +60 +54 +a0 +03 +60 +62 +70 +dd +50 +4f +80 +70 +60 +0c +a0 +e8 +60 +28 +a0 +cf +50 +1e +60 +6c +30 +ce +30 +53 +50 +e0 +90 +dc +60 +5d +a0 +41 +70 +65 +a0 +1d +70 +89 +a0 +07 +70 +19 +a0 +e3 +60 +f6 +90 +70 +60 +f7 +80 +0b +60 +d7 +80 +07 +60 +9a +80 +af +50 +21 +50 +a5 +40 +a6 +80 +23 +60 +8a +90 +94 +60 +68 +90 +88 +50 +c3 +60 +b6 +40 +c8 +60 +b6 +20 +35 +20 +96 +10 +cd +20 +fc +20 +46 +70 +dd +20 +19 +40 +a4 +10 +d8 +10 +dd +00 +39 +10 +e9 +00 +38 +10 +92 +00 +96 +00 +68 +00 +ae +00 +75 +00 +a0 +00 +59 +00 +85 +00 +58 +00 +81 +00 +5a +00 +6c +00 +57 +00 +89 +00 +4c +00 +77 +00 +02 +10 +3a +30 +b8 +20 +5e +40 +85 +10 +68 +10 +cd +00 +c3 +00 +69 +00 +5e +00 +33 +00 +48 +00 +30 +00 +4f +00 +28 +00 +4a +00 +34 +00 +5f +00 +38 +00 +48 +00 +31 +00 +53 +00 +2b +00 +38 +00 +2d +00 +7a +00 +35 +00 +36 +00 +27 +00 +34 +00 +1d +00 +37 +00 +22 +00 +35 +00 +1f +00 +38 +00 +1b +00 +32 +00 +21 +00 +49 +00 +24 +00 +3f +00 +24 +00 +34 +00 +1e +00 +2c +00 +20 +00 +33 +00 +23 +00 +42 +00 +1c +00 +38 +00 +1c +00 +3f +00 +1f +00 +31 +00 +22 +00 +3a +00 +1a +00 +32 +00 +1b +00 +31 +00 +20 +00 +2f +00 +1c +00 +2e +00 +22 +00 +2e +00 +1b +00 +2e +00 +1f +00 +2d +00 +1e +00 +42 +00 +22 +00 +42 +00 +28 +00 +48 +00 +29 +00 +40 +00 +24 +00 +4c +00 +28 +00 +40 +00 +1e +00 +3b +00 +24 +00 +3d +00 +1e +00 +2d +00 +1e +00 +40 +00 +24 +00 +33 +00 +1b +00 +36 +00 +18 +00 +38 +00 +27 +00 +32 +00 +1f +00 +31 +00 +2b +00 +54 +00 +2b +00 +39 +00 +1f +00 +40 +00 +20 +00 +3d +00 +26 +00 +37 +00 +1d +00 +31 +00 +1d +00 +34 +00 +21 +00 +3f +00 +25 +00 +46 +00 +23 +00 +36 +00 +1f +00 +44 +00 +1f +00 +3c +00 +1f +00 +40 +00 +1e +00 +30 +00 +25 +00 +3f +00 +26 +00 +3a +00 +1d +00 +30 +00 +21 +00 +3b +00 +19 +00 +3f +00 +24 +00 +35 +00 +21 +00 +42 +00 +2c +00 +33 +00 +23 +00 +4f +00 +25 +00 +3c +00 +29 +00 +45 +00 +1d +00 +37 +00 +25 +00 +3c +00 +23 +00 +55 +00 +2f +00 +4d +00 +2f +00 +62 +00 +3a +00 +45 +00 +46 +00 +fc +00 +c3 +00 +77 +00 +67 +00 +15 +10 +50 +00 +5c +00 +28 +00 +40 +00 +1f +00 +3e +00 +20 +00 +3b +00 +23 +00 +3a +00 +28 +00 +31 +00 +17 +00 +3b +00 +24 +00 +3d +00 +1c +00 +3b +00 +21 +00 +39 +00 +1e +00 +46 +00 +1b +00 +40 +00 +20 +00 +3e +00 +21 +00 +4d +00 +1e +00 +3b +00 +27 +00 +4a +00 +22 +00 +3b +00 +21 +00 +41 +00 +25 +00 +41 +00 +34 +00 +5d +00 +98 +00 +49 +20 +ce +20 +4a +50 +94 +20 +e8 +20 +f5 +00 +c8 +00 +8d +00 +be +00 +8d +00 +a4 +00 +69 +00 +86 +00 +58 +00 +75 +00 +4d +00 +a5 +00 +84 +00 +71 +00 +34 +00 +50 +00 +27 +00 +41 +00 +1d +00 +32 +00 +21 +00 +3a +00 +22 +00 +39 +00 +23 +00 +3d +00 +42 +00 +d3 +00 +74 +00 +af +00 +a4 +00 +19 +10 +06 +10 +0f +20 +e3 +00 +d0 +00 +7f +00 +8d +00 +66 +00 +43 +10 +70 +10 +2f +10 +5d +00 +52 +00 +35 +00 +4b +00 +29 +00 +43 +00 +27 +00 +50 +00 +2c +00 +62 +00 +2b +00 +3d +00 +1e +00 +29 +00 +1c +00 +2f +00 +1f +00 +2d +00 +16 +00 +2b +00 +1a +00 +27 +00 +1a +00 +36 +00 +1c +00 +2d +00 +16 +00 +37 +00 +19 +00 +2b +00 +1a +00 +36 +00 +21 +00 +36 +00 +22 +00 +2a +00 +1e +00 +2c +00 +1f +00 +30 +00 +1d +00 +00 +00 +00 +00 +32 +00 +82 +00 +36 +00 +98 +00 +38 +00 +9c +00 +31 +00 +94 +00 +38 +00 +8e +00 +33 +00 +97 +00 +35 +00 +a0 +00 +39 +00 +96 +00 +3c +00 +ac +00 +31 +00 +a3 +00 +2e +00 +98 +00 +40 +00 +a1 +00 +33 +00 +9c +00 +39 +00 +99 +00 +3d +00 +9f +00 +46 +00 +99 +00 +34 +00 +a7 +00 +33 +00 +9a +00 +39 +00 +99 +00 +47 +00 +dc +00 +32 +00 +6b +00 +26 +00 +86 +00 +44 +00 +97 +00 +2f +00 +87 +00 +28 +00 +71 +00 +25 +00 +7a +00 +2d +00 +8b +00 +2c +00 +88 +00 +34 +00 +83 +00 +31 +00 +8d +00 +44 +00 +ce +00 +48 +00 +b8 +00 +72 +00 +e5 +20 +2f +20 +e3 +60 +35 +10 +ee +10 +59 +00 +cd +00 +37 +00 +a0 +00 +2d +00 +98 +00 +32 +00 +7d +00 +36 +00 +ae +00 +3c +00 +cb +00 +4d +00 +c3 +00 +47 +00 +1a +10 +a5 +00 +94 +20 +72 +20 +35 +80 +28 +30 +c5 +80 +20 +30 +8e +70 +cb +10 +fa +50 +93 +10 +01 +30 +a2 +00 +99 +10 +7f +00 +39 +10 +64 +00 +14 +10 +67 +00 +07 +10 +55 +00 +ea +00 +5b +00 +f0 +00 +4c +00 +f4 +00 +5c +00 +d6 +00 +5b +00 +e0 +00 +4f +00 +dc +00 +ad +00 +58 +40 +bb +20 +34 +70 +05 +20 +34 +40 +f0 +00 +3a +10 +4c +00 +dd +00 +4f +00 +d9 +00 +57 +00 +00 +10 +9a +00 +c7 +20 +1a +20 +73 +50 +e4 +20 +4e +90 +8f +30 +28 +90 +3f +20 +f7 +70 +5c +30 +df +90 +70 +30 +7e +a0 +a7 +30 +3b +90 +ad +10 +47 +40 +30 +10 +45 +60 +98 +30 +d3 +a0 +84 +30 +33 +a0 +a5 +30 +74 +a0 +82 +30 +32 +a0 +7c +30 +0d +a0 +99 +30 +0e +a0 +ef +20 +91 +80 +47 +30 +71 +90 +41 +30 +e5 +80 +30 +20 +f4 +40 +dc +20 +e9 +80 +55 +30 +9b +90 +2e +30 +05 +80 +5d +20 +70 +70 +64 +20 +8b +40 +99 +00 +6b +10 +91 +00 +96 +20 +d2 +10 +92 +40 +c9 +00 +e7 +10 +59 +00 +00 +10 +8e +00 +03 +20 +8c +00 +f2 +00 +32 +00 +9b +00 +31 +00 +ad +00 +33 +00 +80 +00 +2b +00 +77 +00 +3d +00 +8f +00 +2e +00 +81 +00 +2f +00 +71 +00 +3a +00 +1e +10 +bc +10 +ad +40 +4f +10 +55 +20 +73 +00 +d5 +00 +2f +00 +77 +00 +21 +00 +4b +00 +1f +00 +4c +00 +1a +00 +4b +00 +1b +00 +44 +00 +1f +00 +55 +00 +1c +00 +55 +00 +21 +00 +4c +00 +12 +00 +55 +00 +3f +00 +7e +00 +17 +00 +39 +00 +13 +00 +33 +00 +16 +00 +32 +00 +12 +00 +2e +00 +11 +00 +32 +00 +15 +00 +31 +00 +0f +00 +33 +00 +16 +00 +3a +00 +17 +00 +34 +00 +17 +00 +35 +00 +17 +00 +43 +00 +1b +00 +3d +00 +1a +00 +37 +00 +12 +00 +3a +00 +12 +00 +2e +00 +13 +00 +2f +00 +15 +00 +2b +00 +11 +00 +2f +00 +11 +00 +2f +00 +13 +00 +30 +00 +0e +00 +25 +00 +16 +00 +35 +00 +13 +00 +37 +00 +19 +00 +36 +00 +17 +00 +49 +00 +19 +00 +3f +00 +13 +00 +42 +00 +16 +00 +45 +00 +1b +00 +3b +00 +17 +00 +48 +00 +1c +00 +3f +00 +11 +00 +3a +00 +15 +00 +35 +00 +13 +00 +37 +00 +1b +00 +40 +00 +1f +00 +3c +00 +16 +00 +2b +00 +14 +00 +37 +00 +16 +00 +3f +00 +16 +00 +38 +00 +16 +00 +32 +00 +16 +00 +3b +00 +1a +00 +3b +00 +0d +00 +32 +00 +1b +00 +51 +00 +14 +00 +3c +00 +16 +00 +34 +00 +1e +00 +3c +00 +17 +00 +43 +00 +1b +00 +3d +00 +19 +00 +34 +00 +16 +00 +36 +00 +15 +00 +41 +00 +19 +00 +42 +00 +19 +00 +3b +00 +14 +00 +38 +00 +16 +00 +36 +00 +18 +00 +44 +00 +19 +00 +3a +00 +15 +00 +45 +00 +19 +00 +3e +00 +22 +00 +47 +00 +16 +00 +46 +00 +1a +00 +48 +00 +15 +00 +41 +00 +20 +00 +46 +00 +1e +00 +47 +00 +1b +00 +4b +00 +18 +00 +58 +00 +32 +00 +f7 +00 +3a +00 +8d +00 +6e +00 +a0 +00 +20 +00 +52 +00 +1e +00 +49 +00 +15 +00 +3d +00 +16 +00 +3b +00 +19 +00 +38 +00 +15 +00 +31 +00 +11 +00 +2f +00 +15 +00 +3c +00 +18 +00 +3a +00 +1a +00 +38 +00 +1a +00 +3c +00 +15 +00 +3c +00 +17 +00 +45 +00 +15 +00 +3d +00 +18 +00 +3d +00 +18 +00 +49 +00 +16 +00 +32 +00 +1d +00 +3f +00 +17 +00 +45 +00 +22 +00 +9a +00 +1b +10 +3b +50 +90 +10 +48 +30 +d8 +00 +7b +10 +31 +00 +82 +00 +29 +00 +89 +00 +27 +00 +70 +00 +20 +00 +77 +00 +23 +00 +66 +00 +22 +00 +83 +00 +2f +00 +5a +00 +17 +00 +40 +00 +16 +00 +34 +00 +0f +00 +35 +00 +17 +00 +37 +00 +1b +00 +38 +00 +1a +00 +50 +00 +2c +00 +8a +00 +33 +00 +b5 +00 +5b +00 +10 +10 +9b +00 +0b +10 +2b +00 +7e +00 +2f +00 +75 +00 +55 +00 +9c +20 +80 +00 +96 +00 +22 +00 +54 +00 +17 +00 +41 +00 +16 +00 +47 +00 +1e +00 +38 +00 +1c +00 +42 +00 +15 +00 +31 +00 +10 +00 +2e +00 +0c +00 +26 +00 +13 +00 +22 +00 +0e +00 +26 +00 +13 +00 +34 +00 +15 +00 +30 +00 +0c +00 +30 +00 +0f +00 +34 +00 +10 +00 +30 +00 +17 +00 +2e +00 +12 +00 +37 +00 +17 +00 +28 +00 +17 +00 +31 +00 +0e +00 +2e +00 +00 +00 +00 +00 +71 +00 +60 +00 +8c +00 +68 +00 +8c +00 +62 +00 +96 +00 +6b +00 +9a +00 +61 +00 +96 +00 +71 +00 +9e +00 +67 +00 +9c +00 +6c +00 +a5 +00 +70 +00 +a1 +00 +62 +00 +9e +00 +70 +00 +a8 +00 +67 +00 +a9 +00 +73 +00 +9c +00 +71 +00 +ac +00 +6d +00 +b2 +00 +78 +00 +b0 +00 +5c +00 +9b +00 +7d +00 +96 +00 +65 +00 +a1 +00 +44 +00 +7f +00 +4d +00 +68 +00 +42 +00 +8e +00 +47 +00 +7e +00 +45 +00 +5d +00 +5e +00 +86 +00 +4f +00 +7a +00 +5b +00 +80 +00 +53 +00 +7a +00 +4d +00 +8a +00 +7c +00 +c4 +00 +ed +00 +12 +10 +07 +10 +51 +10 +30 +30 +3e +60 +f8 +30 +4a +50 +62 +10 +ed +00 +6d +00 +9f +00 +59 +00 +81 +00 +57 +00 +8b +00 +55 +00 +9d +00 +5a +00 +a6 +00 +73 +00 +b4 +00 +89 +00 +de +00 +9b +10 +b0 +20 +7b +20 +0d +40 +7d +30 +21 +80 +af +40 +7c +80 +0a +40 +3c +50 +09 +20 +eb +20 +7f +10 +98 +10 +d5 +00 +ff +00 +a7 +00 +f6 +00 +8a +00 +fc +00 +8c +00 +fa +00 +89 +00 +c8 +00 +8a +00 +bc +00 +88 +00 +c4 +00 +74 +00 +a7 +00 +74 +00 +b3 +00 +b7 +00 +52 +10 +0f +20 +52 +60 +b7 +30 +35 +60 +06 +20 +74 +20 +ff +00 +f0 +00 +7f +00 +e4 +00 +94 +00 +cc +00 +73 +10 +1c +20 +f9 +30 +f3 +60 +e0 +40 +ff +70 +19 +60 +d2 +90 +87 +50 +fe +60 +39 +60 +ac +90 +1d +70 +a4 +a0 +0e +70 +1b +a0 +3e +50 +67 +50 +45 +40 +d3 +40 +3a +40 +a2 +80 +9b +60 +99 +a0 +cb +60 +3e +a0 +2d +70 +1c +a0 +01 +70 +74 +a0 +de +60 +1f +a0 +96 +60 +5a +80 +2f +60 +0d +90 +50 +60 +a7 +90 +47 +60 +b4 +80 +ac +40 +c5 +40 +13 +50 +eb +80 +6c +50 +04 +80 +40 +40 +11 +70 +2b +40 +c0 +50 +6a +20 +33 +20 +37 +10 +40 +10 +39 +10 +f7 +10 +6f +10 +28 +20 +0d +10 +14 +10 +2e +10 +28 +20 +11 +20 +ae +20 +04 +10 +c7 +00 +cd +00 +c4 +00 +82 +00 +78 +00 +58 +00 +7f +00 +2a +10 +3c +20 +ed +00 +c6 +00 +83 +00 +8b +00 +7e +00 +ab +00 +31 +20 +32 +50 +9c +20 +78 +30 +84 +20 +81 +20 +fa +00 +ab +00 +64 +00 +5d +00 +36 +00 +44 +00 +2e +00 +46 +00 +29 +00 +5d +00 +30 +00 +5b +00 +66 +00 +73 +00 +59 +00 +63 +00 +3f +00 +44 +00 +2d +00 +52 +00 +1d +00 +4e +00 +2e +00 +36 +00 +1f +00 +39 +00 +22 +00 +34 +00 +1a +00 +2c +00 +21 +00 +38 +00 +21 +00 +32 +00 +22 +00 +37 +00 +23 +00 +32 +00 +1f +00 +37 +00 +1f +00 +34 +00 +1e +00 +4a +00 +2b +00 +3e +00 +21 +00 +3d +00 +25 +00 +39 +00 +1e +00 +34 +00 +27 +00 +33 +00 +1d +00 +28 +00 +19 +00 +32 +00 +1c +00 +2f +00 +19 +00 +25 +00 +1d +00 +29 +00 +17 +00 +2f +00 +21 +00 +40 +00 +23 +00 +44 +00 +24 +00 +35 +00 +29 +00 +37 +00 +2c +00 +37 +00 +21 +00 +50 +00 +25 +00 +46 +00 +20 +00 +40 +00 +1e +00 +3a +00 +24 +00 +39 +00 +26 +00 +35 +00 +20 +00 +3c +00 +1a +00 +40 +00 +1f +00 +37 +00 +1f +00 +3f +00 +24 +00 +32 +00 +1d +00 +38 +00 +26 +00 +3c +00 +1a +00 +3c +00 +21 +00 +40 +00 +23 +00 +37 +00 +1d +00 +37 +00 +26 +00 +44 +00 +20 +00 +33 +00 +1a +00 +32 +00 +20 +00 +39 +00 +20 +00 +42 +00 +23 +00 +3d +00 +18 +00 +3a +00 +1d +00 +40 +00 +20 +00 +42 +00 +26 +00 +57 +00 +20 +00 +3c +00 +1d +00 +34 +00 +20 +00 +42 +00 +21 +00 +40 +00 +28 +00 +48 +00 +23 +00 +46 +00 +1e +00 +4e +00 +22 +00 +40 +00 +21 +00 +42 +00 +25 +00 +41 +00 +1d +00 +3f +00 +24 +00 +45 +00 +24 +00 +47 +00 +2b +00 +4a +00 +36 +00 +66 +00 +41 +00 +81 +00 +78 +00 +67 +10 +4f +00 +63 +00 +29 +00 +40 +00 +20 +00 +39 +00 +21 +00 +44 +00 +1f +00 +3b +00 +1c +00 +36 +00 +19 +00 +32 +00 +1c +00 +38 +00 +23 +00 +3a +00 +1e +00 +37 +00 +24 +00 +38 +00 +1f +00 +37 +00 +21 +00 +45 +00 +28 +00 +42 +00 +24 +00 +40 +00 +1e +00 +3e +00 +21 +00 +36 +00 +24 +00 +34 +00 +1e +00 +46 +00 +2f +00 +73 +00 +79 +00 +16 +10 +e3 +00 +d7 +10 +20 +10 +5a +10 +db +00 +b7 +00 +68 +00 +67 +00 +4c +00 +63 +00 +43 +00 +67 +00 +39 +00 +6a +00 +36 +00 +5c +00 +33 +00 +5a +00 +27 +00 +36 +00 +25 +00 +35 +00 +20 +00 +35 +00 +28 +00 +34 +00 +23 +00 +32 +00 +26 +00 +44 +00 +2a +00 +66 +00 +4b +00 +7a +00 +6f +00 +ab +00 +a7 +00 +3a +10 +e6 +00 +9e +00 +7c +00 +68 +00 +57 +00 +8f +00 +85 +00 +fc +00 +6a +00 +69 +00 +3a +00 +3d +00 +30 +00 +3c +00 +1f +00 +3b +00 +1c +00 +45 +00 +23 +00 +3b +00 +19 +00 +35 +00 +23 +00 +31 +00 +1e +00 +27 +00 +17 +00 +29 +00 +15 +00 +32 +00 +1d +00 +2d +00 +1a +00 +2f +00 +1b +00 +2a +00 +1a +00 +2d +00 +1c +00 +34 +00 +1f +00 +2d +00 +1d +00 +2f +00 +1b +00 +2f +00 +1d +00 +31 +00 +1d +00 +00 +00 +00 +00 +29 +00 +8e +00 +31 +00 +93 +00 +3c +00 +9e +00 +28 +00 +84 +00 +41 +00 +96 +00 +34 +00 +9c +00 +33 +00 +97 +00 +33 +00 +a7 +00 +3f +00 +91 +00 +3d +00 +a7 +00 +36 +00 +96 +00 +3a +00 +a6 +00 +38 +00 +9e +00 +3b +00 +b1 +00 +3a +00 +a8 +00 +39 +00 +b1 +00 +33 +00 +a8 +00 +36 +00 +94 +00 +36 +00 +96 +00 +3c +00 +7a +00 +28 +00 +64 +00 +23 +00 +73 +00 +2a +00 +70 +00 +26 +00 +67 +00 +3c +00 +03 +10 +44 +00 +77 +00 +25 +00 +72 +00 +2a +00 +73 +00 +32 +00 +74 +00 +2f +00 +b0 +00 +be +00 +78 +20 +7e +00 +1a +10 +e2 +00 +02 +50 +94 +20 +87 +70 +5f +10 +09 +20 +3f +00 +ab +00 +36 +00 +82 +00 +3b +00 +89 +00 +2a +00 +85 +00 +2e +00 +87 +00 +3f +00 +9b +00 +43 +00 +b1 +00 +8e +00 +3a +30 +55 +10 +38 +40 +cc +10 +aa +40 +b2 +10 +c4 +50 +d1 +10 +bf +40 +0d +10 +9f +20 +8b +00 +83 +10 +5d +00 +f2 +00 +48 +00 +d4 +00 +49 +00 +bc +00 +4c +00 +d3 +00 +4e +00 +d0 +00 +50 +00 +d1 +00 +4d +00 +b4 +00 +3b +00 +b8 +00 +43 +00 +a3 +00 +4f +00 +c9 +00 +5c +00 +ac +10 +04 +10 +c6 +30 +29 +10 +8c +20 +7f +00 +4a +10 +54 +00 +d2 +00 +54 +00 +e3 +00 +6a +00 +e1 +10 +df +10 +52 +70 +c7 +20 +a0 +60 +16 +30 +93 +90 +26 +30 +05 +70 +cc +20 +ea +80 +ab +30 +70 +a0 +af +30 +82 +a0 +a5 +30 +73 +80 +a1 +10 +ee +50 +39 +20 +c4 +40 +eb +20 +9e +90 +c7 +30 +45 +a0 +a0 +30 +01 +a0 +9a +30 +5d +a0 +92 +30 +1d +a0 +82 +30 +c3 +90 +95 +20 +60 +80 +44 +30 +9c +90 +38 +30 +7e +90 +26 +30 +5f +70 +d7 +10 +10 +50 +9a +20 +21 +70 +91 +10 +3d +40 +20 +20 +05 +60 +5b +10 +14 +30 +8e +00 +aa +10 +6f +00 +6b +10 +81 +00 +74 +10 +61 +00 +ff +00 +5e +00 +1e +20 +86 +10 +4a +40 +d1 +00 +67 +10 +b0 +00 +f9 +20 +97 +00 +f1 +00 +32 +00 +74 +00 +4d +00 +74 +20 +60 +10 +0d +30 +62 +00 +b0 +00 +2e +00 +82 +00 +73 +00 +73 +30 +e2 +10 +71 +40 +f8 +10 +7e +50 +74 +10 +fe +10 +3e +00 +73 +00 +26 +00 +58 +00 +1f +00 +4e +00 +1d +00 +44 +00 +20 +00 +4e +00 +1f +00 +91 +00 +b1 +00 +fa +00 +2a +00 +66 +00 +19 +00 +47 +00 +1a +00 +42 +00 +15 +00 +39 +00 +15 +00 +3f +00 +0e +00 +40 +00 +19 +00 +39 +00 +19 +00 +37 +00 +14 +00 +40 +00 +14 +00 +3d +00 +1a +00 +3b +00 +18 +00 +3a +00 +17 +00 +3e +00 +1a +00 +51 +00 +1d +00 +4e +00 +1b +00 +40 +00 +1b +00 +36 +00 +17 +00 +37 +00 +15 +00 +2f +00 +14 +00 +2c +00 +0b +00 +25 +00 +0f +00 +30 +00 +13 +00 +2d +00 +1a +00 +25 +00 +0b +00 +3b +00 +14 +00 +43 +00 +20 +00 +44 +00 +12 +00 +47 +00 +12 +00 +3e +00 +16 +00 +3e +00 +18 +00 +48 +00 +19 +00 +44 +00 +17 +00 +44 +00 +14 +00 +46 +00 +24 +00 +4b +00 +1b +00 +48 +00 +28 +00 +44 +00 +13 +00 +3e +00 +1b +00 +3e +00 +15 +00 +3c +00 +18 +00 +3e +00 +19 +00 +37 +00 +17 +00 +3f +00 +1c +00 +34 +00 +1d +00 +3a +00 +1a +00 +40 +00 +16 +00 +38 +00 +1c +00 +39 +00 +19 +00 +3f +00 +11 +00 +3b +00 +16 +00 +3a +00 +1d +00 +3d +00 +12 +00 +33 +00 +16 +00 +3d +00 +15 +00 +33 +00 +19 +00 +2b +00 +16 +00 +58 +00 +26 +00 +4f +00 +17 +00 +46 +00 +14 +00 +36 +00 +1f +00 +3e +00 +16 +00 +3c +00 +14 +00 +49 +00 +17 +00 +36 +00 +1e +00 +3d +00 +20 +00 +45 +00 +15 +00 +47 +00 +19 +00 +35 +00 +17 +00 +4b +00 +1a +00 +52 +00 +1d +00 +47 +00 +20 +00 +52 +00 +23 +00 +8c +00 +34 +00 +a5 +00 +40 +00 +80 +00 +20 +00 +3c +00 +14 +00 +3d +00 +22 +00 +43 +00 +1d +00 +40 +00 +1c +00 +3a +00 +15 +00 +34 +00 +16 +00 +3a +00 +17 +00 +43 +00 +19 +00 +44 +00 +16 +00 +3c +00 +16 +00 +37 +00 +14 +00 +45 +00 +16 +00 +42 +00 +18 +00 +3c +00 +1b +00 +46 +00 +1b +00 +45 +00 +14 +00 +37 +00 +1d +00 +42 +00 +1c +00 +56 +00 +26 +00 +90 +00 +4b +00 +2a +10 +7a +00 +97 +10 +6f +00 +e2 +00 +2d +00 +73 +00 +1e +00 +5f +00 +22 +00 +53 +00 +20 +00 +58 +00 +22 +00 +59 +00 +20 +00 +51 +00 +18 +00 +3e +00 +16 +00 +34 +00 +14 +00 +35 +00 +12 +00 +35 +00 +14 +00 +3c +00 +1a +00 +37 +00 +19 +00 +43 +00 +24 +00 +56 +00 +28 +00 +69 +00 +39 +00 +21 +10 +f6 +00 +be +20 +4b +00 +99 +00 +26 +00 +a2 +00 +2a +00 +a7 +00 +49 +00 +97 +00 +21 +00 +45 +00 +1d +00 +40 +00 +17 +00 +4a +00 +1e +00 +3f +00 +10 +00 +38 +00 +10 +00 +2f +00 +10 +00 +30 +00 +14 +00 +2a +00 +14 +00 +2e +00 +0d +00 +24 +00 +0f +00 +2f +00 +11 +00 +32 +00 +11 +00 +2b +00 +10 +00 +2a +00 +14 +00 +28 +00 +15 +00 +36 +00 +12 +00 +32 +00 +12 +00 +2e +00 +10 +00 +2a +00 +15 +00 +2f +00 +00 +00 +00 +00 +7d +00 +6c +00 +83 +00 +63 +00 +a0 +00 +5e +00 +92 +00 +63 +00 +92 +00 +5e +00 +96 +00 +67 +00 +9a +00 +6a +00 +a3 +00 +6d +00 +99 +00 +5d +00 +99 +00 +6f +00 +a3 +00 +6e +00 +ac +00 +6e +00 +a2 +00 +75 +00 +b1 +00 +75 +00 +a1 +00 +6f +00 +af +00 +7a +00 +9a +00 +7a +00 +a7 +00 +6f +00 +9f +00 +5d +00 +87 +00 +40 +00 +65 +00 +46 +00 +63 +00 +49 +00 +64 +00 +42 +00 +65 +00 +41 +00 +84 +00 +84 +00 +47 +10 +6c +00 +6d +00 +4c +00 +65 +00 +38 +00 +6e +00 +42 +00 +83 +00 +8b +00 +e2 +10 +d9 +10 +1d +40 +4f +20 +34 +30 +82 +30 +2f +70 +df +20 +74 +30 +20 +10 +d4 +00 +62 +00 +8e +00 +4a +00 +7a +00 +44 +00 +85 +00 +51 +00 +83 +00 +5a +00 +9b +00 +67 +00 +ac +00 +90 +00 +72 +10 +34 +10 +a7 +20 +84 +10 +18 +30 +c4 +10 +f7 +20 +cf +10 +a8 +20 +8c +10 +f9 +10 +4b +10 +62 +10 +cc +00 +ed +00 +82 +00 +c1 +00 +7c +00 +ab +00 +7b +00 +b2 +00 +7a +00 +c3 +00 +71 +00 +cf +00 +75 +00 +b9 +00 +7e +00 +ab +00 +67 +00 +b8 +00 +77 +00 +be +00 +af +00 +de +00 +f2 +00 +aa +10 +2c +10 +ee +10 +11 +10 +38 +10 +ac +00 +cc +00 +7a +00 +bd +00 +a4 +00 +3b +10 +36 +30 +e5 +60 +2e +50 +4b +80 +6c +50 +50 +80 +d6 +50 +48 +80 +7f +50 +4e +80 +58 +60 +20 +a0 +1c +70 +be +a0 +f2 +60 +7f +a0 +f8 +40 +e6 +40 +53 +40 +88 +70 +68 +40 +f0 +50 +eb +50 +50 +a0 +14 +70 +65 +a0 +27 +70 +60 +a0 +28 +70 +f8 +90 +fb +60 +24 +a0 +95 +60 +32 +80 +1b +60 +30 +90 +18 +60 +1d +90 +d9 +50 +0c +90 +3e +50 +97 +60 +f6 +30 +1a +40 +ca +20 +50 +30 +87 +20 +5a +30 +5d +30 +90 +50 +b0 +20 +1e +20 +84 +10 +88 +10 +fb +00 +30 +10 +d0 +00 +ea +00 +a0 +00 +e7 +00 +59 +10 +de +30 +6e +10 +fc +10 +fc +00 +2e +10 +a7 +00 +d1 +10 +ad +00 +a9 +00 +6d +00 +be +00 +27 +10 +a8 +20 +cb +10 +b0 +10 +be +00 +95 +00 +0f +10 +39 +10 +18 +10 +75 +20 +d4 +10 +9b +40 +b2 +20 +1a +40 +5e +10 +04 +10 +70 +00 +73 +00 +2f +00 +57 +00 +31 +00 +4f +00 +33 +00 +48 +00 +37 +00 +50 +00 +7c +00 +ec +10 +6b +10 +23 +10 +59 +00 +56 +00 +32 +00 +3c +00 +2b +00 +47 +00 +2b +00 +44 +00 +27 +00 +3a +00 +23 +00 +48 +00 +28 +00 +4a +00 +21 +00 +49 +00 +28 +00 +4d +00 +22 +00 +43 +00 +2c +00 +43 +00 +20 +00 +4a +00 +2a +00 +4b +00 +29 +00 +47 +00 +25 +00 +40 +00 +1e +00 +34 +00 +12 +00 +32 +00 +22 +00 +3f +00 +22 +00 +35 +00 +1c +00 +24 +00 +1e +00 +28 +00 +21 +00 +2d +00 +1a +00 +2d +00 +1b +00 +36 +00 +18 +00 +36 +00 +28 +00 +36 +00 +1a +00 +37 +00 +25 +00 +3d +00 +25 +00 +36 +00 +27 +00 +41 +00 +23 +00 +40 +00 +21 +00 +41 +00 +28 +00 +3d +00 +1f +00 +45 +00 +26 +00 +3a +00 +27 +00 +60 +00 +2c +00 +4a +00 +23 +00 +39 +00 +2a +00 +46 +00 +26 +00 +47 +00 +21 +00 +3f +00 +20 +00 +3a +00 +1f +00 +3d +00 +26 +00 +3b +00 +24 +00 +38 +00 +1f +00 +31 +00 +1a +00 +2f +00 +1d +00 +3e +00 +1d +00 +33 +00 +23 +00 +3b +00 +24 +00 +40 +00 +24 +00 +38 +00 +24 +00 +3d +00 +1c +00 +30 +00 +1c +00 +34 +00 +24 +00 +3e +00 +22 +00 +50 +00 +2d +00 +48 +00 +21 +00 +41 +00 +21 +00 +43 +00 +21 +00 +47 +00 +23 +00 +3f +00 +28 +00 +49 +00 +27 +00 +3b +00 +23 +00 +42 +00 +1e +00 +40 +00 +25 +00 +47 +00 +27 +00 +48 +00 +29 +00 +4e +00 +29 +00 +4b +00 +3e +00 +5c +00 +35 +00 +5c +00 +39 +00 +79 +00 +49 +00 +63 +00 +31 +00 +4d +00 +2b +00 +3c +00 +20 +00 +3e +00 +2a +00 +3c +00 +2a +00 +45 +00 +19 +00 +33 +00 +1c +00 +3a +00 +1b +00 +3a +00 +1f +00 +36 +00 +26 +00 +3a +00 +1b +00 +36 +00 +22 +00 +3e +00 +1b +00 +4a +00 +22 +00 +3e +00 +1e +00 +37 +00 +2a +00 +4f +00 +1c +00 +42 +00 +2a +00 +44 +00 +28 +00 +4a +00 +3a +00 +5d +00 +9d +00 +7f +10 +1f +20 +9e +20 +4b +20 +b1 +20 +c8 +00 +89 +00 +4d +00 +7e +00 +38 +00 +59 +00 +31 +00 +5d +00 +2b +00 +5b +00 +27 +00 +4b +00 +28 +00 +37 +00 +27 +00 +33 +00 +1f +00 +39 +00 +21 +00 +3a +00 +20 +00 +35 +00 +1d +00 +3a +00 +21 +00 +3f +00 +2d +00 +5e +00 +33 +00 +60 +00 +46 +00 +79 +00 +9f +00 +a2 +20 +0d +20 +b9 +20 +be +00 +89 +00 +69 +00 +79 +00 +4c +00 +a4 +00 +44 +00 +65 +00 +35 +00 +45 +00 +2c +00 +48 +00 +1f +00 +36 +00 +21 +00 +34 +00 +1e +00 +30 +00 +1c +00 +29 +00 +1c +00 +38 +00 +1c +00 +28 +00 +14 +00 +31 +00 +18 +00 +32 +00 +17 +00 +2a +00 +1c +00 +2b +00 +15 +00 +2f +00 +1e +00 +2e +00 +16 +00 +32 +00 +19 +00 +35 +00 +24 +00 +30 +00 +1e +00 +30 +00 +19 +00 +2f +00 +1d +00 +00 +00 +00 +00 +2c +00 +8c +00 +35 +00 +94 +00 +3e +00 +9c +00 +38 +00 +99 +00 +39 +00 +87 +00 +36 +00 +98 +00 +36 +00 +a9 +00 +3b +00 +9d +00 +31 +00 +ab +00 +3e +00 +9b +00 +39 +00 +9c +00 +3d +00 +9c +00 +43 +00 +9d +00 +40 +00 +b3 +00 +36 +00 +a7 +00 +3d +00 +a1 +00 +46 +00 +a3 +00 +39 +00 +9a +00 +32 +00 +8e +00 +2c +00 +78 +00 +24 +00 +54 +00 +21 +00 +5b +00 +2c +00 +63 +00 +26 +00 +6c +00 +27 +00 +89 +00 +3d +00 +9f +00 +24 +00 +6e +00 +22 +00 +60 +00 +2c +00 +72 +00 +32 +00 +c0 +00 +63 +00 +90 +10 +79 +10 +86 +40 +ed +10 +3d +60 +0a +20 +a8 +30 +a4 +00 +45 +10 +40 +00 +8d +00 +33 +00 +77 +00 +2a +00 +70 +00 +32 +00 +8a +00 +2e +00 +88 +00 +36 +00 +ab +00 +33 +00 +9d +00 +48 +00 +13 +10 +7c +00 +64 +10 +87 +00 +b1 +10 +88 +00 +b2 +10 +93 +00 +a6 +10 +66 +00 +31 +10 +4c +00 +cf +00 +3e +00 +b5 +00 +3c +00 +b3 +00 +3d +00 +b0 +00 +43 +00 +b5 +00 +3c +00 +af +00 +42 +00 +aa +00 +38 +00 +b4 +00 +3d +00 +b4 +00 +40 +00 +a4 +00 +46 +00 +b7 +00 +40 +00 +1f +10 +72 +00 +65 +10 +78 +00 +29 +10 +48 +00 +df +00 +41 +00 +bd +00 +45 +00 +d8 +00 +a6 +00 +a7 +40 +01 +30 +ff +80 +dc +20 +e3 +60 +32 +30 +40 +90 +48 +20 +96 +70 +3b +30 +92 +90 +9d +30 +68 +a0 +9b +30 +7e +a0 +74 +30 +c4 +70 +6a +10 +59 +50 +0b +30 +4d +70 +e5 +10 +f7 +70 +67 +30 +5a +a0 +b8 +30 +24 +a0 +92 +30 +12 +a0 +6b +30 +48 +a0 +6a +30 +a6 +90 +f8 +20 +4f +80 +4f +30 +78 +90 +80 +20 +ef +60 +fc +20 +fd +70 +4f +20 +0b +60 +0b +10 +ad +20 +d4 +00 +a6 +20 +24 +10 +0e +50 +69 +20 +8a +50 +18 +10 +ee +10 +6d +00 +15 +10 +4b +00 +d4 +00 +3a +00 +bf +00 +4d +00 +6b +10 +da +00 +fe +10 +76 +00 +19 +10 +56 +00 +e5 +00 +51 +00 +e1 +00 +3c +00 +9a +00 +4a +00 +0f +10 +2a +10 +29 +40 +c8 +00 +0e +10 +54 +00 +87 +20 +96 +00 +6e +10 +6f +00 +a4 +10 +4e +10 +37 +40 +d7 +00 +8c +10 +46 +00 +75 +00 +29 +00 +5f +00 +23 +00 +5c +00 +1d +00 +47 +00 +20 +00 +53 +00 +25 +00 +9f +00 +b6 +00 +cf +20 +b0 +00 +ae +00 +1f +00 +42 +00 +1f +00 +48 +00 +1d +00 +46 +00 +17 +00 +41 +00 +18 +00 +3f +00 +1f +00 +48 +00 +15 +00 +4e +00 +1d +00 +42 +00 +13 +00 +41 +00 +1b +00 +45 +00 +1b +00 +43 +00 +20 +00 +4c +00 +18 +00 +3f +00 +15 +00 +40 +00 +14 +00 +2f +00 +11 +00 +2b +00 +1d +00 +43 +00 +17 +00 +30 +00 +11 +00 +36 +00 +10 +00 +2d +00 +14 +00 +2a +00 +14 +00 +27 +00 +12 +00 +32 +00 +14 +00 +3b +00 +18 +00 +3d +00 +1a +00 +3c +00 +19 +00 +3b +00 +1e +00 +47 +00 +20 +00 +52 +00 +19 +00 +46 +00 +1b +00 +47 +00 +1c +00 +37 +00 +16 +00 +3c +00 +18 +00 +31 +00 +1b +00 +43 +00 +1a +00 +44 +00 +16 +00 +38 +00 +14 +00 +5f +00 +31 +00 +48 +00 +16 +00 +3c +00 +14 +00 +3e +00 +20 +00 +3f +00 +19 +00 +40 +00 +17 +00 +35 +00 +0f +00 +38 +00 +11 +00 +2d +00 +11 +00 +3b +00 +19 +00 +3d +00 +17 +00 +3b +00 +18 +00 +3e +00 +17 +00 +3b +00 +19 +00 +3a +00 +0f +00 +37 +00 +16 +00 +35 +00 +15 +00 +35 +00 +13 +00 +3f +00 +1e +00 +4c +00 +1b +00 +47 +00 +11 +00 +35 +00 +19 +00 +45 +00 +1b +00 +46 +00 +16 +00 +3d +00 +17 +00 +38 +00 +14 +00 +3d +00 +12 +00 +39 +00 +17 +00 +46 +00 +22 +00 +4d +00 +21 +00 +4a +00 +1b +00 +49 +00 +1f +00 +74 +00 +27 +00 +50 +00 +1c +00 +4c +00 +23 +00 +45 +00 +1e +00 +4a +00 +16 +00 +3d +00 +1b +00 +38 +00 +1f +00 +38 +00 +14 +00 +43 +00 +1b +00 +3f +00 +12 +00 +37 +00 +18 +00 +30 +00 +14 +00 +3d +00 +13 +00 +37 +00 +16 +00 +34 +00 +15 +00 +31 +00 +1a +00 +31 +00 +15 +00 +41 +00 +1c +00 +3e +00 +20 +00 +54 +00 +18 +00 +3f +00 +1b +00 +43 +00 +1c +00 +3f +00 +21 +00 +54 +00 +20 +00 +93 +00 +05 +10 +fb +30 +f3 +10 +99 +40 +9c +00 +2a +10 +2e +00 +61 +00 +1f +00 +59 +00 +28 +00 +5c +00 +1c +00 +50 +00 +1c +00 +43 +00 +1a +00 +35 +00 +11 +00 +35 +00 +17 +00 +34 +00 +14 +00 +33 +00 +19 +00 +39 +00 +16 +00 +37 +00 +1a +00 +3c +00 +1f +00 +5e +00 +26 +00 +54 +00 +28 +00 +63 +00 +28 +00 +aa +00 +81 +00 +8d +20 +21 +10 +51 +10 +34 +00 +69 +00 +28 +00 +67 +00 +26 +00 +64 +00 +1c +00 +3e +00 +19 +00 +3c +00 +1b +00 +46 +00 +12 +00 +39 +00 +13 +00 +31 +00 +0f +00 +36 +00 +14 +00 +35 +00 +18 +00 +33 +00 +10 +00 +2d +00 +17 +00 +2d +00 +12 +00 +26 +00 +12 +00 +2d +00 +0e +00 +2a +00 +13 +00 +27 +00 +14 +00 +30 +00 +0e +00 +31 +00 +14 +00 +31 +00 +17 +00 +2e +00 +12 +00 +34 +00 +13 +00 +31 +00 +00 +00 +00 +00 +82 +00 +68 +00 +9b +00 +65 +00 +8d +00 +70 +00 +9e +00 +66 +00 +93 +00 +64 +00 +96 +00 +6d +00 +9d +00 +74 +00 +9d +00 +67 +00 +9f +00 +65 +00 +95 +00 +70 +00 +9e +00 +76 +00 +aa +00 +6f +00 +9f +00 +6d +00 +98 +00 +7d +00 +ad +00 +6b +00 +9f +00 +73 +00 +a3 +00 +7e +00 +93 +00 +6d +00 +89 +00 +50 +00 +7a +00 +3e +00 +60 +00 +34 +00 +5f +00 +40 +00 +6c +00 +43 +00 +67 +00 +42 +00 +6d +00 +45 +00 +75 +00 +4e +00 +80 +00 +40 +00 +76 +00 +3c +00 +6a +00 +42 +00 +7f +00 +78 +00 +dd +00 +b5 +00 +78 +10 +80 +10 +37 +30 +18 +20 +d2 +30 +a6 +10 +82 +10 +98 +00 +a5 +00 +57 +00 +7b +00 +4d +00 +6f +00 +4e +00 +6e +00 +51 +00 +86 +00 +54 +00 +97 +00 +5a +00 +93 +00 +7c +00 +b8 +00 +9a +00 +02 +10 +e6 +00 +19 +10 +f6 +00 +19 +10 +fc +00 +28 +10 +df +00 +f1 +00 +a3 +00 +ca +00 +72 +00 +ae +00 +61 +00 +aa +00 +6d +00 +b5 +00 +92 +00 +ae +00 +7f +00 +c2 +00 +76 +00 +af +00 +c4 +00 +ca +00 +d3 +00 +d8 +00 +87 +00 +af +00 +81 +00 +ab +00 +c6 +00 +c7 +00 +e7 +00 +08 +10 +f5 +00 +14 +10 +b4 +00 +d8 +00 +7f +00 +b6 +00 +70 +00 +c5 +00 +b6 +00 +36 +10 +b0 +20 +aa +70 +78 +50 +08 +80 +45 +50 +7e +80 +00 +50 +80 +60 +cb +50 +07 +90 +c7 +60 +46 +a0 +2e +70 +67 +a0 +fe +60 +49 +a0 +9f +40 +6c +40 +3c +40 +f5 +70 +5d +50 +cd +50 +07 +50 +4f +90 +8b +60 +68 +a0 +f3 +60 +18 +a0 +0b +70 +17 +a0 +13 +70 +53 +a0 +70 +60 +e1 +80 +08 +60 +ce +80 +57 +50 +07 +60 +9b +30 +4d +60 +96 +40 +53 +60 +d3 +30 +cd +30 +ed +20 +67 +30 +26 +30 +a2 +40 +34 +40 +49 +60 +ad +30 +5e +50 +a8 +10 +29 +10 +b8 +00 +c1 +00 +7c +00 +af +00 +7f +00 +d3 +00 +f0 +00 +b0 +10 +51 +10 +85 +10 +c4 +00 +de +00 +a0 +00 +d9 +00 +a3 +00 +a3 +00 +99 +00 +bd +00 +4b +10 +dd +20 +67 +10 +54 +20 +f3 +00 +e4 +00 +06 +10 +eb +10 +ef +00 +2b +10 +33 +10 +65 +20 +dc +10 +6e +20 +0a +10 +c4 +00 +5c +00 +68 +00 +3c +00 +53 +00 +2b +00 +55 +00 +28 +00 +62 +00 +2f +00 +55 +00 +84 +00 +48 +10 +79 +00 +0d +10 +7e +00 +5a +00 +2b +00 +42 +00 +26 +00 +47 +00 +2b +00 +45 +00 +21 +00 +47 +00 +29 +00 +41 +00 +27 +00 +44 +00 +23 +00 +4f +00 +1e +00 +3c +00 +28 +00 +45 +00 +2c +00 +53 +00 +23 +00 +53 +00 +26 +00 +4b +00 +29 +00 +4a +00 +20 +00 +30 +00 +1d +00 +31 +00 +1a +00 +33 +00 +21 +00 +37 +00 +15 +00 +33 +00 +23 +00 +31 +00 +1f +00 +31 +00 +1e +00 +40 +00 +24 +00 +35 +00 +1e +00 +3c +00 +1c +00 +36 +00 +25 +00 +3c +00 +21 +00 +3f +00 +29 +00 +41 +00 +2a +00 +71 +00 +27 +00 +41 +00 +25 +00 +42 +00 +25 +00 +3d +00 +25 +00 +3a +00 +21 +00 +32 +00 +23 +00 +47 +00 +23 +00 +3d +00 +22 +00 +3d +00 +26 +00 +38 +00 +31 +00 +8a +00 +2e +00 +41 +00 +21 +00 +3e +00 +1f +00 +40 +00 +1c +00 +3e +00 +22 +00 +35 +00 +18 +00 +37 +00 +19 +00 +31 +00 +21 +00 +29 +00 +1e +00 +31 +00 +24 +00 +58 +00 +1e +00 +3c +00 +26 +00 +3b +00 +20 +00 +3f +00 +23 +00 +2d +00 +1f +00 +32 +00 +1d +00 +30 +00 +24 +00 +38 +00 +1b +00 +49 +00 +1f +00 +50 +00 +21 +00 +41 +00 +22 +00 +4d +00 +2c +00 +47 +00 +23 +00 +52 +00 +1e +00 +3b +00 +1f +00 +3f +00 +1a +00 +34 +00 +22 +00 +36 +00 +27 +00 +66 +00 +33 +00 +4d +00 +34 +00 +4f +00 +57 +00 +54 +00 +35 +00 +5d +00 +28 +00 +56 +00 +34 +00 +49 +00 +30 +00 +4e +00 +2b +00 +38 +00 +25 +00 +39 +00 +25 +00 +3d +00 +1e +00 +3f +00 +21 +00 +35 +00 +21 +00 +34 +00 +19 +00 +34 +00 +1d +00 +41 +00 +1c +00 +35 +00 +1f +00 +3c +00 +20 +00 +39 +00 +1f +00 +35 +00 +1c +00 +38 +00 +37 +00 +78 +00 +39 +00 +64 +00 +39 +00 +54 +00 +2f +00 +3e +00 +2c +00 +42 +00 +2f +00 +4f +00 +41 +00 +4e +00 +6c +00 +c7 +00 +f2 +00 +08 +40 +6d +10 +bb +10 +b9 +00 +9b +00 +50 +00 +5f +00 +2d +00 +77 +00 +3b +00 +50 +00 +2a +00 +4a +00 +27 +00 +44 +00 +23 +00 +35 +00 +21 +00 +35 +00 +1a +00 +30 +00 +1e +00 +33 +00 +22 +00 +31 +00 +20 +00 +33 +00 +21 +00 +59 +00 +29 +00 +5b +00 +2c +00 +48 +00 +40 +00 +5e +00 +59 +00 +c0 +00 +7b +00 +dc +10 +b8 +00 +bb +00 +67 +00 +62 +00 +4b +00 +52 +00 +32 +00 +4e +00 +30 +00 +40 +00 +2b +00 +35 +00 +28 +00 +41 +00 +1f +00 +2a +00 +1d +00 +2b +00 +25 +00 +39 +00 +1b +00 +36 +00 +1a +00 +2d +00 +1c +00 +2b +00 +20 +00 +34 +00 +1f +00 +29 +00 +17 +00 +2a +00 +16 +00 +2b +00 +17 +00 +25 +00 +19 +00 +2f +00 +20 +00 +2c +00 +1e +00 +28 +00 +21 +00 +26 +00 +20 +00 +2a +00 +18 +00 +00 +00 +00 +00 +31 +00 +8f +00 +37 +00 +93 +00 +31 +00 +8e +00 +33 +00 +94 +00 +37 +00 +9a +00 +3c +00 +9c +00 +36 +00 +8c +00 +33 +00 +a5 +00 +3c +00 +a5 +00 +3a +00 +9f +00 +3d +00 +96 +00 +3a +00 +b4 +00 +35 +00 +9d +00 +3b +00 +a4 +00 +3a +00 +b2 +00 +42 +00 +9a +00 +45 +00 +b4 +00 +3d +00 +9e +00 +3b +00 +89 +00 +2c +00 +6c +00 +1f +00 +5e +00 +25 +00 +5a +00 +27 +00 +5e +00 +2e +00 +73 +00 +25 +00 +77 +00 +2b +00 +74 +00 +21 +00 +6d +00 +27 +00 +72 +00 +25 +00 +71 +00 +2f +00 +86 +00 +3d +00 +e8 +00 +58 +00 +09 +10 +72 +00 +c0 +10 +85 +00 +94 +10 +4a +00 +b1 +00 +31 +00 +86 +00 +2d +00 +6c +00 +30 +00 +6c +00 +2e +00 +77 +00 +2c +00 +7d +00 +2f +00 +88 +00 +32 +00 +88 +00 +34 +00 +d0 +00 +3a +00 +d0 +00 +3d +00 +e0 +00 +4f +00 +e4 +00 +46 +00 +ea +00 +45 +00 +a8 +00 +3f +00 +99 +00 +3b +00 +a0 +00 +42 +00 +b6 +00 +52 +00 +e5 +00 +4c +00 +bd +00 +43 +00 +ae +00 +55 +00 +11 +10 +c4 +00 +75 +20 +7c +00 +dc +00 +45 +00 +c7 +00 +46 +00 +f1 +00 +44 +00 +e3 +00 +4c +00 +ea +00 +55 +00 +d2 +00 +47 +00 +b3 +00 +42 +00 +c1 +00 +4e +00 +d2 +00 +6c +00 +7f +20 +ba +20 +18 +90 +5a +20 +2e +70 +14 +30 +21 +70 +77 +20 +1c +80 +8e +30 +45 +a0 +a0 +30 +79 +a0 +a8 +30 +87 +a0 +4a +30 +35 +70 +50 +10 +12 +50 +2f +30 +0d +90 +e8 +10 +7e +50 +12 +30 +ff +90 +97 +30 +62 +a0 +ae +30 +6d +a0 +bd +30 +62 +a0 +64 +30 +6f +90 +f1 +20 +30 +80 +49 +30 +8d +80 +73 +10 +90 +30 +c2 +10 +e8 +60 +1c +20 +83 +50 +a7 +10 +5b +50 +35 +10 +4f +30 +53 +20 +3e +70 +88 +20 +43 +60 +89 +10 +d6 +20 +55 +00 +db +00 +3c +00 +ae +00 +39 +00 +ad +00 +78 +00 +d8 +10 +c9 +00 +c7 +20 +13 +10 +7d +10 +55 +00 +fd +00 +67 +00 +0c +10 +63 +00 +ea +00 +7d +00 +28 +30 +cb +00 +d5 +10 +72 +00 +03 +10 +50 +00 +35 +10 +7a +00 +2f +10 +4a +00 +02 +10 +20 +10 +68 +40 +b4 +00 +85 +10 +43 +00 +7f +00 +22 +00 +65 +00 +1f +00 +55 +00 +22 +00 +4b +00 +1d +00 +4a +00 +1e +00 +81 +00 +3c +00 +bc +00 +3a +00 +9a +00 +15 +00 +3c +00 +15 +00 +42 +00 +1a +00 +47 +00 +1b +00 +48 +00 +1b +00 +46 +00 +19 +00 +39 +00 +1b +00 +43 +00 +1a +00 +40 +00 +1d +00 +42 +00 +21 +00 +48 +00 +1b +00 +4e +00 +16 +00 +3a +00 +1d +00 +41 +00 +12 +00 +36 +00 +10 +00 +31 +00 +17 +00 +2d +00 +10 +00 +2f +00 +15 +00 +2b +00 +18 +00 +2f +00 +12 +00 +36 +00 +0d +00 +39 +00 +17 +00 +3c +00 +17 +00 +40 +00 +11 +00 +39 +00 +1b +00 +3b +00 +1f +00 +35 +00 +13 +00 +38 +00 +14 +00 +45 +00 +20 +00 +47 +00 +13 +00 +46 +00 +1b +00 +37 +00 +16 +00 +40 +00 +1c +00 +3f +00 +18 +00 +3d +00 +1a +00 +3a +00 +1a +00 +39 +00 +18 +00 +43 +00 +18 +00 +4a +00 +1f +00 +4f +00 +13 +00 +3c +00 +18 +00 +31 +00 +1a +00 +39 +00 +14 +00 +34 +00 +13 +00 +2f +00 +14 +00 +35 +00 +19 +00 +39 +00 +13 +00 +39 +00 +1d +00 +3c +00 +17 +00 +47 +00 +18 +00 +43 +00 +19 +00 +3d +00 +14 +00 +36 +00 +15 +00 +33 +00 +12 +00 +32 +00 +1a +00 +3c +00 +1a +00 +51 +00 +22 +00 +4c +00 +18 +00 +4e +00 +1e +00 +40 +00 +14 +00 +47 +00 +1d +00 +41 +00 +24 +00 +48 +00 +1a +00 +3d +00 +18 +00 +3b +00 +1e +00 +41 +00 +19 +00 +43 +00 +27 +00 +5d +00 +21 +00 +4a +00 +5c +00 +5e +10 +36 +00 +6b +00 +18 +00 +49 +00 +19 +00 +46 +00 +11 +00 +4d +00 +16 +00 +42 +00 +1a +00 +33 +00 +16 +00 +35 +00 +1c +00 +3a +00 +1d +00 +3e +00 +11 +00 +3c +00 +13 +00 +31 +00 +14 +00 +39 +00 +1a +00 +3b +00 +1c +00 +3b +00 +15 +00 +35 +00 +18 +00 +35 +00 +17 +00 +33 +00 +1c +00 +68 +00 +49 +00 +73 +00 +2d +00 +6d +00 +20 +00 +48 +00 +24 +00 +5a +00 +19 +00 +49 +00 +22 +00 +63 +00 +1e +00 +70 +00 +2d +00 +d2 +00 +72 +00 +4f +10 +63 +00 +df +00 +2b +00 +61 +00 +1a +00 +51 +00 +19 +00 +48 +00 +1f +00 +51 +00 +17 +00 +47 +00 +13 +00 +2d +00 +18 +00 +31 +00 +17 +00 +34 +00 +12 +00 +23 +00 +15 +00 +37 +00 +1a +00 +39 +00 +1b +00 +41 +00 +27 +00 +5d +00 +21 +00 +53 +00 +16 +00 +4c +00 +1f +00 +67 +00 +2b +00 +c2 +00 +3e +00 +00 +10 +5e +00 +b2 +00 +2a +00 +5f +00 +1a +00 +44 +00 +2b +00 +5e +00 +16 +00 +44 +00 +16 +00 +3c +00 +18 +00 +35 +00 +14 +00 +2f +00 +14 +00 +3a +00 +17 +00 +31 +00 +12 +00 +3d +00 +15 +00 +2e +00 +0c +00 +2c +00 +15 +00 +27 +00 +0f +00 +2e +00 +12 +00 +2c +00 +10 +00 +22 +00 +0f +00 +2a +00 +12 +00 +2e +00 +14 +00 +28 +00 +0d +00 +26 +00 +11 +00 +2e +00 +13 +00 +34 +00 +00 +00 +00 +00 +8a +00 +6b +00 +96 +00 +64 +00 +94 +00 +70 +00 +9f +00 +6a +00 +96 +00 +6b +00 +99 +00 +68 +00 +94 +00 +72 +00 +96 +00 +65 +00 +9b +00 +6a +00 +9b +00 +66 +00 +a5 +00 +6e +00 +ab +00 +6c +00 +98 +00 +7d +00 +a1 +00 +6f +00 +b5 +00 +71 +00 +a0 +00 +71 +00 +99 +00 +6f +00 +a5 +00 +62 +00 +9c +00 +55 +00 +7b +00 +50 +00 +5f +00 +3b +00 +61 +00 +3b +00 +68 +00 +38 +00 +68 +00 +4a +00 +60 +00 +3b +00 +69 +00 +40 +00 +6b +00 +41 +00 +6a +00 +39 +00 +70 +00 +4a +00 +60 +00 +65 +00 +94 +00 +98 +00 +d7 +00 +c9 +00 +0e +10 +f4 +00 +2b +10 +a7 +00 +a7 +00 +51 +00 +8d +00 +4f +00 +81 +00 +3e +00 +6a +00 +45 +00 +70 +00 +43 +00 +6d +00 +4b +00 +6f +00 +4c +00 +7b +00 +63 +00 +95 +00 +70 +00 +a1 +00 +8a +00 +ad +00 +84 +00 +98 +00 +90 +00 +ba +00 +84 +00 +e3 +00 +7b +00 +a0 +00 +59 +00 +98 +00 +7f +00 +a4 +00 +45 +10 +f8 +10 +7b +10 +d1 +10 +94 +00 +c5 +00 +aa +00 +ce +10 +2a +20 +43 +50 +fd +10 +58 +20 +f6 +00 +cf +00 +b3 +10 +4c +20 +27 +20 +62 +10 +63 +10 +12 +10 +df +00 +df +00 +99 +00 +bf +00 +82 +00 +ba +00 +8c +00 +bf +00 +b5 +00 +0f +10 +17 +20 +42 +60 +75 +40 +c4 +60 +9a +30 +8a +50 +bd +30 +dc +60 +ba +50 +bb +90 +ca +60 +41 +a0 +17 +70 +93 +a0 +f4 +60 +dd +90 +65 +40 +54 +40 +fd +30 +f6 +70 +b7 +50 +a2 +70 +95 +40 +ff +60 +79 +60 +48 +a0 +1d +70 +48 +a0 +15 +70 +7b +a0 +0e +70 +07 +a0 +25 +60 +a1 +80 +80 +50 +94 +80 +7a +40 +c6 +40 +a9 +20 +dc +20 +86 +30 +96 +50 +af +30 +f0 +50 +76 +30 +6e +40 +aa +20 +24 +40 +26 +40 +f4 +70 +ee +30 +b2 +40 +a7 +10 +53 +10 +7f +00 +ae +00 +67 +00 +a5 +00 +6b +00 +cc +00 +9c +00 +32 +10 +84 +10 +70 +30 +3d +10 +33 +10 +cc +00 +23 +10 +62 +10 +65 +30 +10 +10 +86 +10 +c1 +10 +d8 +20 +fe +00 +01 +10 +c3 +00 +e3 +00 +73 +10 +53 +10 +fa +00 +c4 +00 +dd +00 +c8 +10 +5a +10 +6e +20 +dd +00 +ad +00 +5c +00 +65 +00 +2a +00 +57 +00 +31 +00 +63 +00 +38 +00 +44 +00 +2c +00 +4c +00 +4b +00 +7b +00 +4a +00 +90 +00 +41 +00 +54 +00 +2a +00 +3f +00 +25 +00 +48 +00 +1a +00 +4a +00 +2c +00 +49 +00 +28 +00 +43 +00 +27 +00 +41 +00 +2a +00 +46 +00 +28 +00 +43 +00 +1e +00 +41 +00 +24 +00 +4a +00 +25 +00 +43 +00 +1d +00 +3c +00 +24 +00 +38 +00 +25 +00 +37 +00 +1f +00 +24 +00 +18 +00 +2a +00 +20 +00 +27 +00 +1c +00 +2a +00 +23 +00 +2f +00 +25 +00 +40 +00 +25 +00 +3c +00 +27 +00 +3c +00 +1d +00 +37 +00 +1b +00 +4b +00 +21 +00 +33 +00 +20 +00 +41 +00 +20 +00 +3b +00 +26 +00 +46 +00 +22 +00 +3f +00 +26 +00 +42 +00 +2b +00 +6f +00 +3a +00 +49 +00 +23 +00 +3a +00 +25 +00 +41 +00 +1f +00 +4a +00 +2a +00 +3a +00 +20 +00 +3f +00 +2a +00 +44 +00 +23 +00 +3f +00 +23 +00 +36 +00 +21 +00 +33 +00 +1c +00 +39 +00 +1c +00 +3b +00 +20 +00 +2d +00 +1b +00 +31 +00 +1f +00 +39 +00 +1c +00 +3b +00 +24 +00 +31 +00 +1f +00 +32 +00 +21 +00 +3c +00 +2b +00 +39 +00 +24 +00 +37 +00 +21 +00 +39 +00 +24 +00 +48 +00 +26 +00 +46 +00 +28 +00 +3e +00 +2e +00 +48 +00 +39 +00 +65 +00 +2e +00 +3c +00 +22 +00 +3e +00 +21 +00 +51 +00 +22 +00 +47 +00 +21 +00 +38 +00 +2e +00 +91 +00 +36 +00 +42 +00 +2e +00 +67 +00 +27 +00 +55 +00 +37 +00 +74 +00 +50 +00 +99 +00 +39 +00 +55 +00 +34 +00 +40 +00 +24 +00 +35 +00 +2a +00 +3a +00 +20 +00 +3f +00 +1d +00 +33 +00 +23 +00 +38 +00 +1e +00 +3d +00 +1e +00 +3e +00 +1e +00 +32 +00 +2a +00 +3e +00 +20 +00 +4a +00 +20 +00 +38 +00 +27 +00 +37 +00 +25 +00 +3b +00 +1d +00 +38 +00 +23 +00 +43 +00 +23 +00 +59 +00 +2e +00 +57 +00 +30 +00 +58 +00 +41 +00 +5f +10 +1b +10 +b4 +00 +01 +10 +10 +10 +73 +00 +63 +00 +62 +00 +7d +00 +6d +00 +cf +00 +7e +00 +c9 +00 +76 +00 +6c +00 +3f +00 +4e +00 +2d +00 +45 +00 +2b +00 +4c +00 +24 +00 +45 +00 +21 +00 +3d +00 +22 +00 +34 +00 +13 +00 +31 +00 +20 +00 +2e +00 +18 +00 +3a +00 +22 +00 +31 +00 +1d +00 +3a +00 +24 +00 +4f +00 +30 +00 +65 +00 +32 +00 +52 +00 +2c +00 +4f +00 +43 +00 +6f +00 +76 +00 +b5 +00 +f4 +00 +d7 +20 +fc +00 +b8 +00 +4d +00 +4c +00 +3c +00 +03 +10 +64 +00 +53 +00 +24 +00 +3b +00 +1d +00 +31 +00 +1f +00 +33 +00 +23 +00 +2e +00 +2e +00 +4a +00 +29 +00 +43 +00 +20 +00 +2e +00 +21 +00 +28 +00 +24 +00 +24 +00 +1b +00 +2a +00 +15 +00 +27 +00 +19 +00 +21 +00 +19 +00 +27 +00 +1b +00 +27 +00 +18 +00 +2e +00 +1c +00 +31 +00 +23 +00 +30 +00 +1e +00 +44 +00 +26 +00 +00 +00 +00 +00 +2e +00 +97 +00 +30 +00 +90 +00 +38 +00 +8a +00 +35 +00 +91 +00 +35 +00 +a1 +00 +31 +00 +92 +00 +38 +00 +a3 +00 +3c +00 +a3 +00 +37 +00 +9f +00 +37 +00 +a4 +00 +32 +00 +9d +00 +3c +00 +a1 +00 +3b +00 +9c +00 +4b +00 +a4 +00 +3b +00 +a4 +00 +38 +00 +a1 +00 +39 +00 +a4 +00 +3a +00 +9f +00 +36 +00 +7e +00 +28 +00 +69 +00 +27 +00 +68 +00 +2d +00 +64 +00 +23 +00 +5e +00 +27 +00 +5e +00 +26 +00 +56 +00 +21 +00 +5e +00 +21 +00 +5f +00 +1b +00 +58 +00 +23 +00 +5c +00 +28 +00 +60 +00 +21 +00 +88 +00 +33 +00 +c9 +00 +40 +00 +d9 +00 +3e +00 +98 +00 +2f +00 +7f +00 +29 +00 +69 +00 +27 +00 +75 +00 +24 +00 +60 +00 +27 +00 +7b +00 +25 +00 +6d +00 +2a +00 +6e +00 +2d +00 +87 +00 +2c +00 +87 +00 +2e +00 +8a +00 +2e +00 +7b +00 +3d +00 +8e +00 +3b +00 +ab +00 +42 +00 +b9 +00 +3a +00 +a3 +00 +3f +00 +ab +00 +71 +00 +f1 +20 +df +10 +b6 +30 +ae +00 +1f +10 +43 +00 +bb +00 +8b +00 +41 +20 +b6 +10 +94 +30 +a4 +00 +4e +10 +c2 +00 +67 +30 +35 +20 +4f +60 +43 +10 +b1 +20 +c2 +00 +60 +10 +62 +00 +d4 +00 +4b +00 +cd +00 +46 +00 +c7 +00 +4e +00 +dc +00 +61 +00 +bf +10 +ca +10 +cf +50 +6d +10 +59 +30 +f5 +00 +65 +30 +33 +20 +bd +80 +77 +30 +1c +a0 +b3 +30 +43 +a0 +ab +30 +82 +a0 +56 +30 +d3 +60 +51 +10 +79 +40 +fb +20 +6f +90 +ca +20 +83 +50 +5e +20 +08 +90 +84 +30 +2d +a0 +9a +30 +29 +a0 +90 +30 +55 +a0 +64 +30 +66 +80 +bc +20 +d2 +60 +c8 +20 +59 +70 +41 +10 +59 +20 +e9 +00 +10 +40 +bb +10 +fa +50 +ee +10 +07 +40 +18 +10 +1b +30 +84 +10 +49 +40 +70 +20 +99 +60 +ff +00 +dc +10 +54 +00 +bd +00 +44 +00 +a0 +00 +3a +00 +95 +00 +3a +00 +97 +00 +4f +00 +4e +10 +a4 +00 +9e +10 +cf +00 +7b +20 +7b +00 +59 +10 +b0 +00 +ac +10 +6f +00 +e2 +10 +9d +00 +84 +10 +49 +00 +d4 +00 +a9 +00 +43 +30 +ef +00 +6a +10 +3e +00 +ca +00 +52 +00 +1d +10 +69 +00 +01 +10 +2f +00 +6e +00 +27 +00 +52 +00 +1d +00 +5e +00 +23 +00 +59 +00 +19 +00 +49 +00 +22 +00 +5b +00 +23 +00 +71 +00 +25 +00 +4f +00 +1b +00 +46 +00 +15 +00 +40 +00 +18 +00 +45 +00 +18 +00 +3f +00 +1e +00 +44 +00 +17 +00 +4c +00 +1e +00 +40 +00 +1e +00 +3b +00 +1d +00 +45 +00 +19 +00 +45 +00 +18 +00 +41 +00 +15 +00 +3c +00 +17 +00 +37 +00 +18 +00 +33 +00 +10 +00 +2b +00 +13 +00 +2e +00 +10 +00 +27 +00 +10 +00 +30 +00 +13 +00 +37 +00 +14 +00 +48 +00 +1a +00 +44 +00 +13 +00 +3c +00 +16 +00 +41 +00 +16 +00 +35 +00 +15 +00 +4b +00 +17 +00 +3d +00 +17 +00 +3b +00 +1e +00 +44 +00 +15 +00 +44 +00 +1d +00 +42 +00 +19 +00 +4a +00 +57 +00 +ae +00 +19 +00 +3e +00 +14 +00 +3e +00 +1a +00 +3a +00 +1d +00 +47 +00 +16 +00 +3a +00 +18 +00 +4d +00 +1f +00 +38 +00 +1a +00 +37 +00 +1c +00 +38 +00 +16 +00 +3a +00 +18 +00 +3a +00 +1a +00 +36 +00 +14 +00 +31 +00 +10 +00 +36 +00 +18 +00 +36 +00 +1c +00 +41 +00 +17 +00 +35 +00 +0c +00 +36 +00 +1b +00 +37 +00 +1b +00 +43 +00 +11 +00 +33 +00 +1d +00 +39 +00 +18 +00 +42 +00 +1b +00 +4e +00 +23 +00 +97 +00 +59 +00 +90 +00 +1d +00 +4f +00 +22 +00 +3e +00 +1b +00 +48 +00 +20 +00 +47 +00 +16 +00 +41 +00 +19 +00 +57 +00 +47 +00 +8f +00 +1e +00 +46 +00 +19 +00 +51 +00 +20 +00 +9d +00 +40 +00 +77 +00 +32 +00 +6b +00 +24 +00 +49 +00 +19 +00 +4c +00 +16 +00 +3b +00 +0f +00 +2f +00 +19 +00 +35 +00 +1c +00 +35 +00 +12 +00 +3a +00 +1a +00 +3d +00 +18 +00 +3b +00 +16 +00 +3f +00 +1a +00 +4f +00 +1d +00 +3d +00 +18 +00 +39 +00 +11 +00 +38 +00 +16 +00 +33 +00 +11 +00 +37 +00 +1f +00 +47 +00 +26 +00 +5f +00 +22 +00 +51 +00 +1e +00 +66 +00 +75 +00 +f5 +10 +3b +10 +6c +30 +c4 +00 +d6 +00 +2a +00 +6e +00 +23 +00 +8b +00 +29 +00 +8b +00 +28 +00 +76 +00 +2d +00 +59 +00 +27 +00 +5b +00 +23 +00 +57 +00 +1f +00 +3c +00 +18 +00 +4b +00 +16 +00 +3b +00 +14 +00 +2f +00 +0d +00 +29 +00 +10 +00 +30 +00 +1a +00 +38 +00 +17 +00 +30 +00 +18 +00 +41 +00 +1b +00 +5a +00 +25 +00 +7c +00 +1e +00 +42 +00 +1e +00 +5b +00 +3c +00 +1a +10 +54 +00 +5d +10 +e6 +00 +3d +10 +37 +00 +62 +00 +1f +00 +58 +00 +2d +00 +a9 +00 +25 +00 +3d +00 +19 +00 +36 +00 +12 +00 +35 +00 +17 +00 +39 +00 +25 +00 +81 +00 +42 +00 +6f +00 +14 +00 +2e +00 +14 +00 +29 +00 +0e +00 +27 +00 +10 +00 +24 +00 +0f +00 +28 +00 +0c +00 +1d +00 +13 +00 +29 +00 +11 +00 +28 +00 +0e +00 +26 +00 +13 +00 +2b +00 +11 +00 +2c +00 +14 +00 +3a +00 +2c +00 +68 +00 +00 +00 +00 +00 +8c +00 +5c +00 +96 +00 +67 +00 +a5 +00 +6e +00 +95 +00 +6a +00 +99 +00 +64 +00 +91 +00 +61 +00 +9f +00 +67 +00 +a8 +00 +67 +00 +9b +00 +6a +00 +a9 +00 +63 +00 +96 +00 +64 +00 +a4 +00 +61 +00 +bb +00 +6c +00 +a6 +00 +73 +00 +ae +00 +6e +00 +a6 +00 +6e +00 +98 +00 +6e +00 +af +00 +6f +00 +8d +00 +9b +00 +88 +00 +af +00 +9f +00 +63 +00 +69 +00 +35 +00 +6e +00 +2e +00 +74 +00 +36 +00 +5e +00 +37 +00 +60 +00 +36 +00 +5b +00 +38 +00 +53 +00 +43 +00 +53 +00 +39 +00 +55 +00 +59 +00 +72 +00 +ad +00 +ce +00 +c1 +00 +ba +00 +9b +00 +a3 +00 +5f +00 +6d +00 +40 +00 +73 +00 +40 +00 +68 +00 +45 +00 +67 +00 +42 +00 +67 +00 +3d +00 +6f +00 +48 +00 +69 +00 +3b +00 +76 +00 +55 +00 +69 +00 +57 +00 +76 +00 +57 +00 +82 +00 +57 +00 +84 +00 +61 +00 +92 +00 +69 +00 +9b +00 +61 +00 +ad +00 +62 +00 +9a +00 +b5 +00 +de +10 +f8 +10 +28 +40 +86 +10 +b8 +10 +b9 +00 +d3 +00 +a8 +00 +e4 +00 +c3 +00 +a0 +10 +5a +10 +ad +10 +5a +20 +56 +40 +5c +40 +86 +70 +7c +40 +6f +70 +60 +30 +3e +40 +83 +20 +f0 +10 +bf +00 +cf +00 +80 +00 +c2 +00 +7c +00 +c3 +00 +a2 +00 +f2 +00 +6a +10 +b6 +20 +c1 +20 +52 +30 +34 +20 +53 +20 +3e +30 +71 +60 +e3 +50 +73 +90 +08 +70 +ed +90 +49 +70 +96 +a0 +00 +70 +db +90 +a2 +40 +3e +40 +06 +40 +8f +70 +c6 +50 +0e +90 +1a +50 +7c +50 +a7 +50 +d9 +90 +f3 +60 +5d +a0 +cc +60 +1d +a0 +3d +60 +cd +80 +27 +40 +72 +50 +47 +30 +01 +40 +b5 +20 +b3 +30 +ea +10 +00 +20 +5b +20 +e1 +40 +2d +30 +1c +40 +f0 +10 +44 +20 +09 +30 +eb +50 +3f +30 +89 +30 +11 +20 +b8 +20 +08 +10 +f1 +00 +76 +00 +a2 +00 +60 +00 +9a +00 +5e +00 +b1 +00 +7e +00 +b8 +00 +8b +00 +df +00 +cb +00 +64 +10 +25 +10 +dc +10 +fc +00 +0b +10 +ea +00 +14 +10 +b8 +00 +01 +10 +d6 +00 +e8 +00 +ff +00 +0c +30 +96 +20 +88 +30 +78 +10 +f4 +00 +c0 +00 +bd +00 +75 +00 +c4 +00 +75 +00 +7e +00 +41 +00 +64 +00 +37 +00 +52 +00 +38 +00 +60 +00 +38 +00 +52 +00 +2c +00 +50 +00 +30 +00 +50 +00 +42 +00 +4f +00 +33 +00 +46 +00 +25 +00 +43 +00 +1e +00 +42 +00 +22 +00 +4c +00 +2b +00 +49 +00 +42 +00 +7b +00 +2d +00 +3d +00 +1f +00 +51 +00 +25 +00 +47 +00 +27 +00 +45 +00 +24 +00 +3e +00 +26 +00 +43 +00 +1b +00 +37 +00 +2b +00 +3c +00 +1a +00 +33 +00 +15 +00 +2d +00 +19 +00 +2c +00 +26 +00 +2f +00 +20 +00 +34 +00 +20 +00 +43 +00 +1e +00 +48 +00 +20 +00 +42 +00 +26 +00 +41 +00 +21 +00 +49 +00 +26 +00 +3d +00 +2b +00 +45 +00 +27 +00 +43 +00 +24 +00 +53 +00 +24 +00 +3d +00 +27 +00 +41 +00 +20 +00 +3f +00 +28 +00 +69 +00 +28 +00 +60 +00 +25 +00 +36 +00 +22 +00 +43 +00 +25 +00 +3c +00 +26 +00 +3a +00 +21 +00 +45 +00 +20 +00 +3b +00 +1f +00 +3e +00 +25 +00 +3f +00 +28 +00 +3c +00 +2b +00 +42 +00 +24 +00 +42 +00 +21 +00 +35 +00 +1c +00 +2e +00 +1e +00 +3a +00 +1e +00 +46 +00 +23 +00 +35 +00 +1e +00 +2a +00 +18 +00 +34 +00 +23 +00 +3d +00 +1d +00 +38 +00 +1e +00 +2a +00 +28 +00 +40 +00 +20 +00 +41 +00 +33 +00 +49 +00 +78 +00 +6e +10 +4a +00 +59 +00 +2d +00 +49 +00 +29 +00 +3f +00 +1c +00 +40 +00 +22 +00 +49 +00 +38 +00 +48 +00 +39 +00 +88 +00 +37 +00 +66 +00 +44 +00 +4a +00 +4a +00 +61 +00 +4e +00 +94 +00 +47 +00 +a9 +00 +3f +00 +5e +00 +2f +00 +40 +00 +22 +00 +33 +00 +1f +00 +49 +00 +25 +00 +3e +00 +22 +00 +47 +00 +21 +00 +37 +00 +24 +00 +33 +00 +18 +00 +36 +00 +21 +00 +2f +00 +1c +00 +43 +00 +25 +00 +38 +00 +27 +00 +3b +00 +24 +00 +42 +00 +20 +00 +38 +00 +20 +00 +3f +00 +28 +00 +3d +00 +2d +00 +52 +00 +44 +00 +67 +00 +4b +00 +59 +00 +6b +00 +9c +00 +87 +00 +b3 +10 +b7 +00 +33 +10 +8d +00 +a2 +00 +8a +00 +7b +00 +5c +00 +6b +00 +5b +00 +6b +00 +4b +00 +5f +00 +31 +00 +4c +00 +2b +00 +41 +00 +26 +00 +3f +00 +25 +00 +40 +00 +1d +00 +41 +00 +1c +00 +35 +00 +19 +00 +36 +00 +17 +00 +36 +00 +1a +00 +2f +00 +21 +00 +33 +00 +25 +00 +3d +00 +21 +00 +44 +00 +25 +00 +46 +00 +2a +00 +50 +00 +36 +00 +5c +00 +56 +00 +ae +00 +a4 +00 +3c +10 +81 +00 +b4 +00 +67 +00 +9f +00 +62 +00 +59 +00 +41 +00 +55 +00 +2c +00 +59 +00 +31 +00 +38 +00 +1f +00 +3c +00 +1f +00 +32 +00 +2e +00 +6d +00 +87 +00 +0d +10 +3f +00 +4a +00 +2d +00 +36 +00 +1e +00 +29 +00 +1d +00 +33 +00 +18 +00 +28 +00 +1b +00 +2a +00 +14 +00 +20 +00 +15 +00 +23 +00 +22 +00 +27 +00 +16 +00 +25 +00 +1d +00 +28 +00 +15 +00 +34 +00 +24 +00 +53 +00 +2e +00 +00 +00 +00 +00 +30 +00 +9c +00 +39 +00 +a2 +00 +2f +00 +8c +00 +37 +00 +90 +00 +36 +00 +a3 +00 +36 +00 +a0 +00 +35 +00 +8d +00 +33 +00 +8d +00 +34 +00 +94 +00 +3f +00 +97 +00 +39 +00 +9e +00 +2f +00 +b0 +00 +38 +00 +b1 +00 +44 +00 +b2 +00 +35 +00 +b4 +00 +3a +00 +a5 +00 +3d +00 +b1 +00 +38 +00 +b3 +00 +42 +00 +de +00 +69 +00 +55 +10 +49 +00 +89 +00 +2c +00 +6a +00 +1b +00 +68 +00 +22 +00 +61 +00 +19 +00 +4f +00 +1c +00 +54 +00 +21 +00 +5e +00 +22 +00 +55 +00 +1e +00 +5c +00 +20 +00 +5a +00 +5b +00 +cc +10 +8e +00 +48 +10 +5a +00 +cc +00 +2f +00 +84 +00 +30 +00 +70 +00 +2a +00 +64 +00 +25 +00 +67 +00 +22 +00 +5f +00 +22 +00 +6b +00 +21 +00 +6d +00 +26 +00 +6f +00 +2c +00 +78 +00 +2b +00 +6e +00 +2c +00 +7c +00 +2d +00 +84 +00 +33 +00 +91 +00 +35 +00 +9a +00 +30 +00 +a2 +00 +33 +00 +b1 +00 +3b +00 +d1 +00 +bb +00 +a3 +20 +e2 +00 +12 +20 +ac +00 +2b +10 +4c +00 +c1 +00 +4e +00 +10 +10 +84 +00 +19 +20 +34 +10 +df +40 +a1 +20 +1a +80 +70 +30 +ba +80 +79 +20 +d4 +50 +77 +20 +ab +50 +da +00 +47 +10 +4a +00 +da +00 +4a +00 +c8 +00 +4f +00 +d6 +00 +51 +00 +2d +10 +cf +00 +34 +40 +2b +10 +91 +20 +e1 +00 +8f +30 +a3 +20 +dd +80 +a8 +30 +28 +a0 +b0 +30 +27 +a0 +c2 +30 +7a +a0 +6e +30 +e2 +60 +76 +10 +d0 +40 +f4 +20 +59 +90 +76 +30 +eb +70 +a9 +10 +53 +70 +83 +30 +17 +a0 +9a +30 +07 +a0 +6f +30 +54 +90 +21 +20 +eb +40 +1e +10 +f8 +20 +d2 +00 +80 +20 +0e +10 +73 +20 +a5 +00 +06 +30 +1f +20 +c1 +40 +0d +10 +88 +20 +0b +10 +ea +40 +5d +20 +8d +50 +e7 +00 +dc +10 +73 +00 +25 +10 +44 +00 +b3 +00 +38 +00 +a0 +00 +3d +00 +a0 +00 +41 +00 +bd +00 +49 +00 +c9 +00 +4c +00 +e6 +00 +77 +00 +8a +10 +b6 +00 +0e +20 +6b +00 +f8 +00 +4b +00 +d4 +00 +45 +00 +d4 +00 +48 +00 +df +00 +ca +00 +b0 +40 +a6 +10 +c7 +20 +69 +00 +d4 +00 +41 +00 +be +00 +3c +00 +96 +00 +30 +00 +84 +00 +27 +00 +6b +00 +1e +00 +54 +00 +24 +00 +6c +00 +21 +00 +53 +00 +1f +00 +52 +00 +20 +00 +47 +00 +19 +00 +46 +00 +16 +00 +43 +00 +16 +00 +47 +00 +19 +00 +3e +00 +1a +00 +48 +00 +1d +00 +5e +00 +22 +00 +5b +00 +1b +00 +50 +00 +18 +00 +41 +00 +23 +00 +4b +00 +1d +00 +4a +00 +1c +00 +3e +00 +14 +00 +41 +00 +10 +00 +40 +00 +16 +00 +35 +00 +0d +00 +27 +00 +0d +00 +28 +00 +10 +00 +30 +00 +10 +00 +34 +00 +1b +00 +3c +00 +16 +00 +40 +00 +12 +00 +3f +00 +14 +00 +43 +00 +12 +00 +44 +00 +1f +00 +3c +00 +10 +00 +47 +00 +1c +00 +48 +00 +16 +00 +3a +00 +1e +00 +3d +00 +16 +00 +43 +00 +1e +00 +4d +00 +29 +00 +4a +00 +19 +00 +41 +00 +25 +00 +52 +00 +18 +00 +43 +00 +1f +00 +3d +00 +15 +00 +47 +00 +1b +00 +3f +00 +1b +00 +3b +00 +15 +00 +3f +00 +1b +00 +3f +00 +1e +00 +3e +00 +15 +00 +44 +00 +16 +00 +43 +00 +19 +00 +35 +00 +14 +00 +3c +00 +11 +00 +2d +00 +12 +00 +46 +00 +1b +00 +45 +00 +0f +00 +40 +00 +12 +00 +2c +00 +13 +00 +3a +00 +0f +00 +37 +00 +17 +00 +35 +00 +0e +00 +32 +00 +18 +00 +44 +00 +1e +00 +4b +00 +1a +00 +99 +00 +4b +00 +99 +00 +2c +00 +52 +00 +18 +00 +3d +00 +12 +00 +47 +00 +17 +00 +40 +00 +1b +00 +5a +00 +45 +00 +83 +00 +3d +00 +75 +00 +23 +00 +76 +00 +53 +00 +88 +00 +2c +00 +63 +00 +1f +00 +56 +00 +3d +00 +9c +00 +1d +00 +44 +00 +13 +00 +3c +00 +17 +00 +42 +00 +18 +00 +38 +00 +13 +00 +3c +00 +17 +00 +34 +00 +19 +00 +3c +00 +13 +00 +3a +00 +15 +00 +35 +00 +0e +00 +3a +00 +1e +00 +3d +00 +15 +00 +43 +00 +11 +00 +44 +00 +15 +00 +32 +00 +15 +00 +3e +00 +1e +00 +43 +00 +17 +00 +45 +00 +33 +00 +ab +00 +2c +00 +5f +00 +25 +00 +d7 +00 +78 +00 +a0 +00 +45 +00 +de +00 +4e +00 +c0 +00 +42 +00 +38 +10 +3a +00 +74 +00 +21 +00 +59 +00 +17 +00 +60 +00 +23 +00 +4d +00 +1f +00 +49 +00 +1c +00 +50 +00 +16 +00 +45 +00 +19 +00 +43 +00 +1d +00 +38 +00 +17 +00 +2d +00 +14 +00 +2f +00 +10 +00 +2e +00 +14 +00 +35 +00 +19 +00 +33 +00 +18 +00 +39 +00 +1a +00 +41 +00 +1e +00 +4f +00 +1d +00 +51 +00 +38 +00 +94 +00 +39 +00 +91 +00 +41 +00 +9b +00 +36 +00 +a0 +00 +43 +00 +aa +00 +1c +00 +5b +00 +1d +00 +4b +00 +11 +00 +41 +00 +1a +00 +3d +00 +19 +00 +3c +00 +15 +00 +2f +00 +24 +00 +7a +00 +3a +00 +74 +00 +21 +00 +3f +00 +0f +00 +2c +00 +12 +00 +32 +00 +0f +00 +26 +00 +0b +00 +2a +00 +0e +00 +22 +00 +15 +00 +27 +00 +0d +00 +2a +00 +11 +00 +2d +00 +10 +00 +2c +00 +16 +00 +2a +00 +18 +00 +47 +00 +31 +00 +86 +00 +00 +00 +00 +00 +84 +00 +67 +00 +88 +00 +66 +00 +a3 +00 +5b +00 +99 +00 +57 +00 +95 +00 +6a +00 +9e +00 +74 +00 +a0 +00 +69 +00 +9a +00 +5a +00 +b0 +00 +72 +00 +9c +00 +76 +00 +b1 +00 +5a +00 +9e +00 +6b +00 +ad +00 +6e +00 +9d +00 +6a +00 +a3 +00 +74 +00 +af +00 +76 +00 +ae +00 +71 +00 +ae +00 +de +00 +a0 +10 +4b +20 +22 +40 +eb +10 +fd +10 +74 +00 +71 +00 +3d +00 +5d +00 +35 +00 +62 +00 +30 +00 +4a +00 +2c +00 +62 +00 +36 +00 +58 +00 +3b +00 +59 +00 +36 +00 +5b +00 +42 +00 +61 +00 +55 +00 +7a +00 +7c +00 +19 +10 +95 +10 +4a +30 +d0 +00 +99 +00 +53 +00 +76 +00 +49 +00 +6c +00 +44 +00 +71 +00 +46 +00 +68 +00 +41 +00 +66 +00 +50 +00 +7e +00 +4d +00 +6e +00 +43 +00 +73 +00 +3f +00 +67 +00 +40 +00 +74 +00 +47 +00 +90 +00 +62 +00 +ae +00 +89 +00 +9c +00 +60 +00 +a1 +00 +52 +00 +a5 +00 +63 +00 +b0 +00 +86 +00 +24 +10 +b1 +00 +9f +10 +17 +10 +72 +20 +3e +10 +1e +10 +a1 +00 +e2 +00 +c0 +00 +9c +10 +0b +20 +6f +50 +aa +30 +f3 +70 +0b +50 +3b +90 +26 +40 +26 +50 +c6 +20 +74 +50 +33 +20 +a5 +20 +f4 +00 +f7 +00 +86 +00 +c3 +00 +84 +00 +da +00 +92 +00 +df +00 +ee +00 +95 +10 +c0 +10 +b6 +30 +c2 +20 +18 +30 +fd +30 +e5 +50 +bc +50 +96 +90 +bd +60 +10 +a0 +f8 +60 +14 +a0 +ce +60 +1d +a0 +75 +40 +31 +40 +c1 +30 +d5 +70 +e6 +50 +e5 +90 +b0 +50 +ff +50 +62 +40 +a4 +80 +dd +50 +cd +90 +4d +60 +af +90 +eb +40 +28 +50 +a8 +40 +7f +50 +05 +30 +93 +20 +ee +10 +3e +20 +0b +20 +d5 +20 +5f +30 +e8 +50 +69 +20 +ea +20 +28 +20 +87 +30 +a0 +20 +e2 +40 +fe +10 +a7 +20 +28 +10 +12 +10 +92 +00 +ce +00 +72 +00 +b2 +00 +7f +00 +a8 +00 +92 +00 +c0 +00 +7b +00 +bd +00 +8c +00 +f2 +00 +90 +10 +f6 +20 +f5 +20 +21 +40 +0a +30 +d7 +20 +2b +10 +c9 +00 +fa +00 +d6 +00 +2f +10 +db +00 +25 +10 +2f +10 +a6 +10 +07 +50 +8c +20 +bb +20 +04 +10 +e0 +00 +80 +00 +9e +00 +5a +00 +76 +00 +45 +00 +6e +00 +37 +00 +5a +00 +31 +00 +4d +00 +4a +00 +99 +00 +3f +00 +5e +00 +2f +00 +45 +00 +28 +00 +49 +00 +29 +00 +35 +00 +2e +00 +40 +00 +24 +00 +41 +00 +21 +00 +3e +00 +21 +00 +4b +00 +29 +00 +44 +00 +25 +00 +40 +00 +23 +00 +43 +00 +28 +00 +4f +00 +27 +00 +52 +00 +24 +00 +4f +00 +22 +00 +3d +00 +21 +00 +3e +00 +1c +00 +34 +00 +1a +00 +29 +00 +16 +00 +2a +00 +1e +00 +2f +00 +1b +00 +2f +00 +22 +00 +3c +00 +22 +00 +40 +00 +21 +00 +3e +00 +1f +00 +3e +00 +27 +00 +3b +00 +28 +00 +39 +00 +23 +00 +38 +00 +29 +00 +4e +00 +1e +00 +4f +00 +24 +00 +4b +00 +1e +00 +38 +00 +22 +00 +38 +00 +23 +00 +41 +00 +27 +00 +47 +00 +25 +00 +43 +00 +21 +00 +47 +00 +27 +00 +42 +00 +25 +00 +43 +00 +21 +00 +39 +00 +23 +00 +44 +00 +32 +00 +36 +00 +28 +00 +48 +00 +20 +00 +50 +00 +62 +00 +6b +00 +31 +00 +4e +00 +1e +00 +47 +00 +1f +00 +36 +00 +38 +00 +41 +00 +2d +00 +39 +00 +27 +00 +43 +00 +29 +00 +46 +00 +23 +00 +44 +00 +28 +00 +2a +00 +1e +00 +31 +00 +1d +00 +2e +00 +1f +00 +35 +00 +21 +00 +2e +00 +1e +00 +38 +00 +31 +00 +4d +00 +29 +00 +66 +00 +38 +00 +7b +00 +3f +00 +6f +00 +28 +00 +46 +00 +29 +00 +43 +00 +2b +00 +57 +00 +66 +00 +ea +00 +40 +00 +77 +00 +47 +00 +95 +00 +e7 +00 +0a +20 +a0 +00 +7d +00 +5c +00 +4f +00 +51 +00 +66 +00 +3f +00 +56 +00 +34 +00 +44 +00 +2f +00 +3a +00 +24 +00 +3d +00 +23 +00 +3b +00 +2b +00 +3e +00 +1c +00 +35 +00 +18 +00 +45 +00 +28 +00 +3f +00 +1c +00 +39 +00 +1c +00 +31 +00 +21 +00 +40 +00 +21 +00 +40 +00 +24 +00 +3b +00 +20 +00 +3b +00 +21 +00 +43 +00 +32 +00 +54 +00 +69 +00 +43 +10 +26 +10 +dc +00 +67 +00 +74 +00 +5c +00 +a8 +00 +66 +00 +a1 +00 +61 +00 +a1 +00 +6e +00 +fe +00 +11 +10 +d8 +00 +4f +00 +62 +00 +38 +00 +54 +00 +30 +00 +48 +00 +34 +00 +53 +00 +2d +00 +50 +00 +25 +00 +53 +00 +23 +00 +3b +00 +20 +00 +43 +00 +1b +00 +2d +00 +16 +00 +2a +00 +23 +00 +30 +00 +1f +00 +2c +00 +1c +00 +31 +00 +19 +00 +40 +00 +22 +00 +3e +00 +25 +00 +4a +00 +2c +00 +4b +00 +55 +00 +4c +10 +96 +00 +93 +00 +60 +00 +7f +00 +bc +00 +c2 +00 +33 +10 +c5 +10 +b8 +00 +84 +00 +3f +00 +4d +00 +25 +00 +3f +00 +23 +00 +3c +00 +1d +00 +37 +00 +20 +00 +4e +00 +26 +00 +46 +00 +33 +00 +62 +00 +2f +00 +53 +00 +20 +00 +30 +00 +1b +00 +26 +00 +17 +00 +25 +00 +1b +00 +2a +00 +18 +00 +29 +00 +17 +00 +28 +00 +1e +00 +26 +00 +1f +00 +28 +00 +1d +00 +37 +00 +1f +00 +29 +00 +23 +00 +34 +00 +2f +00 +63 +00 +2e +00 +00 +00 +00 +00 +32 +00 +8d +00 +30 +00 +94 +00 +33 +00 +9c +00 +30 +00 +88 +00 +36 +00 +98 +00 +36 +00 +aa +00 +3d +00 +94 +00 +37 +00 +99 +00 +35 +00 +aa +00 +38 +00 +a7 +00 +3e +00 +9e +00 +3f +00 +9f +00 +3c +00 +a9 +00 +32 +00 +a4 +00 +39 +00 +ad +00 +40 +00 +b9 +00 +35 +00 +a7 +00 +3e +00 +14 +10 +39 +10 +96 +40 +2c +20 +1d +50 +b6 +00 +f5 +00 +26 +00 +67 +00 +1f +00 +50 +00 +20 +00 +59 +00 +15 +00 +52 +00 +19 +00 +57 +00 +21 +00 +54 +00 +26 +00 +61 +00 +2b +00 +74 +00 +2b +00 +5e +00 +2e +00 +86 +00 +58 +00 +43 +20 +31 +10 +f6 +10 +44 +00 +7e +00 +34 +00 +6d +00 +28 +00 +66 +00 +2e +00 +66 +00 +27 +00 +65 +00 +24 +00 +70 +00 +25 +00 +79 +00 +23 +00 +68 +00 +2e +00 +79 +00 +2c +00 +77 +00 +2f +00 +7b +00 +32 +00 +95 +00 +5a +00 +4e +10 +4f +00 +98 +00 +34 +00 +90 +00 +3f +00 +a2 +00 +40 +00 +a7 +00 +4f +00 +2b +10 +6a +00 +3f +10 +a1 +00 +f5 +20 +88 +00 +03 +10 +4b +00 +ee +00 +6e +00 +a6 +10 +ed +00 +14 +40 +5c +20 +70 +80 +ff +20 +58 +60 +15 +10 +3c +30 +9e +10 +2b +30 +9c +00 +4f +10 +54 +00 +d8 +00 +49 +00 +cf +00 +55 +00 +d3 +00 +55 +00 +00 +10 +69 +00 +a8 +10 +52 +10 +e3 +30 +9e +10 +b7 +60 +5d +20 +69 +70 +66 +30 +de +90 +72 +30 +47 +a0 +ac +30 +4c +a0 +7b +30 +b1 +70 +3e +10 +0f +40 +e8 +20 +4e +90 +8f +30 +61 +90 +0c +20 +81 +40 +41 +20 +4c +70 +db +20 +0f +90 +39 +30 +c5 +60 +e8 +10 +db +60 +c5 +20 +ba +60 +75 +10 +e0 +20 +bd +00 +a2 +20 +72 +10 +58 +50 +0a +20 +ec +30 +bf +00 +54 +20 +60 +10 +ad +30 +eb +00 +58 +20 +7d +00 +39 +10 +52 +00 +ae +00 +39 +00 +9c +00 +4b +00 +cd +00 +53 +00 +1a +10 +67 +00 +d6 +00 +3c +00 +b6 +00 +5f +00 +0e +20 +fa +10 +a9 +60 +9a +20 +61 +60 +1f +10 +d1 +10 +a9 +00 +7a +10 +83 +00 +2d +20 +19 +10 +6e +20 +70 +00 +03 +20 +6f +10 +bb +30 +ba +00 +76 +10 +4a +00 +a9 +00 +33 +00 +8f +00 +2e +00 +73 +00 +2c +00 +69 +00 +1e +00 +65 +00 +1f +00 +67 +00 +35 +00 +8e +00 +25 +00 +53 +00 +22 +00 +48 +00 +1d +00 +47 +00 +18 +00 +40 +00 +1a +00 +44 +00 +1d +00 +41 +00 +19 +00 +41 +00 +15 +00 +45 +00 +15 +00 +40 +00 +1e +00 +46 +00 +15 +00 +46 +00 +1b +00 +49 +00 +20 +00 +4a +00 +1d +00 +45 +00 +19 +00 +39 +00 +15 +00 +35 +00 +16 +00 +2b +00 +11 +00 +2c +00 +11 +00 +2b +00 +12 +00 +2b +00 +13 +00 +2f +00 +11 +00 +3e +00 +12 +00 +44 +00 +1a +00 +43 +00 +1a +00 +3e +00 +16 +00 +35 +00 +21 +00 +45 +00 +17 +00 +47 +00 +18 +00 +4d +00 +15 +00 +50 +00 +1c +00 +3e +00 +1b +00 +3e +00 +1b +00 +3f +00 +1a +00 +53 +00 +18 +00 +3a +00 +15 +00 +3f +00 +19 +00 +36 +00 +1c +00 +3a +00 +1b +00 +47 +00 +16 +00 +45 +00 +19 +00 +65 +00 +57 +00 +53 +00 +1b +00 +3b +00 +2f +00 +46 +10 +57 +00 +64 +00 +1b +00 +51 +00 +1a +00 +2e +00 +13 +00 +71 +00 +4d +00 +5c +00 +1a +00 +42 +00 +21 +00 +49 +00 +1b +00 +3d +00 +1a +00 +39 +00 +11 +00 +2b +00 +13 +00 +35 +00 +0e +00 +29 +00 +12 +00 +34 +00 +17 +00 +3c +00 +1d +00 +3e +00 +1c +00 +53 +00 +1c +00 +5e +00 +19 +00 +6b +00 +2f +00 +5f +00 +18 +00 +3b +00 +1e +00 +4b +00 +21 +00 +92 +00 +33 +00 +84 +00 +49 +00 +a8 +00 +4f +00 +db +10 +b4 +00 +44 +10 +97 +00 +c4 +00 +26 +00 +6e +00 +2d +00 +63 +00 +19 +00 +44 +00 +1c +00 +3d +00 +1c +00 +3f +00 +1f +00 +34 +00 +13 +00 +3e +00 +1c +00 +47 +00 +18 +00 +3c +00 +1d +00 +42 +00 +17 +00 +2e +00 +13 +00 +35 +00 +10 +00 +35 +00 +1d +00 +36 +00 +17 +00 +39 +00 +1b +00 +3d +00 +1a +00 +3f +00 +19 +00 +56 +00 +2f +00 +da +00 +88 +00 +8b +10 +4f +00 +c0 +00 +3a +00 +7f +00 +32 +00 +73 +00 +22 +00 +86 +00 +27 +00 +70 +00 +53 +00 +b5 +10 +51 +00 +9b +00 +2c +00 +67 +00 +24 +00 +64 +00 +32 +00 +4d +00 +1c +00 +4c +00 +16 +00 +40 +00 +1d +00 +3b +00 +1b +00 +43 +00 +16 +00 +37 +00 +15 +00 +36 +00 +16 +00 +34 +00 +15 +00 +2f +00 +15 +00 +2e +00 +12 +00 +31 +00 +17 +00 +36 +00 +1b +00 +38 +00 +1f +00 +49 +00 +1f +00 +7f +00 +87 +00 +bc +10 +46 +00 +97 +00 +51 +00 +ba +10 +13 +10 +8c +30 +e4 +00 +4a +10 +2b +00 +5e +00 +1e +00 +3f +00 +1b +00 +37 +00 +18 +00 +3c +00 +15 +00 +3d +00 +1f +00 +48 +00 +19 +00 +4f +00 +22 +00 +49 +00 +18 +00 +33 +00 +15 +00 +2a +00 +11 +00 +2b +00 +14 +00 +24 +00 +11 +00 +28 +00 +0f +00 +2d +00 +11 +00 +2b +00 +0e +00 +23 +00 +13 +00 +2e +00 +11 +00 +2e +00 +28 +00 +63 +00 +17 +00 +50 +00 +20 +00 +56 +00 +00 +00 +00 +00 +8c +00 +63 +00 +91 +00 +5e +00 +91 +00 +6e +00 +84 +00 +65 +00 +9b +00 +76 +00 +a6 +00 +60 +00 +99 +00 +72 +00 +99 +00 +77 +00 +9d +00 +74 +00 +a9 +00 +74 +00 +a4 +00 +77 +00 +a0 +00 +71 +00 +a2 +00 +6b +00 +ae +00 +63 +00 +aa +00 +74 +00 +af +00 +74 +00 +af +00 +73 +00 +bd +00 +21 +10 +30 +30 +0c +20 +b8 +40 +9f +10 +20 +20 +a3 +00 +8c +00 +43 +00 +4f +00 +40 +00 +55 +00 +2e +00 +3f +00 +37 +00 +50 +00 +40 +00 +51 +00 +30 +00 +6e +00 +48 +00 +83 +00 +47 +00 +7f +00 +43 +00 +76 +00 +70 +00 +b8 +00 +f4 +00 +36 +20 +bf +00 +cb +00 +58 +00 +7d +00 +4d +00 +68 +00 +4e +00 +7b +00 +56 +00 +68 +00 +63 +00 +73 +00 +6b +00 +8b +00 +48 +00 +7d +00 +41 +00 +6b +00 +44 +00 +7f +00 +4a +00 +71 +00 +49 +00 +84 +00 +91 +00 +f6 +10 +1a +10 +5b +10 +71 +00 +95 +00 +6b +00 +a1 +00 +61 +00 +91 +00 +76 +00 +ce +00 +be +00 +0a +10 +cc +00 +3d +10 +89 +10 +40 +20 +f0 +00 +d9 +00 +a9 +00 +f9 +00 +e3 +00 +8a +10 +c7 +10 +2c +30 +84 +30 +1b +60 +b7 +20 +e8 +20 +c0 +10 +a0 +20 +9b +10 +c0 +10 +d3 +00 +f7 +00 +8b +00 +cb +00 +80 +00 +be +00 +83 +00 +d1 +00 +bf +00 +0a +10 +04 +10 +42 +20 +5a +20 +ff +40 +dd +40 +a3 +80 +8e +50 +e4 +70 +7a +60 +cc +90 +22 +70 +3b +a0 +02 +70 +2a +a0 +17 +50 +59 +40 +ea +30 +6c +70 +e2 +50 +cd +90 +b5 +50 +f6 +50 +3c +30 +f8 +20 +dd +20 +11 +40 +2c +40 +7c +80 +79 +40 +a4 +60 +60 +50 +48 +80 +d5 +40 +83 +60 +65 +30 +6c +30 +d9 +20 +bc +40 +d6 +30 +eb +50 +84 +20 +45 +20 +7e +10 +e5 +10 +47 +10 +2b +20 +37 +10 +4e +10 +cc +00 +cc +00 +76 +00 +a1 +00 +98 +00 +c5 +00 +47 +10 +d5 +10 +3f +10 +f3 +20 +b9 +00 +c3 +00 +bd +00 +ee +00 +39 +30 +1f +60 +6f +40 +7b +70 +e2 +20 +0f +30 +16 +30 +d2 +40 +cb +30 +36 +40 +28 +40 +3a +60 +a8 +20 +3f +20 +18 +20 +ec +30 +36 +10 +a8 +10 +ca +00 +b7 +00 +70 +00 +a0 +00 +4e +00 +8e +00 +4d +00 +76 +00 +4b +00 +65 +00 +37 +00 +52 +00 +4d +00 +8b +00 +4e +00 +77 +00 +2a +00 +4c +00 +37 +00 +b6 +00 +52 +00 +4e +00 +2b +00 +46 +00 +27 +00 +3f +00 +2d +00 +4e +00 +2a +00 +45 +00 +24 +00 +3f +00 +2d +00 +4c +00 +2e +00 +47 +00 +23 +00 +44 +00 +23 +00 +60 +00 +27 +00 +40 +00 +28 +00 +41 +00 +24 +00 +39 +00 +20 +00 +2b +00 +1b +00 +29 +00 +19 +00 +2f +00 +1d +00 +2e +00 +24 +00 +3f +00 +21 +00 +32 +00 +22 +00 +41 +00 +27 +00 +50 +00 +29 +00 +4b +00 +28 +00 +40 +00 +48 +00 +41 +00 +32 +00 +46 +00 +25 +00 +46 +00 +26 +00 +41 +00 +26 +00 +43 +00 +2d +00 +3d +00 +21 +00 +38 +00 +27 +00 +39 +00 +2d +00 +3d +00 +24 +00 +38 +00 +21 +00 +3a +00 +23 +00 +3d +00 +19 +00 +40 +00 +2e +00 +42 +00 +23 +00 +3f +00 +2e +00 +0d +10 +49 +00 +5e +00 +2c +00 +62 +00 +32 +00 +84 +00 +33 +00 +48 +00 +20 +00 +3c +00 +22 +00 +3d +00 +30 +00 +8a +00 +39 +00 +4c +00 +2b +00 +54 +00 +33 +00 +49 +00 +52 +00 +4a +00 +32 +00 +32 +00 +20 +00 +39 +00 +1b +00 +31 +00 +22 +00 +2f +00 +2c +00 +39 +00 +2e +00 +3c +00 +2b +00 +3d +00 +29 +00 +4c +00 +30 +00 +4d +00 +2d +00 +58 +00 +32 +00 +51 +00 +1d +00 +3e +00 +29 +00 +44 +00 +2b +00 +5e +00 +55 +00 +b8 +10 +b2 +00 +f0 +00 +0f +10 +19 +30 +cb +00 +56 +10 +ba +00 +c3 +00 +8e +10 +08 +20 +7a +00 +50 +00 +40 +00 +55 +00 +55 +00 +51 +00 +29 +00 +3e +00 +1f +00 +35 +00 +21 +00 +46 +00 +23 +00 +3f +00 +23 +00 +51 +00 +24 +00 +39 +00 +1f +00 +31 +00 +1e +00 +3e +00 +1b +00 +3e +00 +23 +00 +3c +00 +27 +00 +42 +00 +32 +00 +46 +00 +43 +00 +4e +00 +72 +00 +91 +10 +73 +10 +b3 +20 +d7 +00 +e7 +00 +29 +10 +9c +10 +c9 +00 +76 +00 +55 +00 +58 +00 +48 +00 +50 +00 +57 +00 +88 +00 +4d +00 +a1 +00 +4f +00 +88 +00 +45 +00 +75 +00 +7a +00 +c9 +00 +47 +00 +52 +00 +30 +00 +57 +00 +2a +00 +4c +00 +22 +00 +42 +00 +23 +00 +3e +00 +21 +00 +34 +00 +1f +00 +31 +00 +21 +00 +29 +00 +1f +00 +39 +00 +1a +00 +2e +00 +25 +00 +3e +00 +25 +00 +31 +00 +29 +00 +3b +00 +28 +00 +4e +00 +54 +00 +44 +10 +8a +00 +2f +10 +e5 +00 +65 +20 +42 +20 +4d +40 +79 +10 +6b +10 +a0 +00 +92 +00 +48 +00 +38 +00 +26 +00 +3c +00 +2a +00 +40 +00 +21 +00 +3c +00 +27 +00 +50 +00 +2f +00 +42 +00 +2c +00 +3f +00 +24 +00 +34 +00 +20 +00 +2e +00 +1d +00 +2f +00 +18 +00 +26 +00 +18 +00 +2a +00 +1b +00 +2a +00 +1c +00 +2d +00 +1e +00 +30 +00 +1e +00 +33 +00 +23 +00 +36 +00 +46 +00 +04 +10 +09 +10 +9c +00 +40 +00 +4f +00 +33 +00 +00 +00 +00 +00 +34 +00 +9b +00 +34 +00 +9c +00 +35 +00 +96 +00 +39 +00 +9e +00 +38 +00 +97 +00 +3f +00 +aa +00 +37 +00 +a5 +00 +3c +00 +99 +00 +37 +00 +9f +00 +39 +00 +ad +00 +3e +00 +9c +00 +3e +00 +b1 +00 +37 +00 +98 +00 +37 +00 +a9 +00 +3e +00 +a8 +00 +3a +00 +9f +00 +3f +00 +a0 +00 +3f +00 +15 +10 +96 +00 +ea +10 +c8 +00 +e4 +10 +53 +00 +c9 +00 +20 +00 +56 +00 +20 +00 +4d +00 +1e +00 +55 +00 +1f +00 +5f +00 +20 +00 +6b +00 +25 +00 +66 +00 +22 +00 +73 +00 +2f +00 +81 +00 +2a +00 +6d +00 +2a +00 +7c +00 +35 +00 +12 +10 +70 +00 +29 +10 +3a +00 +83 +00 +2e +00 +6f +00 +27 +00 +7d +00 +2a +00 +6e +00 +30 +00 +80 +00 +47 +00 +b4 +00 +32 +00 +73 +00 +26 +00 +67 +00 +31 +00 +73 +00 +2e +00 +7d +00 +2a +00 +85 +00 +2d +00 +b8 +00 +b6 +00 +ee +10 +69 +00 +d0 +00 +41 +00 +b5 +00 +41 +00 +94 +00 +34 +00 +b3 +00 +4a +00 +cd +00 +4d +00 +db +00 +9f +00 +26 +30 +d6 +00 +6a +10 +53 +00 +c0 +00 +54 +00 +1c +10 +80 +00 +bc +10 +22 +10 +7e +40 +77 +10 +40 +30 +91 +00 +bf +10 +9a +00 +f7 +10 +7d +00 +14 +10 +59 +00 +dd +00 +46 +00 +be +00 +4e +00 +d5 +00 +4e +00 +c2 +00 +4d +00 +15 +10 +a3 +00 +e3 +20 +68 +20 +2f +80 +79 +30 +5f +90 +76 +20 +ac +80 +a0 +30 +54 +a0 +92 +30 +35 +a0 +97 +30 +9c +80 +49 +10 +05 +40 +b4 +20 +4f +90 +62 +30 +f2 +80 +bc +10 +86 +30 +c4 +00 +84 +20 +f5 +00 +7b +40 +0b +20 +9f +50 +b0 +20 +55 +80 +0f +30 +19 +80 +1e +20 +66 +40 +7f +10 +9d +40 +89 +10 +7b +60 +42 +20 +7f +40 +b9 +00 +b4 +10 +78 +00 +7e +10 +86 +00 +74 +10 +5f +00 +cd +00 +3f +00 +aa +00 +4c +00 +08 +10 +88 +00 +dd +10 +c3 +00 +d4 +10 +b5 +00 +82 +10 +45 +00 +ae +00 +e8 +00 +4a +50 +92 +20 +48 +80 +1d +20 +00 +40 +1f +10 +f1 +40 +85 +20 +c3 +70 +c9 +20 +98 +70 +8a +20 +cb +40 +c1 +00 +59 +20 +e6 +00 +f3 +10 +66 +00 +ea +00 +3b +00 +9b +00 +39 +00 +9a +00 +3a +00 +91 +00 +2f +00 +7d +00 +22 +00 +59 +00 +24 +00 +92 +00 +31 +00 +8d +00 +2a +00 +58 +00 +16 +00 +5a +00 +54 +00 +b6 +00 +2d +00 +53 +00 +16 +00 +39 +00 +1a +00 +4b +00 +1c +00 +45 +00 +17 +00 +3b +00 +19 +00 +4a +00 +23 +00 +57 +00 +1b +00 +4e +00 +20 +00 +52 +00 +17 +00 +45 +00 +17 +00 +41 +00 +20 +00 +38 +00 +12 +00 +2c +00 +0f +00 +30 +00 +11 +00 +29 +00 +13 +00 +30 +00 +0f +00 +4a +00 +1c +00 +3a +00 +15 +00 +3f +00 +11 +00 +43 +00 +1d +00 +56 +00 +28 +00 +4b +00 +2c +00 +b6 +00 +52 +00 +75 +00 +1b +00 +46 +00 +18 +00 +43 +00 +18 +00 +50 +00 +1c +00 +39 +00 +1b +00 +41 +00 +14 +00 +3f +00 +17 +00 +41 +00 +1b +00 +40 +00 +19 +00 +3d +00 +16 +00 +35 +00 +18 +00 +42 +00 +14 +00 +4a +00 +25 +00 +5f +00 +1a +00 +4e +00 +33 +00 +a5 +00 +1e +00 +45 +00 +13 +00 +4f +00 +17 +00 +5f +00 +1b +00 +3b +00 +16 +00 +30 +00 +16 +00 +42 +00 +19 +00 +46 +00 +1a +00 +4f +00 +2e +00 +68 +00 +2c +00 +e7 +00 +31 +00 +44 +00 +17 +00 +39 +00 +15 +00 +39 +00 +13 +00 +3c +00 +22 +00 +43 +00 +1a +00 +46 +00 +12 +00 +3c +00 +17 +00 +3e +00 +0f +00 +39 +00 +1e +00 +51 +00 +24 +00 +5c +00 +1e +00 +45 +00 +13 +00 +3b +00 +1a +00 +50 +00 +20 +00 +65 +00 +7c +00 +3f +10 +48 +00 +ff +00 +67 +00 +fd +00 +59 +00 +c2 +00 +45 +10 +a7 +40 +05 +10 +00 +10 +20 +00 +54 +00 +84 +00 +9b +10 +24 +00 +41 +00 +1a +00 +31 +00 +13 +00 +32 +00 +14 +00 +31 +00 +15 +00 +3e +00 +23 +00 +41 +00 +15 +00 +2e +00 +17 +00 +47 +00 +16 +00 +34 +00 +1c +00 +41 +00 +19 +00 +49 +00 +21 +00 +62 +00 +5e +00 +8f +00 +28 +00 +7d +00 +52 +00 +43 +10 +d3 +00 +ea +10 +ab +00 +c4 +20 +2c +10 +9a +10 +34 +00 +67 +00 +21 +00 +4d +00 +1b +00 +46 +00 +25 +00 +77 +00 +2b +00 +83 +00 +31 +00 +6f +00 +5e +00 +82 +10 +56 +00 +79 +00 +1c +00 +4f +00 +1e +00 +52 +00 +21 +00 +42 +00 +16 +00 +3f +00 +1b +00 +33 +00 +15 +00 +34 +00 +17 +00 +2c +00 +10 +00 +30 +00 +1b +00 +36 +00 +18 +00 +3e +00 +1b +00 +44 +00 +17 +00 +40 +00 +19 +00 +48 +00 +1f +00 +6e +00 +35 +00 +97 +00 +37 +00 +f8 +00 +e0 +00 +b4 +30 +c5 +10 +1b +30 +6c +00 +c4 +00 +29 +00 +4f +00 +1a +00 +40 +00 +18 +00 +4c +00 +19 +00 +41 +00 +11 +00 +48 +00 +1c +00 +53 +00 +1f +00 +43 +00 +15 +00 +3a +00 +11 +00 +2e +00 +11 +00 +30 +00 +0f +00 +28 +00 +0f +00 +2b +00 +1a +00 +3c +00 +17 +00 +31 +00 +16 +00 +29 +00 +1a +00 +2c +00 +14 +00 +35 +00 +12 +00 +56 +00 +a0 +00 +11 +20 +41 +00 +58 +00 +57 +00 +79 +00 +00 +00 +00 +00 +8e +00 +60 +00 +89 +00 +6e +00 +8e +00 +64 +00 +97 +00 +6a +00 +97 +00 +78 +00 +a5 +00 +63 +00 +ab +00 +6c +00 +a2 +00 +62 +00 +9c +00 +6a +00 +ab +00 +75 +00 +9c +00 +77 +00 +8c +00 +70 +00 +a6 +00 +75 +00 +a5 +00 +6e +00 +a0 +00 +66 +00 +a8 +00 +6e +00 +a3 +00 +7a +00 +b3 +00 +af +00 +1f +10 +bf +00 +4c +10 +a5 +00 +b0 +00 +61 +00 +6d +00 +35 +00 +66 +00 +32 +00 +44 +00 +39 +00 +6e +00 +3b +00 +61 +00 +34 +00 +65 +00 +36 +00 +6d +00 +52 +00 +db +00 +4b +00 +72 +00 +48 +00 +6d +00 +5a +00 +8d +00 +8b +00 +c8 +00 +8a +00 +94 +00 +53 +00 +80 +00 +46 +00 +6f +00 +6a +00 +81 +00 +c2 +00 +b0 +00 +09 +10 +64 +20 +df +00 +c6 +00 +4c +00 +70 +00 +4e +00 +66 +00 +50 +00 +75 +00 +4e +00 +7b +00 +57 +00 +80 +00 +63 +00 +c9 +00 +88 +00 +0b +10 +be +00 +72 +10 +a3 +00 +ad +00 +73 +00 +ab +00 +76 +00 +bc +00 +83 +00 +c8 +00 +d0 +00 +80 +10 +53 +20 +98 +30 +48 +10 +09 +10 +b5 +00 +db +00 +07 +10 +54 +10 +90 +20 +f6 +30 +6f +30 +a6 +40 +53 +20 +c6 +10 +ab +20 +66 +30 +8f +20 +e1 +10 +14 +10 +fe +00 +cb +00 +d9 +00 +98 +00 +e1 +00 +7d +00 +c2 +00 +97 +00 +d6 +00 +c9 +00 +48 +10 +d5 +20 +bd +60 +80 +50 +8e +90 +79 +60 +33 +80 +2a +60 +80 +90 +fc +60 +63 +a0 +f2 +60 +51 +a0 +5d +50 +f2 +40 +8f +40 +5a +70 +50 +60 +b0 +90 +32 +60 +7f +80 +0a +40 +b6 +20 +2e +20 +d6 +20 +e8 +20 +1c +50 +fb +40 +c2 +70 +53 +50 +e4 +80 +15 +40 +d4 +40 +01 +20 +71 +20 +8a +10 +88 +20 +eb +20 +c7 +60 +17 +40 +4f +50 +a2 +10 +77 +10 +dc +00 +37 +10 +f6 +00 +46 +10 +91 +00 +c0 +00 +e8 +00 +7f +10 +d7 +10 +c0 +20 +5a +10 +66 +10 +dd +00 +ec +00 +a0 +00 +f8 +00 +de +10 +5d +30 +ba +30 +94 +70 +b7 +30 +f0 +40 +10 +30 +91 +40 +c8 +40 +91 +70 +5e +50 +8a +80 +ff +40 +c7 +70 +d4 +20 +6a +20 +36 +10 +30 +10 +c5 +00 +05 +10 +87 +00 +9d +00 +5a +00 +8a +00 +5d +00 +84 +00 +43 +00 +7d +00 +39 +00 +76 +00 +38 +00 +66 +00 +3c +00 +6d +00 +36 +00 +63 +00 +2f +00 +48 +00 +39 +00 +7c +00 +34 +00 +76 +00 +3b +00 +4a +00 +2f +00 +54 +00 +25 +00 +43 +00 +2b +00 +41 +00 +25 +00 +3d +00 +2a +00 +4d +00 +26 +00 +4d +00 +2b +00 +4e +00 +28 +00 +48 +00 +23 +00 +37 +00 +24 +00 +32 +00 +17 +00 +2e +00 +1e +00 +30 +00 +25 +00 +32 +00 +23 +00 +33 +00 +1b +00 +35 +00 +23 +00 +51 +00 +1d +00 +46 +00 +27 +00 +37 +00 +24 +00 +4a +00 +32 +00 +7b +00 +5c +00 +2e +10 +8d +00 +49 +10 +4d +00 +54 +00 +2b +00 +37 +00 +22 +00 +47 +00 +26 +00 +3f +00 +22 +00 +41 +00 +20 +00 +42 +00 +25 +00 +50 +00 +27 +00 +46 +00 +1f +00 +34 +00 +22 +00 +37 +00 +1d +00 +37 +00 +1b +00 +3e +00 +23 +00 +5b +00 +2b +00 +48 +00 +2e +00 +61 +00 +2d +00 +5b +00 +2b +00 +3f +00 +25 +00 +44 +00 +21 +00 +38 +00 +1a +00 +3a +00 +1c +00 +37 +00 +28 +00 +42 +00 +2c +00 +46 +00 +4d +00 +9d +00 +f5 +00 +98 +10 +88 +00 +89 +00 +45 +00 +41 +00 +2b +00 +3d +00 +3d +00 +42 +00 +4c +00 +f9 +00 +17 +10 +b1 +00 +58 +00 +51 +00 +36 +00 +39 +00 +24 +00 +2a +00 +2b +00 +3d +00 +27 +00 +48 +00 +2b +00 +41 +00 +23 +00 +3a +00 +1c +00 +3b +00 +2b +00 +3b +00 +36 +00 +72 +00 +4d +00 +95 +00 +59 +00 +9d +00 +6b +00 +28 +10 +8d +00 +7c +10 +cd +00 +c2 +10 +81 +00 +91 +00 +57 +00 +a2 +00 +4e +00 +85 +00 +2b +00 +36 +00 +1e +00 +2d +00 +18 +00 +2b +00 +1d +00 +32 +00 +21 +00 +33 +00 +20 +00 +39 +00 +1d +00 +35 +00 +22 +00 +40 +00 +24 +00 +35 +00 +21 +00 +47 +00 +2b +00 +4b +00 +7a +00 +3b +20 +30 +10 +ac +00 +97 +00 +91 +00 +7e +00 +d3 +00 +f4 +00 +79 +10 +eb +00 +23 +20 +af +00 +a7 +00 +62 +00 +50 +00 +2c +00 +44 +00 +37 +00 +50 +00 +3b +00 +4f +00 +48 +00 +5c +00 +4e +00 +7d +00 +8c +00 +d4 +10 +60 +00 +6c +00 +43 +00 +56 +00 +2d +00 +50 +00 +2e +00 +3e +00 +1f +00 +32 +00 +21 +00 +36 +00 +1c +00 +36 +00 +1c +00 +34 +00 +1d +00 +32 +00 +1b +00 +32 +00 +21 +00 +41 +00 +22 +00 +4a +00 +23 +00 +4a +00 +29 +00 +37 +00 +31 +00 +75 +00 +56 +00 +77 +00 +6d +00 +de +00 +01 +10 +34 +30 +39 +10 +56 +10 +9b +00 +75 +00 +51 +00 +50 +00 +3c +00 +3e +00 +2e +00 +3b +00 +2b +00 +3a +00 +24 +00 +47 +00 +2a +00 +4d +00 +27 +00 +3c +00 +20 +00 +30 +00 +1d +00 +2c +00 +1a +00 +29 +00 +19 +00 +28 +00 +1c +00 +61 +00 +1e +00 +33 +00 +1e +00 +27 +00 +1c +00 +3f +00 +1e +00 +38 +00 +21 +00 +40 +00 +3a +00 +9d +00 +3d +00 +a8 +00 +48 +00 +68 +00 +31 +00 +00 +00 +00 +00 +34 +00 +b5 +00 +32 +00 +97 +00 +3e +00 +9c +00 +38 +00 +ac +00 +38 +00 +9a +00 +34 +00 +90 +00 +3e +00 +93 +00 +36 +00 +9a +00 +34 +00 +a4 +00 +3f +00 +9c +00 +38 +00 +a4 +00 +3a +00 +9b +00 +36 +00 +ac +00 +3b +00 +ab +00 +30 +00 +93 +00 +3a +00 +ad +00 +36 +00 +9d +00 +34 +00 +aa +00 +43 +00 +f1 +00 +3c +00 +bb +00 +27 +00 +6f +00 +20 +00 +5e +00 +1a +00 +59 +00 +2b +00 +71 +00 +28 +00 +69 +00 +22 +00 +6b +00 +20 +00 +65 +00 +27 +00 +69 +00 +3a +00 +98 +00 +2d +00 +70 +00 +26 +00 +78 +00 +30 +00 +b1 +00 +46 +00 +cc +00 +3a +00 +85 +00 +38 +00 +7b +00 +2d +00 +b5 +00 +6d +00 +20 +20 +a5 +00 +f2 +10 +3a +10 +da +10 +49 +00 +7c +00 +29 +00 +69 +00 +32 +00 +6a +00 +2e +00 +83 +00 +30 +00 +80 +00 +39 +00 +97 +00 +47 +00 +29 +10 +65 +00 +62 +10 +a2 +00 +1f +10 +45 +00 +a4 +00 +40 +00 +b3 +00 +46 +00 +c0 +00 +4c +00 +d9 +00 +c4 +00 +4e +40 +5f +10 +1f +20 +5f +00 +01 +10 +5e +00 +2b +10 +f3 +00 +93 +40 +82 +20 +68 +60 +6a +10 +a6 +20 +dc +00 +48 +40 +a1 +20 +78 +60 +f1 +00 +90 +10 +6e +00 +d6 +10 +78 +00 +e7 +00 +45 +00 +b1 +00 +4e +00 +c8 +00 +50 +00 +e4 +00 +70 +00 +c3 +20 +95 +20 +0b +90 +8a +30 +a1 +90 +fe +20 +a7 +70 +68 +30 +2a +a0 +c0 +30 +af +a0 +a3 +30 +32 +90 +88 +10 +2a +50 +15 +30 +6e +90 +56 +30 +d8 +90 +26 +30 +3a +70 +0a +10 +24 +20 +b9 +00 +1d +30 +27 +20 +7b +70 +ec +20 +7c +80 +79 +20 +fe +40 +fb +00 +5c +20 +91 +00 +95 +10 +99 +00 +c4 +20 +17 +20 +72 +60 +87 +10 +e5 +20 +8a +00 +2e +10 +5f +00 +21 +10 +85 +00 +24 +10 +4e +00 +a0 +10 +36 +10 +97 +30 +1b +10 +41 +20 +62 +00 +e4 +00 +49 +00 +bd +00 +c9 +00 +8b +30 +08 +20 +0d +60 +0f +20 +fa +40 +16 +10 +e0 +30 +63 +20 +95 +70 +17 +30 +ad +80 +3a +30 +07 +90 +ec +10 +73 +30 +82 +00 +29 +10 +49 +00 +f1 +00 +41 +00 +af +00 +38 +00 +8e +00 +31 +00 +90 +00 +37 +00 +83 +00 +29 +00 +6a +00 +27 +00 +5d +00 +21 +00 +63 +00 +26 +00 +6d +00 +26 +00 +50 +00 +1c +00 +47 +00 +26 +00 +6a +00 +26 +00 +64 +00 +23 +00 +4a +00 +1f +00 +46 +00 +1b +00 +3e +00 +19 +00 +4c +00 +1a +00 +40 +00 +1d +00 +54 +00 +1d +00 +57 +00 +1c +00 +4c +00 +18 +00 +38 +00 +16 +00 +39 +00 +11 +00 +34 +00 +18 +00 +2e +00 +0c +00 +2d +00 +11 +00 +2f +00 +17 +00 +3b +00 +15 +00 +40 +00 +18 +00 +4b +00 +17 +00 +43 +00 +17 +00 +4c +00 +1d +00 +52 +00 +36 +00 +99 +00 +66 +00 +d6 +00 +39 +00 +93 +00 +22 +00 +48 +00 +16 +00 +43 +00 +29 +00 +5e +00 +19 +00 +4e +00 +1b +00 +41 +00 +1a +00 +3e +00 +1a +00 +48 +00 +19 +00 +3b +00 +1b +00 +3e +00 +16 +00 +42 +00 +14 +00 +37 +00 +17 +00 +45 +00 +34 +00 +6e +00 +19 +00 +4e +00 +17 +00 +50 +00 +1e +00 +49 +00 +17 +00 +3c +00 +13 +00 +3d +00 +18 +00 +39 +00 +11 +00 +38 +00 +15 +00 +3c +00 +1b +00 +57 +00 +24 +00 +69 +00 +bc +00 +4b +20 +f2 +00 +7a +10 +36 +00 +77 +00 +1e +00 +3a +00 +2d +00 +b6 +00 +24 +00 +72 +00 +a0 +00 +33 +30 +88 +00 +ae +00 +1f +00 +49 +00 +17 +00 +3d +00 +16 +00 +3f +00 +18 +00 +3b +00 +1e +00 +4a +00 +1b +00 +3a +00 +16 +00 +35 +00 +17 +00 +3d +00 +1b +00 +35 +00 +1f +00 +5c +00 +24 +00 +71 +00 +24 +00 +7d +00 +26 +00 +79 +00 +38 +00 +c5 +00 +45 +00 +b8 +00 +22 +00 +54 +00 +1d +00 +50 +00 +21 +00 +43 +00 +0f +00 +28 +00 +17 +00 +2d +00 +0d +00 +2b +00 +10 +00 +29 +00 +14 +00 +2b +00 +18 +00 +31 +00 +12 +00 +43 +00 +1a +00 +3f +00 +19 +00 +40 +00 +16 +00 +39 +00 +1a +00 +7d +00 +21 +10 +8f +30 +55 +00 +9e +00 +27 +00 +a6 +00 +6d +00 +3e +20 +98 +00 +33 +10 +52 +00 +d6 +00 +2f +00 +66 +00 +1e +00 +46 +00 +19 +00 +48 +00 +20 +00 +56 +00 +1b +00 +61 +00 +2a +00 +72 +00 +2b +00 +91 +00 +67 +00 +cb +00 +4b +00 +99 +00 +1e +00 +57 +00 +1f +00 +3f +00 +1e +00 +3c +00 +11 +00 +33 +00 +0f +00 +2e +00 +11 +00 +35 +00 +13 +00 +2e +00 +14 +00 +2f +00 +16 +00 +32 +00 +19 +00 +3f +00 +20 +00 +3e +00 +18 +00 +48 +00 +18 +00 +43 +00 +19 +00 +77 +00 +25 +00 +81 +00 +3e +00 +12 +10 +8a +00 +41 +10 +4f +00 +a9 +00 +3b +00 +6d +00 +2a +00 +5e +00 +1f +00 +4b +00 +16 +00 +40 +00 +1b +00 +47 +00 +1c +00 +44 +00 +1f +00 +36 +00 +19 +00 +34 +00 +14 +00 +29 +00 +13 +00 +2c +00 +12 +00 +2f +00 +13 +00 +32 +00 +16 +00 +4c +00 +1f +00 +41 +00 +11 +00 +39 +00 +17 +00 +2e +00 +10 +00 +39 +00 +19 +00 +55 +00 +26 +00 +8a +00 +27 +00 +74 +00 +21 +00 +54 +00 +00 +00 +00 +00 +93 +00 +6b +00 +95 +00 +66 +00 +86 +00 +70 +00 +99 +00 +6c +00 +a5 +00 +5e +00 +93 +00 +6c +00 +a2 +00 +6d +00 +9b +00 +68 +00 +ad +00 +72 +00 +af +00 +6d +00 +aa +00 +68 +00 +a4 +00 +6f +00 +a7 +00 +63 +00 +a6 +00 +6e +00 +8c +00 +73 +00 +a8 +00 +68 +00 +9c +00 +73 +00 +92 +00 +82 +00 +ad +00 +8f +00 +96 +00 +52 +00 +6f +00 +46 +00 +55 +00 +3d +00 +68 +00 +39 +00 +56 +00 +3e +00 +65 +00 +3b +00 +60 +00 +3d +00 +67 +00 +3c +00 +6e +00 +49 +00 +70 +00 +49 +00 +6e +00 +54 +00 +75 +00 +82 +00 +9d +00 +32 +10 +91 +10 +1e +10 +ee +00 +5e +00 +81 +00 +5e +00 +b9 +00 +c3 +00 +b6 +10 +c9 +00 +b9 +10 +5a +10 +6b +20 +cb +00 +ce +00 +63 +00 +6a +00 +55 +00 +9e +00 +76 +00 +b6 +00 +51 +00 +7b +00 +51 +00 +7c +00 +67 +00 +b3 +00 +7f +00 +03 +10 +a8 +00 +42 +10 +85 +00 +b4 +00 +67 +00 +a6 +00 +6f +00 +b7 +00 +82 +00 +cc +00 +cd +00 +3b +10 +27 +20 +43 +50 +8d +20 +d3 +10 +37 +10 +e5 +10 +62 +20 +e9 +40 +44 +40 +d6 +70 +f7 +30 +7e +40 +5e +30 +b7 +40 +90 +40 +43 +80 +b6 +40 +d6 +50 +23 +20 +75 +10 +8f +10 +69 +20 +1b +10 +eb +00 +ac +00 +db +00 +84 +00 +bf +00 +b1 +00 +1a +10 +1c +20 +ad +50 +c2 +50 +0f +a0 +a4 +60 +b8 +90 +e6 +50 +71 +80 +be +60 +51 +a0 +13 +70 +59 +a0 +c0 +50 +7f +50 +8a +40 +06 +80 +76 +60 +08 +a0 +7a +60 +12 +90 +54 +40 +cc +30 +f9 +10 +cb +10 +97 +20 +70 +50 +0a +40 +a5 +60 +79 +30 +db +40 +55 +20 +b0 +20 +91 +10 +8e +10 +b6 +10 +b5 +10 +45 +20 +ca +30 +fc +10 +bd +20 +3a +10 +5d +10 +b6 +00 +ed +00 +dc +00 +71 +20 +d2 +00 +02 +10 +fe +00 +3f +20 +c9 +20 +88 +50 +e3 +10 +85 +10 +ae +00 +ac +00 +9b +00 +30 +10 +2e +20 +57 +50 +0a +20 +2b +30 +4c +20 +e7 +20 +6e +40 +3a +70 +88 +50 +e8 +80 +0c +60 +82 +90 +7d +40 +06 +50 +3f +20 +92 +10 +d0 +00 +cb +00 +ad +00 +75 +10 +79 +00 +98 +00 +60 +00 +85 +00 +5e +00 +87 +00 +54 +00 +70 +00 +42 +00 +5f +00 +38 +00 +59 +00 +2f +00 +62 +00 +39 +00 +54 +00 +35 +00 +5e +00 +35 +00 +64 +00 +3e +00 +64 +00 +82 +00 +c8 +00 +5a +00 +5b +00 +2f +00 +4c +00 +25 +00 +48 +00 +2c +00 +45 +00 +27 +00 +4e +00 +25 +00 +60 +00 +24 +00 +53 +00 +32 +00 +3c +00 +24 +00 +3c +00 +23 +00 +38 +00 +1e +00 +2f +00 +20 +00 +34 +00 +14 +00 +2d +00 +20 +00 +36 +00 +17 +00 +3b +00 +1b +00 +3d +00 +23 +00 +51 +00 +2a +00 +46 +00 +1f +00 +44 +00 +2d +00 +50 +00 +5c +00 +b2 +00 +4d +00 +8d +00 +39 +00 +55 +00 +28 +00 +3d +00 +3d +00 +fa +00 +5f +00 +62 +00 +30 +00 +41 +00 +20 +00 +40 +00 +22 +00 +4d +00 +1f +00 +47 +00 +24 +00 +45 +00 +19 +00 +34 +00 +21 +00 +37 +00 +1c +00 +3b +00 +2f +00 +81 +00 +4c +00 +61 +00 +33 +00 +43 +00 +2a +00 +39 +00 +20 +00 +35 +00 +22 +00 +33 +00 +23 +00 +35 +00 +23 +00 +42 +00 +4b +00 +49 +00 +4b +00 +89 +00 +8c +00 +71 +00 +51 +00 +ba +00 +84 +00 +80 +10 +88 +00 +9d +00 +3f +00 +52 +00 +32 +00 +73 +00 +73 +00 +8e +00 +51 +00 +97 +00 +59 +00 +00 +10 +6f +00 +5c +00 +33 +00 +3e +00 +2e +00 +3e +00 +29 +00 +3a +00 +2d +00 +3d +00 +28 +00 +3e +00 +1f +00 +46 +00 +21 +00 +42 +00 +26 +00 +39 +00 +27 +00 +49 +00 +40 +00 +54 +00 +4d +00 +4f +00 +43 +00 +60 +00 +4b +00 +7e +00 +56 +00 +89 +00 +53 +00 +4c +00 +38 +00 +4b +00 +2a +00 +42 +00 +22 +00 +36 +00 +19 +00 +2c +00 +20 +00 +2a +00 +1f +00 +2c +00 +18 +00 +2f +00 +18 +00 +31 +00 +22 +00 +37 +00 +25 +00 +3d +00 +1c +00 +3d +00 +1e +00 +31 +00 +24 +00 +38 +00 +60 +00 +6d +10 +bf +00 +68 +10 +80 +00 +82 +00 +81 +00 +0b +10 +44 +10 +9f +10 +93 +00 +b9 +00 +68 +00 +8e +00 +49 +00 +40 +00 +26 +00 +43 +00 +29 +00 +43 +00 +4e +00 +74 +00 +be +00 +f8 +00 +a4 +00 +75 +00 +4b +00 +76 +00 +44 +00 +87 +00 +63 +00 +71 +00 +29 +00 +51 +00 +1a +00 +35 +00 +21 +00 +35 +00 +1c +00 +35 +00 +1d +00 +2e +00 +19 +00 +33 +00 +1d +00 +35 +00 +20 +00 +3c +00 +27 +00 +3e +00 +24 +00 +41 +00 +28 +00 +3d +00 +29 +00 +48 +00 +35 +00 +56 +00 +39 +00 +66 +00 +d6 +00 +5b +10 +29 +10 +45 +10 +af +00 +a3 +00 +f1 +00 +33 +20 +90 +10 +e2 +00 +2d +10 +b5 +00 +77 +00 +61 +00 +4f +00 +53 +00 +29 +00 +39 +00 +29 +00 +39 +00 +29 +00 +33 +00 +1b +00 +2d +00 +20 +00 +2d +00 +1e +00 +31 +00 +20 +00 +32 +00 +1d +00 +3a +00 +22 +00 +65 +00 +3e +00 +39 +00 +1c +00 +31 +00 +1b +00 +37 +00 +22 +00 +39 +00 +32 +00 +6d +00 +42 +00 +8a +00 +36 +00 +4f +00 +23 +00 +00 +00 +00 +00 +32 +00 +94 +00 +30 +00 +93 +00 +36 +00 +92 +00 +33 +00 +a4 +00 +32 +00 +99 +00 +40 +00 +a3 +00 +3e +00 +a1 +00 +30 +00 +9d +00 +3a +00 +99 +00 +3b +00 +9c +00 +36 +00 +9c +00 +39 +00 +a6 +00 +33 +00 +ad +00 +33 +00 +a5 +00 +39 +00 +a8 +00 +37 +00 +9b +00 +33 +00 +94 +00 +37 +00 +89 +00 +2f +00 +73 +00 +26 +00 +6f +00 +25 +00 +6a +00 +21 +00 +68 +00 +27 +00 +60 +00 +2b +00 +63 +00 +23 +00 +75 +00 +23 +00 +64 +00 +23 +00 +5c +00 +2a +00 +75 +00 +28 +00 +74 +00 +2c +00 +7a +00 +29 +00 +7b +00 +bf +00 +03 +30 +58 +10 +9a +20 +61 +00 +94 +00 +2c +00 +86 +00 +45 +00 +b3 +00 +56 +00 +e6 +00 +4a +00 +5a +10 +88 +00 +27 +10 +3c +00 +86 +00 +2a +00 +7b +00 +3c +00 +e4 +00 +48 +00 +af +00 +32 +00 +85 +00 +33 +00 +84 +00 +37 +00 +b0 +00 +4a +00 +c7 +00 +49 +00 +ca +00 +39 +00 +9a +00 +39 +00 +bc +00 +43 +00 +cb +00 +50 +00 +c8 +00 +7e +00 +80 +20 +71 +20 +bf +60 +55 +10 +fa +10 +fd +00 +bd +30 +4e +20 +9e +70 +dc +20 +52 +60 +b4 +10 +f6 +50 +b3 +20 +22 +80 +5a +30 +e3 +80 +ab +20 +51 +40 +dd +00 +23 +20 +aa +00 +c7 +10 +5a +00 +dc +00 +51 +00 +d7 +00 +47 +00 +d7 +00 +6a +00 +b9 +10 +2e +20 +b5 +80 +8b +30 +17 +a0 +74 +30 +31 +90 +eb +20 +94 +90 +a3 +30 +b3 +a0 +c4 +30 +2c +90 +b1 +10 +59 +50 +03 +30 +bb +90 +96 +30 +15 +a0 +16 +30 +b2 +70 +70 +10 +48 +20 +cd +00 +c0 +30 +b7 +10 +32 +50 +61 +10 +b2 +30 +d4 +00 +93 +20 +a3 +00 +c8 +10 +c9 +00 +5c +20 +0c +10 +94 +30 +80 +10 +02 +30 +7f +00 +58 +10 +5c +00 +fd +00 +65 +00 +50 +10 +88 +00 +51 +10 +53 +00 +08 +10 +35 +10 +93 +50 +e6 +10 +13 +30 +57 +00 +d6 +00 +32 +00 +9e +00 +69 +00 +46 +20 +53 +10 +1b +30 +9d +00 +ff +10 +94 +10 +7b +60 +1c +30 +c4 +80 +5a +30 +7d +90 +f3 +20 +45 +60 +f5 +00 +3e +20 +59 +00 +cf +00 +3c +00 +b0 +00 +52 +00 +cc +00 +31 +00 +7e +00 +40 +00 +7f +00 +32 +00 +9b +00 +22 +00 +6d +00 +20 +00 +55 +00 +1e +00 +50 +00 +26 +00 +5a +00 +1e +00 +4d +00 +27 +00 +6d +00 +26 +00 +7a +00 +4e +00 +72 +10 +a8 +00 +e3 +00 +24 +00 +64 +00 +21 +00 +4f +00 +1b +00 +50 +00 +1c +00 +4d +00 +1a +00 +58 +00 +22 +00 +52 +00 +1a +00 +3f +00 +18 +00 +39 +00 +15 +00 +2c +00 +0f +00 +30 +00 +14 +00 +2a +00 +0d +00 +28 +00 +12 +00 +2c +00 +0d +00 +35 +00 +16 +00 +3a +00 +21 +00 +4d +00 +19 +00 +42 +00 +1c +00 +4a +00 +1b +00 +41 +00 +1b +00 +70 +00 +8f +00 +d0 +00 +25 +00 +5d +00 +20 +00 +42 +00 +13 +00 +48 +00 +39 +00 +8f +00 +23 +00 +47 +00 +16 +00 +3c +00 +1c +00 +3c +00 +1c +00 +42 +00 +1c +00 +37 +00 +15 +00 +39 +00 +12 +00 +3b +00 +13 +00 +39 +00 +13 +00 +43 +00 +23 +00 +4e +00 +29 +00 +47 +00 +1b +00 +46 +00 +1e +00 +3a +00 +16 +00 +38 +00 +16 +00 +33 +00 +12 +00 +45 +00 +31 +00 +16 +10 +45 +00 +67 +00 +9b +00 +1b +20 +3b +00 +79 +00 +28 +00 +75 +00 +3f +00 +b4 +00 +2a +00 +5d +00 +1d +00 +4f +00 +32 +00 +ae +00 +2f +00 +74 +00 +2f +00 +92 +00 +3a +00 +a7 +00 +29 +00 +4e +00 +1b +00 +42 +00 +1b +00 +4d +00 +19 +00 +44 +00 +1a +00 +49 +00 +1b +00 +45 +00 +16 +00 +43 +00 +1f +00 +3f +00 +18 +00 +3d +00 +19 +00 +3d +00 +13 +00 +44 +00 +15 +00 +4b +00 +20 +00 +4c +00 +15 +00 +66 +00 +22 +00 +63 +00 +13 +00 +3a +00 +18 +00 +3e +00 +16 +00 +2f +00 +14 +00 +35 +00 +12 +00 +2a +00 +15 +00 +29 +00 +11 +00 +32 +00 +13 +00 +3b +00 +11 +00 +36 +00 +15 +00 +3c +00 +12 +00 +3a +00 +14 +00 +39 +00 +18 +00 +32 +00 +1c +00 +63 +00 +39 +00 +a4 +00 +4b +00 +b1 +00 +26 +00 +6f +00 +53 +00 +9a +10 +54 +00 +cd +00 +25 +00 +7a +00 +22 +00 +5b +00 +1f +00 +3c +00 +17 +00 +3d +00 +1b +00 +6f +00 +a9 +00 +b9 +20 +e2 +00 +b7 +10 +37 +00 +81 +00 +21 +00 +6b +00 +22 +00 +5c +00 +1e +00 +52 +00 +1f +00 +3b +00 +15 +00 +33 +00 +17 +00 +31 +00 +0f +00 +30 +00 +14 +00 +39 +00 +10 +00 +3c +00 +17 +00 +39 +00 +18 +00 +44 +00 +14 +00 +3d +00 +1a +00 +48 +00 +1c +00 +50 +00 +29 +00 +85 +00 +27 +00 +4c +00 +27 +00 +85 +10 +81 +10 +b1 +20 +48 +00 +b0 +00 +34 +00 +62 +10 +d4 +10 +96 +40 +13 +10 +7d +30 +6c +00 +99 +00 +a6 +00 +e5 +00 +26 +00 +52 +00 +20 +00 +3f +00 +1a +00 +35 +00 +13 +00 +2f +00 +12 +00 +30 +00 +0e +00 +25 +00 +1b +00 +3e +00 +17 +00 +31 +00 +12 +00 +46 +00 +1d +00 +47 +00 +18 +00 +44 +00 +16 +00 +42 +00 +15 +00 +35 +00 +19 +00 +4c +00 +38 +00 +7a +00 +37 +00 +62 +00 +13 +00 +37 +00 +00 +00 +00 +00 +94 +00 +68 +00 +92 +00 +6a +00 +a0 +00 +5e +00 +9d +00 +65 +00 +9d +00 +66 +00 +b3 +00 +6e +00 +a3 +00 +68 +00 +a3 +00 +6e +00 +97 +00 +6f +00 +ac +00 +6f +00 +aa +00 +6a +00 +a0 +00 +72 +00 +ab +00 +71 +00 +a1 +00 +6b +00 +98 +00 +7b +00 +9f +00 +6c +00 +a0 +00 +6b +00 +8d +00 +5d +00 +6e +00 +42 +00 +6c +00 +42 +00 +64 +00 +2c +00 +5d +00 +39 +00 +64 +00 +4c +00 +99 +00 +7b +00 +7c +00 +45 +00 +6d +00 +43 +00 +58 +00 +3b +00 +61 +00 +62 +00 +85 +00 +8b +00 +8b +00 +a1 +00 +98 +00 +f9 +00 +18 +20 +a9 +10 +f4 +20 +df +00 +24 +10 +6c +00 +7c +00 +4f +00 +8d +00 +64 +00 +9f +00 +77 +00 +b1 +00 +83 +00 +f8 +00 +86 +00 +a5 +00 +68 +00 +96 +00 +4d +00 +80 +00 +52 +00 +c2 +00 +5b +00 +80 +00 +4a +00 +81 +00 +58 +00 +8d +00 +6e +00 +a5 +00 +69 +00 +ad +00 +6f +00 +9c +00 +67 +00 +ad +00 +7d +00 +c6 +00 +df +00 +e9 +00 +81 +10 +9b +10 +60 +30 +5f +60 +6d +20 +28 +30 +53 +10 +7d +10 +87 +10 +01 +30 +a3 +30 +20 +70 +0e +40 +45 +60 +16 +40 +02 +70 +b7 +30 +dc +70 +99 +40 +ac +70 +79 +30 +64 +50 +16 +40 +1d +50 +1f +20 +59 +10 +d3 +00 +d5 +00 +85 +00 +db +00 +b0 +00 +f2 +00 +90 +10 +a6 +30 +f1 +40 +aa +90 +9f +60 +34 +a0 +9a +60 +29 +80 +12 +60 +35 +a0 +eb +60 +97 +a0 +91 +50 +b6 +50 +65 +40 +fe +70 +2a +60 +2f +a0 +07 +50 +9c +60 +00 +30 +ef +30 +77 +20 +a8 +30 +9b +30 +d5 +50 +a2 +20 +16 +30 +dc +10 +0d +20 +7b +10 +a2 +10 +a8 +20 +0f +50 +0c +40 +13 +60 +0c +30 +df +30 +77 +10 +bf +10 +e3 +00 +dc +00 +af +00 +bc +10 +ab +00 +04 +10 +8d +00 +e3 +00 +10 +10 +22 +30 +ce +20 +bc +40 +a4 +10 +56 +10 +a1 +00 +9f +00 +7b +00 +c4 +00 +d9 +00 +c6 +10 +44 +10 +b5 +10 +19 +20 +d6 +40 +f9 +30 +82 +70 +07 +50 +e5 +70 +c5 +40 +da +60 +1e +30 +f1 +20 +c5 +10 +a6 +10 +b8 +00 +ac +00 +70 +00 +8a +00 +56 +00 +92 +00 +70 +00 +99 +00 +ff +00 +0d +10 +7e +00 +83 +00 +44 +00 +5a +00 +3c +00 +55 +00 +43 +00 +98 +00 +5d +00 +5e +00 +39 +00 +65 +00 +64 +00 +9a +00 +61 +00 +37 +10 +a9 +00 +44 +10 +7d +00 +87 +00 +43 +00 +45 +00 +2c +00 +45 +00 +2b +00 +49 +00 +2e +00 +50 +00 +2d +00 +53 +00 +2c +00 +3f +00 +25 +00 +40 +00 +23 +00 +33 +00 +1f +00 +2d +00 +1b +00 +2d +00 +23 +00 +32 +00 +1d +00 +2f +00 +24 +00 +32 +00 +25 +00 +2f +00 +24 +00 +41 +00 +29 +00 +4a +00 +2d +00 +3b +00 +1f +00 +41 +00 +28 +00 +56 +00 +47 +00 +90 +00 +51 +00 +82 +00 +3b +00 +49 +00 +24 +00 +3e +00 +2e +00 +54 +00 +26 +00 +59 +00 +30 +00 +4e +00 +29 +00 +39 +00 +1f +00 +38 +00 +23 +00 +3f +00 +27 +00 +3a +00 +1f +00 +31 +00 +1f +00 +30 +00 +1a +00 +32 +00 +29 +00 +44 +00 +2a +00 +4b +00 +2a +00 +44 +00 +26 +00 +39 +00 +23 +00 +41 +00 +1d +00 +2d +00 +1b +00 +34 +00 +2d +00 +5c +00 +a3 +00 +59 +20 +7b +00 +cc +00 +78 +00 +b8 +00 +5a +00 +6c +00 +42 +00 +78 +00 +47 +00 +6b +00 +34 +00 +47 +00 +2a +00 +65 +00 +62 +00 +76 +00 +82 +00 +89 +00 +5f +00 +83 +00 +58 +00 +71 +00 +3d +00 +41 +00 +45 +00 +50 +00 +54 +00 +67 +00 +2f +00 +4d +00 +2a +00 +3e +00 +26 +00 +43 +00 +28 +00 +3e +00 +25 +00 +3b +00 +26 +00 +33 +00 +2b +00 +3c +00 +29 +00 +45 +00 +38 +00 +4b +00 +4e +00 +5d +00 +60 +00 +74 +00 +4d +00 +46 +00 +2b +00 +33 +00 +29 +00 +3b +00 +31 +00 +3e +00 +24 +00 +38 +00 +20 +00 +37 +00 +23 +00 +2d +00 +27 +00 +25 +00 +24 +00 +30 +00 +25 +00 +3b +00 +19 +00 +35 +00 +26 +00 +30 +00 +20 +00 +41 +00 +32 +00 +3f +00 +47 +00 +6b +00 +4f +00 +89 +00 +53 +00 +58 +00 +56 +00 +80 +00 +50 +00 +95 +00 +5a +00 +69 +00 +46 +00 +48 +00 +36 +00 +44 +00 +27 +00 +3c +00 +33 +00 +46 +00 +76 +00 +ab +20 +99 +10 +26 +20 +b4 +00 +b8 +00 +53 +00 +54 +00 +36 +00 +58 +00 +2c +00 +4d +00 +26 +00 +4e +00 +25 +00 +3c +00 +25 +00 +2b +00 +18 +00 +2b +00 +21 +00 +2a +00 +1d +00 +2a +00 +19 +00 +35 +00 +1e +00 +3e +00 +20 +00 +4b +00 +27 +00 +46 +00 +2d +00 +47 +00 +2f +00 +5b +00 +35 +00 +61 +00 +61 +00 +96 +00 +b9 +00 +54 +20 +e3 +00 +e0 +00 +8f +00 +bb +00 +65 +10 +af +40 +79 +20 +d1 +30 +99 +10 +8e +10 +d6 +00 +26 +20 +8f +00 +7f +00 +36 +00 +41 +00 +24 +00 +39 +00 +22 +00 +31 +00 +1a +00 +30 +00 +20 +00 +34 +00 +17 +00 +2f +00 +1d +00 +39 +00 +1c +00 +2a +00 +1e +00 +40 +00 +2e +00 +4d +00 +3c +00 +45 +00 +29 +00 +3c +00 +1c +00 +42 +00 +2b +00 +6e +00 +3f +00 +92 +00 +4f +00 +5f +00 +2e +00 +00 +00 +00 +00 +2f +00 +92 +00 +2a +00 +9f +00 +3b +00 +9c +00 +38 +00 +a0 +00 +3c +00 +92 +00 +3c +00 +96 +00 +37 +00 +a1 +00 +30 +00 +a7 +00 +37 +00 +9c +00 +3a +00 +aa +00 +37 +00 +ad +00 +32 +00 +a9 +00 +35 +00 +99 +00 +43 +00 +9e +00 +3a +00 +96 +00 +3a +00 +a9 +00 +31 +00 +94 +00 +2a +00 +5e +00 +25 +00 +63 +00 +1d +00 +53 +00 +1b +00 +52 +00 +1f +00 +52 +00 +1d +00 +69 +00 +71 +00 +a6 +10 +3a +00 +7c +00 +27 +00 +5f +00 +27 +00 +63 +00 +27 +00 +7a +00 +55 +00 +94 +10 +65 +00 +0b +10 +a5 +00 +d4 +10 +12 +10 +6a +20 +9a +00 +5d +10 +38 +00 +98 +00 +28 +00 +7b +00 +28 +00 +82 +00 +30 +00 +b7 +00 +32 +00 +a6 +00 +3c +00 +93 +00 +48 +00 +45 +10 +39 +00 +84 +00 +36 +00 +8d +00 +3f +00 +99 +00 +2b +00 +84 +00 +2e +00 +8b +00 +2f +00 +9a +00 +2f +00 +9f +00 +37 +00 +84 +00 +40 +00 +a6 +00 +4c +00 +d0 +00 +69 +00 +cb +10 +bf +00 +87 +20 +b6 +10 +89 +60 +f7 +10 +ac +30 +9a +00 +75 +10 +60 +00 +6c +10 +16 +10 +e0 +40 +24 +20 +36 +60 +81 +20 +0e +50 +50 +10 +e0 +30 +49 +10 +25 +50 +c3 +10 +14 +50 +a0 +20 +c0 +70 +d2 +20 +0d +50 +9e +00 +14 +10 +58 +00 +d7 +00 +45 +00 +ce +00 +56 +00 +3a +10 +17 +10 +a0 +60 +6d +30 +09 +a0 +8c +30 +bd +90 +0e +30 +ed +70 +73 +30 +56 +a0 +bc +30 +ff +80 +a4 +10 +8f +40 +ee +20 +af +90 +b2 +20 +d8 +50 +42 +10 +3a +30 +dd +00 +7c +20 +cf +10 +46 +60 +a5 +10 +9d +30 +bf +00 +00 +20 +88 +00 +99 +10 +ab +00 +0b +40 +8e +20 +51 +70 +51 +20 +52 +50 +eb +00 +05 +20 +6d +00 +f6 +00 +43 +00 +ef +00 +7d +00 +3a +10 +4a +00 +dd +00 +40 +00 +f5 +00 +3a +10 +5c +50 +54 +10 +52 +20 +55 +00 +ae +00 +2c +00 +a5 +00 +3a +00 +ed +00 +5b +00 +2d +10 +65 +00 +7d +10 +7c +10 +c1 +50 +9f +20 +d0 +70 +ad +20 +6f +60 +ae +10 +3a +40 +e7 +00 +65 +20 +e2 +00 +83 +10 +36 +00 +8b +00 +29 +00 +80 +00 +2f +00 +9b +00 +75 +00 +8d +20 +a6 +00 +ff +00 +2d +00 +70 +00 +2b +00 +70 +00 +24 +00 +64 +00 +54 +00 +ce +00 +28 +00 +66 +00 +29 +00 +9a +00 +57 +00 +a5 +00 +3f +00 +bc +00 +4c +00 +a7 +00 +28 +00 +60 +00 +22 +00 +4b +00 +17 +00 +50 +00 +1d +00 +5a +00 +28 +00 +4e +00 +18 +00 +46 +00 +18 +00 +3a +00 +16 +00 +3d +00 +10 +00 +36 +00 +12 +00 +31 +00 +13 +00 +2d +00 +0f +00 +28 +00 +15 +00 +35 +00 +1f +00 +37 +00 +1a +00 +3e +00 +17 +00 +45 +00 +1b +00 +48 +00 +13 +00 +47 +00 +1d +00 +49 +00 +20 +00 +69 +00 +3a +00 +83 +00 +2f +00 +61 +00 +1e +00 +3f +00 +21 +00 +3b +00 +20 +00 +49 +00 +1b +00 +4b +00 +18 +00 +45 +00 +1f +00 +46 +00 +16 +00 +44 +00 +15 +00 +3b +00 +15 +00 +33 +00 +11 +00 +3f +00 +13 +00 +34 +00 +17 +00 +3b +00 +17 +00 +47 +00 +1c +00 +51 +00 +1e +00 +50 +00 +17 +00 +3d +00 +0f +00 +32 +00 +15 +00 +31 +00 +18 +00 +3d +00 +1a +00 +7f +00 +52 +00 +d5 +00 +23 +00 +7e +00 +34 +00 +77 +00 +1f +00 +61 +00 +22 +00 +69 +00 +20 +00 +49 +00 +20 +00 +47 +00 +23 +00 +8f +00 +a5 +00 +8c +10 +40 +00 +8f +00 +35 +00 +92 +00 +23 +00 +56 +00 +25 +00 +75 +00 +29 +00 +5c +00 +1a +00 +4f +00 +1f +00 +52 +00 +1c +00 +42 +00 +17 +00 +46 +00 +1e +00 +3d +00 +15 +00 +38 +00 +18 +00 +3b +00 +1f +00 +4c +00 +25 +00 +59 +00 +31 +00 +98 +00 +44 +00 +82 +00 +2d +00 +56 +00 +13 +00 +3d +00 +11 +00 +31 +00 +22 +00 +90 +00 +1a +00 +38 +00 +1c +00 +48 +00 +16 +00 +46 +00 +1a +00 +3c +00 +0e +00 +38 +00 +15 +00 +31 +00 +14 +00 +3a +00 +17 +00 +3d +00 +19 +00 +34 +00 +1a +00 +3a +00 +16 +00 +4a +00 +1c +00 +62 +00 +22 +00 +55 +00 +18 +00 +45 +00 +19 +00 +6f +00 +26 +00 +7e +00 +20 +00 +4c +00 +18 +00 +5a +00 +1e +00 +48 +00 +18 +00 +4b +00 +1d +00 +88 +00 +e8 +00 +82 +20 +81 +00 +fb +00 +3e +00 +6d +00 +1b +00 +45 +00 +1a +00 +45 +00 +20 +00 +4e +00 +16 +00 +44 +00 +13 +00 +2d +00 +14 +00 +2d +00 +14 +00 +2a +00 +13 +00 +35 +00 +1a +00 +2e +00 +13 +00 +35 +00 +17 +00 +46 +00 +1d +00 +3e +00 +1b +00 +4a +00 +23 +00 +57 +00 +1c +00 +58 +00 +22 +00 +8d +00 +bf +00 +d2 +10 +53 +00 +d2 +00 +34 +00 +7e +00 +3a +00 +21 +10 +e8 +00 +28 +30 +f8 +00 +be +20 +75 +00 +1a +10 +53 +00 +b9 +00 +29 +00 +55 +00 +1b +00 +31 +00 +14 +00 +34 +00 +12 +00 +2b +00 +0f +00 +29 +00 +11 +00 +27 +00 +0e +00 +3a +00 +17 +00 +32 +00 +14 +00 +39 +00 +28 +00 +5b +00 +26 +00 +97 +00 +26 +00 +43 +00 +16 +00 +3b +00 +19 +00 +4b +00 +35 +00 +97 +00 +4d +00 +2b +10 +26 +00 +4d +00 +00 +00 +00 +00 +91 +00 +62 +00 +93 +00 +6e +00 +97 +00 +66 +00 +9a +00 +69 +00 +a4 +00 +6b +00 +95 +00 +67 +00 +88 +00 +6a +00 +9b +00 +68 +00 +9d +00 +6c +00 +90 +00 +77 +00 +a6 +00 +77 +00 +a5 +00 +71 +00 +a5 +00 +72 +00 +a9 +00 +74 +00 +a1 +00 +6d +00 +ac +00 +71 +00 +9c +00 +57 +00 +7d +00 +43 +00 +5c +00 +3e +00 +68 +00 +3a +00 +5a +00 +36 +00 +59 +00 +38 +00 +5d +00 +6f +00 +24 +10 +82 +00 +cf +00 +49 +00 +63 +00 +3c +00 +61 +00 +3b +00 +6c +00 +6f +00 +19 +10 +ae +10 +7a +30 +3b +10 +3a +20 +01 +10 +e1 +10 +d9 +00 +52 +10 +a6 +00 +a2 +00 +56 +00 +8f +00 +43 +00 +7f +00 +50 +00 +8f +00 +71 +00 +80 +00 +6d +00 +8d +00 +64 +00 +9f +00 +6c +00 +ce +00 +55 +00 +7c +00 +4a +00 +86 +00 +53 +00 +75 +00 +48 +00 +83 +00 +50 +00 +8a +00 +55 +00 +88 +00 +67 +00 +8c +00 +76 +00 +a3 +00 +93 +00 +e8 +00 +42 +10 +44 +20 +65 +30 +09 +60 +a7 +40 +85 +70 +ee +30 +b4 +40 +14 +20 +cc +10 +3c +10 +1c +10 +13 +10 +dc +10 +91 +20 +00 +50 +66 +40 +e2 +70 +6a +30 +2e +30 +f8 +10 +9c +20 +e7 +10 +14 +30 +2d +40 +c3 +70 +30 +50 +d3 +80 +19 +40 +03 +30 +34 +10 +f1 +00 +ad +00 +d8 +00 +94 +00 +d5 +00 +26 +10 +e3 +10 +e5 +30 +c3 +80 +52 +60 +3f +a0 +1b +70 +aa +90 +01 +60 +45 +80 +79 +60 +09 +a0 +d4 +40 +a8 +40 +24 +40 +68 +70 +f1 +40 +76 +60 +8c +30 +a7 +30 +d2 +30 +f4 +40 +af +20 +76 +30 +56 +20 +fc +30 +ed +10 +08 +20 +89 +10 +fc +10 +d1 +10 +d1 +20 +0e +40 +59 +70 +1c +40 +0d +60 +2b +20 +6e +20 +25 +10 +34 +10 +a5 +00 +bd +00 +7e +00 +d2 +00 +a0 +00 +d9 +00 +8f +00 +d2 +00 +c9 +00 +5d +10 +4d +10 +17 +30 +5e +10 +ef +00 +78 +00 +93 +00 +68 +00 +9b +00 +8f +00 +d3 +00 +ce +00 +d7 +00 +a9 +10 +eb +30 +84 +30 +a8 +50 +1d +40 +a6 +60 +33 +30 +9d +40 +05 +30 +e0 +30 +a8 +10 +33 +20 +ef +00 +ea +00 +6a +00 +98 +00 +6c +00 +88 +00 +dc +00 +59 +20 +a1 +10 +22 +20 +c2 +00 +9b +00 +4b +00 +6e +00 +43 +00 +67 +00 +49 +00 +80 +00 +3f +00 +79 +00 +3f +00 +78 +00 +57 +00 +ed +00 +6b +00 +a5 +00 +53 +00 +ba +00 +55 +00 +77 +00 +3e +00 +71 +00 +2c +00 +52 +00 +2d +00 +57 +00 +27 +00 +47 +00 +24 +00 +41 +00 +2a +00 +37 +00 +22 +00 +31 +00 +1e +00 +31 +00 +22 +00 +2c +00 +20 +00 +2f +00 +20 +00 +2d +00 +26 +00 +3b +00 +1f +00 +3b +00 +27 +00 +4e +00 +20 +00 +48 +00 +24 +00 +4f +00 +2a +00 +4e +00 +29 +00 +52 +00 +24 +00 +55 +00 +40 +00 +ba +00 +5f +00 +88 +00 +3d +00 +42 +00 +24 +00 +3a +00 +21 +00 +46 +00 +25 +00 +3b +00 +27 +00 +40 +00 +2b +00 +40 +00 +24 +00 +43 +00 +1e +00 +3d +00 +1e +00 +3a +00 +1b +00 +38 +00 +1f +00 +35 +00 +1b +00 +34 +00 +22 +00 +44 +00 +24 +00 +46 +00 +23 +00 +43 +00 +22 +00 +45 +00 +23 +00 +44 +00 +25 +00 +3c +00 +1f +00 +32 +00 +2f +00 +54 +00 +3d +00 +6d +00 +43 +00 +84 +00 +35 +00 +68 +00 +50 +00 +96 +00 +4d +00 +48 +00 +32 +00 +41 +00 +2d +00 +44 +00 +34 +00 +69 +00 +ba +00 +8e +20 +e8 +00 +11 +10 +b0 +00 +60 +10 +6a +00 +8a +00 +9f +00 +db +00 +60 +10 +f0 +00 +70 +00 +63 +00 +87 +00 +ea +00 +48 +00 +4f +00 +34 +00 +48 +00 +32 +00 +49 +00 +2a +00 +3c +00 +26 +00 +4c +00 +2b +00 +48 +00 +38 +00 +57 +00 +3e +00 +74 +00 +62 +00 +27 +10 +8f +00 +8b +00 +52 +00 +51 +00 +2f +00 +3c +00 +2d +00 +97 +00 +5a +00 +54 +00 +29 +00 +40 +00 +96 +00 +f7 +00 +63 +00 +72 +00 +44 +00 +42 +00 +28 +00 +35 +00 +21 +00 +36 +00 +25 +00 +46 +00 +20 +00 +41 +00 +43 +00 +6c +00 +83 +00 +5e +00 +4e +00 +4f +00 +53 +00 +54 +00 +4d +00 +41 +00 +47 +00 +4c +00 +3d +00 +56 +00 +3b +00 +4f +00 +2c +00 +46 +00 +30 +00 +6c +00 +59 +00 +52 +00 +36 +00 +51 +00 +71 +00 +3e +10 +1e +10 +ca +10 +9b +00 +91 +00 +3f +00 +54 +00 +2e +00 +41 +00 +25 +00 +4e +00 +2b +00 +41 +00 +22 +00 +32 +00 +22 +00 +25 +00 +1d +00 +33 +00 +20 +00 +31 +00 +22 +00 +2e +00 +20 +00 +43 +00 +20 +00 +40 +00 +22 +00 +3b +00 +20 +00 +45 +00 +52 +00 +70 +00 +36 +00 +4b +00 +30 +00 +4d +00 +5b +00 +46 +10 +a0 +00 +07 +10 +6a +00 +8a +00 +60 +00 +7e +00 +82 +00 +1f +10 +bb +00 +ac +10 +ec +00 +2c +10 +92 +00 +96 +00 +3e +00 +66 +00 +2e +00 +3a +00 +23 +00 +32 +00 +1d +00 +2e +00 +1e +00 +2a +00 +23 +00 +39 +00 +1a +00 +38 +00 +1d +00 +30 +00 +1a +00 +2d +00 +2a +00 +cf +00 +6b +00 +88 +00 +4e +00 +98 +00 +2f +00 +6f +00 +31 +00 +39 +00 +22 +00 +56 +00 +60 +00 +ab +00 +5b +00 +98 +00 +36 +00 +00 +00 +00 +00 +3a +00 +9c +00 +35 +00 +a0 +00 +2f +00 +ad +00 +32 +00 +9a +00 +34 +00 +a4 +00 +36 +00 +88 +00 +34 +00 +aa +00 +42 +00 +a5 +00 +41 +00 +ae +00 +39 +00 +a3 +00 +3a +00 +a7 +00 +3f +00 +a5 +00 +42 +00 +a7 +00 +34 +00 +b6 +00 +3b +00 +ac +00 +36 +00 +a5 +00 +37 +00 +80 +00 +28 +00 +7b +00 +21 +00 +5f +00 +23 +00 +5f +00 +1d +00 +55 +00 +1b +00 +67 +00 +24 +00 +8d +00 +8f +00 +28 +10 +33 +00 +82 +00 +19 +00 +5f +00 +1b +00 +67 +00 +2a +00 +92 +00 +5d +00 +0d +20 +19 +10 +2c +20 +62 +00 +14 +10 +5f +00 +1f +10 +42 +00 +ba +00 +30 +00 +87 +00 +27 +00 +76 +00 +2a +00 +71 +00 +34 +00 +75 +00 +2e +00 +80 +00 +2f +00 +7b +00 +30 +00 +8f +00 +31 +00 +93 +00 +37 +00 +94 +00 +35 +00 +7d +00 +2d +00 +81 +00 +29 +00 +85 +00 +29 +00 +86 +00 +32 +00 +8c +00 +36 +00 +a4 +00 +3a +00 +db +00 +ae +00 +f7 +10 +fe +10 +bd +60 +34 +30 +c7 +80 +2d +30 +bd +60 +50 +10 +bc +20 +92 +00 +6c +10 +60 +00 +2a +10 +81 +00 +73 +20 +cd +10 +9f +60 +ea +20 +e5 +60 +da +00 +01 +20 +b7 +00 +ff +10 +35 +10 +4f +60 +1a +30 +15 +90 +43 +30 +95 +70 +0f +10 +a2 +10 +4d +00 +eb +00 +51 +00 +c1 +00 +44 +00 +e9 +00 +8d +00 +06 +40 +f6 +20 +a3 +90 +a1 +30 +2f +a0 +5d +30 +3e +90 +8c +20 +f9 +80 +14 +30 +08 +70 +5c +10 +44 +40 +de +20 +d4 +70 +98 +10 +e8 +30 +c7 +10 +92 +60 +70 +20 +b2 +40 +e4 +00 +d0 +20 +eb +00 +50 +20 +73 +00 +71 +10 +c7 +00 +80 +30 +dd +10 +7e +60 +de +20 +19 +70 +58 +10 +dd +20 +89 +00 +39 +10 +64 +00 +f5 +00 +4f +00 +e6 +00 +56 +00 +f8 +00 +49 +00 +cb +00 +40 +00 +c0 +00 +53 +00 +74 +10 +8a +00 +8d +10 +3f +00 +91 +00 +34 +00 +87 +00 +32 +00 +8e +00 +3e +00 +a6 +00 +38 +00 +4f +10 +a3 +10 +7e +60 +7a +20 +ac +50 +31 +10 +af +30 +31 +10 +14 +40 +42 +10 +1f +20 +73 +00 +05 +10 +47 +00 +ae +00 +5a +00 +d4 +00 +4e +00 +4f +10 +c7 +00 +63 +20 +a4 +00 +36 +10 +31 +00 +67 +00 +20 +00 +76 +00 +3d +00 +ab +00 +3a +00 +6b +00 +1e +00 +4d +00 +2c +00 +87 +00 +4a +00 +cb +00 +3c +00 +94 +00 +33 +00 +85 +00 +23 +00 +6d +00 +2b +00 +65 +00 +20 +00 +59 +00 +27 +00 +59 +00 +1d +00 +45 +00 +1a +00 +43 +00 +14 +00 +36 +00 +0f +00 +34 +00 +12 +00 +2d +00 +11 +00 +2f +00 +12 +00 +2d +00 +14 +00 +36 +00 +1a +00 +41 +00 +1c +00 +4a +00 +19 +00 +58 +00 +20 +00 +45 +00 +19 +00 +47 +00 +21 +00 +53 +00 +23 +00 +50 +00 +21 +00 +59 +00 +2c +00 +7c +00 +2b +00 +5d +00 +1b +00 +3d +00 +16 +00 +31 +00 +18 +00 +39 +00 +1e +00 +3a +00 +0f +00 +39 +00 +1f +00 +43 +00 +1a +00 +42 +00 +1a +00 +49 +00 +16 +00 +35 +00 +13 +00 +35 +00 +1c +00 +3e +00 +14 +00 +40 +00 +13 +00 +3a +00 +1e +00 +41 +00 +1a +00 +40 +00 +17 +00 +3b +00 +13 +00 +3c +00 +20 +00 +49 +00 +1b +00 +3b +00 +38 +00 +9a +00 +23 +00 +70 +00 +21 +00 +54 +00 +23 +00 +5c +00 +1e +00 +50 +00 +17 +00 +40 +00 +13 +00 +42 +00 +1c +00 +4b +00 +21 +00 +8e +00 +62 +00 +c3 +10 +69 +00 +4f +10 +a5 +00 +d6 +00 +51 +00 +81 +10 +37 +10 +f9 +30 +8c +00 +b6 +00 +35 +00 +f1 +00 +74 +00 +a7 +00 +25 +00 +54 +00 +1d +00 +53 +00 +1f +00 +4e +00 +1a +00 +4a +00 +1e +00 +42 +00 +1e +00 +78 +00 +2d +00 +70 +00 +21 +00 +8b +00 +83 +00 +ed +10 +a1 +00 +a1 +00 +22 +00 +4c +00 +19 +00 +41 +00 +2e +00 +81 +00 +20 +00 +51 +00 +36 +00 +70 +10 +b0 +00 +30 +10 +4f +00 +73 +00 +1f +00 +36 +00 +13 +00 +32 +00 +14 +00 +3f +00 +16 +00 +4b +00 +1e +00 +5a +00 +c1 +00 +a2 +20 +38 +00 +7c +00 +57 +00 +d9 +00 +24 +00 +57 +00 +19 +00 +40 +00 +14 +00 +46 +00 +1a +00 +3c +00 +17 +00 +38 +00 +19 +00 +4b +00 +24 +00 +6b +00 +20 +00 +51 +00 +21 +00 +75 +00 +57 +00 +4a +10 +5e +00 +d3 +00 +2c +00 +4d +00 +17 +00 +46 +00 +17 +00 +40 +00 +20 +00 +44 +00 +16 +00 +3a +00 +11 +00 +2b +00 +10 +00 +28 +00 +0f +00 +2f +00 +14 +00 +30 +00 +13 +00 +3d +00 +15 +00 +32 +00 +18 +00 +3e +00 +15 +00 +51 +00 +23 +00 +24 +10 +47 +00 +66 +00 +21 +00 +45 +00 +1e +00 +71 +00 +42 +00 +a1 +00 +35 +00 +85 +00 +1e +00 +56 +00 +21 +00 +82 +00 +35 +00 +d3 +00 +3d +00 +e3 +00 +35 +00 +91 +00 +21 +00 +64 +00 +1d +00 +43 +00 +15 +00 +3c +00 +13 +00 +31 +00 +14 +00 +29 +00 +11 +00 +3e +00 +17 +00 +3f +00 +1a +00 +36 +00 +10 +00 +35 +00 +0e +00 +43 +00 +2c +00 +65 +00 +2f +00 +94 +00 +24 +00 +7c +00 +23 +00 +65 +00 +16 +00 +37 +00 +19 +00 +8d +00 +81 +00 +97 +00 +36 +00 +68 +00 +00 +00 +00 +00 +82 +00 +69 +00 +8f +00 +62 +00 +8a +00 +68 +00 +91 +00 +66 +00 +8f +00 +71 +00 +a7 +00 +61 +00 +96 +00 +70 +00 +a5 +00 +73 +00 +aa +00 +64 +00 +a8 +00 +7b +00 +9c +00 +69 +00 +aa +00 +6c +00 +a9 +00 +72 +00 +97 +00 +6a +00 +9f +00 +6d +00 +9e +00 +7a +00 +a4 +00 +69 +00 +83 +00 +73 +00 +82 +00 +4d +00 +60 +00 +3c +00 +4f +00 +38 +00 +57 +00 +41 +00 +5f +00 +4c +00 +ba +00 +65 +00 +a1 +00 +4f +00 +69 +00 +36 +00 +68 +00 +43 +00 +72 +00 +68 +00 +b7 +00 +86 +00 +2b +10 +c1 +00 +f8 +00 +8e +00 +d9 +00 +99 +00 +ad +00 +75 +00 +8d +00 +5b +00 +77 +00 +4e +00 +75 +00 +48 +00 +72 +00 +49 +00 +79 +00 +57 +00 +71 +00 +4b +00 +86 +00 +5c +00 +7e +00 +58 +00 +87 +00 +a7 +00 +fe +00 +5b +00 +79 +00 +41 +00 +78 +00 +58 +00 +75 +00 +5a +00 +84 +00 +8b +00 +a0 +00 +a2 +00 +cf +00 +d3 +00 +59 +20 +a1 +10 +3b +40 +bf +30 +94 +80 +52 +50 +41 +90 +24 +50 +93 +70 +fe +30 +b3 +30 +a6 +10 +55 +10 +07 +10 +58 +10 +df +20 +16 +60 +06 +40 +10 +60 +25 +30 +ec +30 +8b +10 +a8 +10 +b7 +10 +8e +20 +b9 +30 +a8 +70 +4a +50 +bc +80 +8c +40 +3d +50 +9e +10 +0b +10 +a0 +00 +d0 +00 +8b +00 +b3 +00 +dd +00 +49 +10 +3c +20 +2b +60 +cb +50 +e9 +90 +4a +60 +2a +a0 +22 +60 +74 +80 +f4 +40 +eb +70 +59 +40 +a8 +40 +b1 +30 +fc +60 +7e +40 +e8 +50 +f3 +40 +ff +60 +1a +40 +c7 +50 +4c +20 +86 +20 +e3 +10 +61 +20 +81 +10 +4f +10 +17 +10 +e0 +10 +da +20 +93 +50 +6d +30 +e8 +50 +a1 +20 +71 +30 +85 +10 +46 +10 +d9 +00 +f9 +00 +a0 +00 +0b +10 +ba +10 +d0 +20 +d4 +00 +e5 +00 +8c +00 +cf +00 +c1 +00 +0a +10 +f3 +10 +22 +30 +13 +10 +c7 +00 +73 +00 +81 +00 +59 +00 +80 +00 +5c +00 +93 +00 +7d +00 +96 +00 +d0 +00 +a1 +10 +11 +20 +31 +60 +db +20 +b1 +30 +0f +20 +fd +30 +c2 +10 +f4 +10 +fc +00 +12 +10 +82 +00 +97 +00 +78 +00 +0e +10 +f6 +00 +88 +10 +24 +10 +7e +10 +cd +00 +d4 +10 +de +00 +b0 +00 +57 +00 +68 +00 +56 +00 +16 +10 +62 +00 +ca +00 +3c +00 +64 +00 +3a +00 +65 +00 +42 +00 +ac +00 +60 +00 +b8 +00 +42 +00 +94 +00 +45 +00 +77 +00 +34 +00 +89 +00 +32 +00 +57 +00 +2e +00 +4f +00 +2a +00 +44 +00 +28 +00 +54 +00 +22 +00 +3b +00 +1f +00 +35 +00 +1d +00 +35 +00 +21 +00 +31 +00 +22 +00 +27 +00 +20 +00 +30 +00 +26 +00 +47 +00 +2a +00 +44 +00 +25 +00 +4c +00 +23 +00 +44 +00 +2d +00 +4f +00 +29 +00 +53 +00 +2c +00 +4f +00 +2b +00 +53 +00 +32 +00 +5a +00 +27 +00 +52 +00 +2c +00 +4e +00 +2a +00 +3b +00 +22 +00 +38 +00 +2d +00 +36 +00 +24 +00 +3c +00 +29 +00 +4b +00 +26 +00 +3c +00 +20 +00 +43 +00 +22 +00 +39 +00 +1f +00 +31 +00 +1f +00 +3b +00 +20 +00 +3e +00 +25 +00 +3d +00 +1c +00 +3f +00 +21 +00 +4d +00 +23 +00 +3a +00 +1e +00 +3a +00 +1f +00 +36 +00 +25 +00 +3d +00 +35 +00 +82 +00 +3b +00 +65 +00 +35 +00 +46 +00 +38 +00 +4a +00 +2c +00 +4c +00 +24 +00 +42 +00 +28 +00 +3e +00 +28 +00 +3c +00 +37 +00 +4c +00 +4b +00 +8e +00 +59 +00 +d7 +00 +65 +00 +b3 +00 +ab +00 +5d +10 +d1 +20 +88 +40 +fa +10 +50 +20 +ef +00 +bd +00 +ab +00 +91 +10 +60 +00 +63 +00 +30 +00 +45 +00 +2f +00 +58 +00 +2c +00 +4e +00 +26 +00 +51 +00 +57 +00 +7e +00 +5b +00 +85 +00 +41 +00 +6c +00 +4b +00 +b9 +00 +89 +00 +c7 +10 +79 +00 +7a +00 +3f +00 +49 +00 +29 +00 +48 +00 +68 +00 +9d +00 +3c +00 +86 +00 +6c +00 +e1 +00 +7f +00 +71 +10 +fb +00 +8a +00 +42 +00 +35 +00 +21 +00 +2c +00 +23 +00 +3c +00 +25 +00 +5c +00 +96 +00 +de +00 +80 +00 +f5 +00 +7e +00 +68 +10 +22 +10 +77 +10 +b6 +00 +7e +00 +6a +00 +3d +00 +3f +00 +38 +00 +32 +00 +36 +00 +1e +00 +3d +00 +2a +00 +66 +00 +5c +00 +62 +00 +70 +00 +82 +00 +f9 +00 +d2 +00 +92 +00 +b0 +00 +73 +00 +7d +00 +3a +00 +4e +00 +27 +00 +41 +00 +23 +00 +49 +00 +26 +00 +3a +00 +21 +00 +29 +00 +21 +00 +32 +00 +1a +00 +2d +00 +1f +00 +30 +00 +1b +00 +33 +00 +1d +00 +43 +00 +1f +00 +3f +00 +22 +00 +42 +00 +28 +00 +4f +00 +2a +00 +89 +00 +3a +00 +4d +00 +31 +00 +53 +00 +3f +00 +71 +00 +46 +00 +6c +00 +47 +00 +50 +00 +3a +00 +44 +00 +5e +00 +70 +00 +69 +00 +8e +00 +6c +00 +76 +00 +48 +00 +4f +00 +31 +00 +48 +00 +22 +00 +32 +00 +1a +00 +33 +00 +22 +00 +2c +00 +1c +00 +2c +00 +17 +00 +37 +00 +1f +00 +45 +00 +1e +00 +3c +00 +1d +00 +2d +00 +24 +00 +3c +00 +2d +00 +67 +00 +36 +00 +52 +00 +31 +00 +62 +00 +30 +00 +43 +00 +22 +00 +45 +00 +43 +00 +1d +10 +5b +00 +b0 +00 +61 +00 +00 +00 +00 +00 +35 +00 +9c +00 +31 +00 +ab +00 +39 +00 +a0 +00 +41 +00 +9c +00 +39 +00 +a8 +00 +38 +00 +aa +00 +2d +00 +aa +00 +38 +00 +9f +00 +40 +00 +a4 +00 +3d +00 +9b +00 +40 +00 +9f +00 +3e +00 +af +00 +3c +00 +a3 +00 +3b +00 +9c +00 +3f +00 +a3 +00 +38 +00 +9e +00 +39 +00 +b2 +00 +3a +00 +1a +10 +57 +00 +91 +00 +28 +00 +56 +00 +22 +00 +57 +00 +23 +00 +62 +00 +24 +00 +64 +00 +36 +00 +83 +00 +25 +00 +75 +00 +1d +00 +63 +00 +1f +00 +5d +00 +25 +00 +75 +00 +36 +00 +da +00 +4c +00 +ad +00 +3f +00 +a2 +00 +32 +00 +b6 +00 +3e +00 +b3 +00 +2f +00 +8a +00 +2d +00 +83 +00 +25 +00 +73 +00 +26 +00 +7b +00 +30 +00 +75 +00 +2f +00 +70 +00 +2a +00 +7a +00 +30 +00 +78 +00 +38 +00 +dc +00 +5b +00 +c5 +00 +2c +00 +77 +00 +35 +00 +80 +00 +2f +00 +a2 +00 +48 +00 +dd +00 +9c +00 +6a +10 +7c +00 +f4 +00 +79 +00 +81 +10 +bd +00 +37 +30 +96 +20 +b7 +70 +22 +30 +27 +90 +38 +30 +5b +80 +80 +20 +9f +30 +89 +00 +1a +10 +b4 +00 +7e +40 +93 +20 +12 +70 +6e +10 +fc +20 +da +00 +bd +10 +74 +00 +80 +10 +e3 +00 +de +30 +ee +10 +e2 +50 +29 +20 +86 +60 +8a +10 +87 +20 +5f +00 +f7 +00 +4b +00 +c6 +00 +47 +00 +c8 +00 +61 +00 +a0 +10 +97 +10 +c3 +70 +2c +30 +3b +90 +87 +20 +3d +80 +09 +30 +52 +70 +0c +20 +9a +60 +72 +10 +80 +30 +74 +20 +55 +70 +cc +20 +ee +70 +ba +20 +5c +60 +41 +10 +9f +20 +d9 +00 +b3 +30 +d7 +00 +a7 +10 +6f +00 +2f +10 +cd +00 +07 +40 +a7 +10 +68 +40 +0a +10 +da +20 +a4 +00 +82 +10 +53 +00 +ec +00 +56 +00 +ed +00 +9e +00 +47 +30 +ee +00 +a4 +10 +5f +00 +df +00 +52 +00 +e4 +00 +d2 +00 +e6 +30 +4d +10 +19 +20 +3a +00 +98 +00 +31 +00 +86 +00 +28 +00 +8a +00 +2c +00 +87 +00 +3e +00 +b4 +00 +62 +00 +b6 +10 +0a +10 +e9 +30 +b3 +00 +c5 +10 +8d +00 +c6 +10 +74 +00 +17 +10 +39 +00 +a0 +00 +39 +00 +bf +00 +ad +00 +25 +20 +b1 +00 +a8 +10 +6d +00 +41 +10 +6f +00 +14 +10 +40 +00 +6f +00 +23 +00 +76 +00 +37 +00 +a8 +00 +44 +00 +87 +00 +2c +00 +56 +00 +1f +00 +68 +00 +3a +00 +bd +00 +3f +00 +8d +00 +29 +00 +6e +00 +33 +00 +6e +00 +40 +00 +7c +00 +1c +00 +48 +00 +1e +00 +4f +00 +21 +00 +4c +00 +1c +00 +2f +00 +14 +00 +34 +00 +17 +00 +2c +00 +15 +00 +2a +00 +0f +00 +28 +00 +17 +00 +38 +00 +16 +00 +45 +00 +16 +00 +47 +00 +1e +00 +46 +00 +18 +00 +3f +00 +1d +00 +61 +00 +1e +00 +59 +00 +23 +00 +53 +00 +1f +00 +44 +00 +17 +00 +4e +00 +1e +00 +54 +00 +16 +00 +4c +00 +20 +00 +3a +00 +19 +00 +46 +00 +1d +00 +39 +00 +15 +00 +43 +00 +1d +00 +48 +00 +48 +00 +7e +00 +1e +00 +40 +00 +1b +00 +30 +00 +1b +00 +3f +00 +16 +00 +40 +00 +1b +00 +48 +00 +1a +00 +3a +00 +17 +00 +3f +00 +16 +00 +45 +00 +16 +00 +37 +00 +19 +00 +3d +00 +18 +00 +4d +00 +18 +00 +37 +00 +1b +00 +4f +00 +2e +00 +66 +00 +1e +00 +53 +00 +1c +00 +3b +00 +10 +00 +3d +00 +1c +00 +40 +00 +16 +00 +43 +00 +13 +00 +45 +00 +18 +00 +37 +00 +1a +00 +4e +00 +2e +00 +7f +00 +31 +00 +9a +00 +35 +00 +bc +00 +5b +10 +88 +50 +4d +20 +ec +30 +e2 +00 +85 +10 +74 +00 +10 +10 +53 +00 +9f +00 +26 +00 +66 +00 +18 +00 +43 +00 +1d +00 +50 +00 +23 +00 +55 +00 +2c +00 +e0 +00 +8f +00 +b5 +00 +36 +00 +79 +00 +27 +00 +80 +00 +3e +00 +b2 +00 +46 +00 +a2 +00 +1d +00 +4e +00 +14 +00 +3d +00 +18 +00 +77 +00 +44 +00 +7d +00 +34 +00 +76 +00 +3c +00 +a1 +00 +94 +00 +75 +10 +45 +00 +63 +00 +1a +00 +36 +00 +17 +00 +3b +00 +1a +00 +3c +00 +44 +00 +9e +10 +3d +00 +96 +00 +3f +00 +c4 +00 +9b +00 +79 +20 +f2 +00 +12 +20 +5e +00 +9e +00 +2b +00 +5a +00 +19 +00 +3e +00 +17 +00 +2e +00 +13 +00 +39 +00 +32 +00 +e1 +00 +2f +00 +a7 +00 +fe +00 +54 +30 +6a +00 +f5 +00 +3a +00 +7b +00 +1f +00 +50 +00 +1a +00 +41 +00 +1e +00 +46 +00 +17 +00 +38 +00 +16 +00 +34 +00 +14 +00 +2d +00 +10 +00 +3a +00 +10 +00 +2d +00 +0e +00 +35 +00 +18 +00 +39 +00 +1e +00 +32 +00 +19 +00 +3f +00 +18 +00 +4d +00 +27 +00 +6f +00 +30 +00 +5a +00 +1c +00 +4d +00 +18 +00 +55 +00 +28 +00 +5b +00 +18 +00 +4c +00 +19 +00 +31 +00 +19 +00 +35 +00 +1c +00 +49 +00 +18 +00 +55 +00 +17 +00 +45 +00 +16 +00 +3c +00 +19 +00 +3c +00 +13 +00 +33 +00 +12 +00 +2c +00 +13 +00 +31 +00 +17 +00 +39 +00 +1c +00 +3a +00 +19 +00 +2b +00 +16 +00 +39 +00 +16 +00 +35 +00 +18 +00 +5e +00 +29 +00 +60 +00 +24 +00 +50 +00 +19 +00 +48 +00 +16 +00 +40 +00 +16 +00 +5a +00 +33 +00 +8d +00 +35 +00 +8a +00 +00 +00 +00 +00 +98 +00 +62 +00 +a7 +00 +64 +00 +99 +00 +68 +00 +a0 +00 +6b +00 +a2 +00 +7a +00 +99 +00 +6a +00 +9c +00 +6b +00 +9b +00 +72 +00 +a0 +00 +6b +00 +a7 +00 +68 +00 +a2 +00 +69 +00 +a0 +00 +6a +00 +9a +00 +78 +00 +a3 +00 +74 +00 +a5 +00 +71 +00 +a8 +00 +5f +00 +aa +00 +5a +00 +a1 +00 +60 +00 +b1 +00 +55 +00 +65 +00 +35 +00 +52 +00 +33 +00 +58 +00 +36 +00 +5a +00 +45 +00 +7e +00 +4a +00 +64 +00 +39 +00 +69 +00 +39 +00 +5d +00 +3f +00 +71 +00 +48 +00 +8c +00 +71 +00 +b3 +00 +7f +00 +99 +00 +6e +00 +90 +00 +78 +00 +e1 +00 +ef +00 +0e +10 +72 +00 +7f +00 +52 +00 +6d +00 +53 +00 +6e +00 +49 +00 +71 +00 +53 +00 +6d +00 +53 +00 +74 +00 +47 +00 +8d +00 +4b +00 +7c +00 +6e +00 +a0 +00 +69 +00 +89 +00 +5a +00 +73 +00 +4f +00 +89 +00 +6c +00 +f9 +00 +13 +10 +eb +30 +4b +10 +7e +10 +c0 +00 +cf +00 +ca +00 +76 +10 +6d +10 +8e +20 +3f +20 +2f +40 +fc +30 +e6 +80 +31 +50 +3d +80 +67 +20 +db +10 +19 +10 +6a +10 +ad +20 +16 +50 +f2 +20 +8f +30 +9e +10 +a4 +10 +51 +10 +85 +10 +7c +30 +9a +50 +41 +50 +ec +70 +d0 +50 +05 +70 +66 +40 +25 +40 +df +10 +43 +10 +b6 +00 +d0 +00 +79 +00 +cb +00 +b7 +00 +e9 +00 +51 +10 +51 +20 +69 +30 +f3 +70 +c5 +30 +b1 +40 +b6 +30 +b7 +70 +d4 +40 +94 +50 +17 +40 +8e +40 +0d +30 +a2 +40 +25 +50 +e1 +80 +98 +50 +2d +80 +6a +30 +7b +30 +f3 +10 +42 +20 +b9 +20 +84 +30 +7e +10 +3b +10 +2d +10 +d9 +10 +aa +20 +69 +40 +1a +30 +0e +30 +b5 +10 +a4 +10 +da +00 +f6 +00 +ba +00 +f0 +00 +ba +00 +1a +10 +db +00 +b3 +10 +ef +00 +0b +10 +91 +00 +d9 +00 +56 +10 +38 +30 +3e +20 +2e +30 +4d +10 +1d +10 +71 +00 +91 +00 +48 +00 +79 +00 +59 +00 +85 +00 +4d +00 +8d +00 +98 +00 +f4 +00 +07 +10 +8c +10 +44 +10 +c4 +10 +1d +10 +fe +00 +ab +00 +05 +10 +9c +00 +a7 +00 +64 +00 +75 +00 +63 +00 +e8 +00 +8e +00 +63 +10 +ae +00 +fe +00 +a0 +00 +d0 +00 +65 +00 +8b +00 +4b +00 +5b +00 +3a +00 +5d +00 +3a +00 +70 +00 +31 +00 +6e +00 +2d +00 +4e +00 +3e +00 +70 +00 +41 +00 +83 +00 +3b +00 +6f +00 +35 +00 +74 +00 +35 +00 +80 +00 +2f +00 +52 +00 +23 +00 +42 +00 +2d +00 +50 +00 +24 +00 +44 +00 +1e +00 +34 +00 +23 +00 +30 +00 +23 +00 +3a +00 +1f +00 +2c +00 +25 +00 +3c +00 +22 +00 +41 +00 +24 +00 +4a +00 +22 +00 +48 +00 +29 +00 +4b +00 +28 +00 +55 +00 +37 +00 +4e +00 +2f +00 +63 +00 +20 +00 +53 +00 +25 +00 +45 +00 +2c +00 +48 +00 +2b +00 +45 +00 +24 +00 +43 +00 +21 +00 +38 +00 +21 +00 +3b +00 +27 +00 +37 +00 +24 +00 +40 +00 +2f +00 +68 +00 +30 +00 +51 +00 +26 +00 +42 +00 +23 +00 +43 +00 +20 +00 +3c +00 +25 +00 +3f +00 +1e +00 +3a +00 +24 +00 +35 +00 +24 +00 +43 +00 +1e +00 +38 +00 +26 +00 +44 +00 +47 +00 +46 +00 +3c +00 +40 +00 +27 +00 +3e +00 +2d +00 +4c +00 +2a +00 +54 +00 +28 +00 +49 +00 +25 +00 +35 +00 +23 +00 +46 +00 +2c +00 +43 +00 +1f +00 +40 +00 +24 +00 +43 +00 +2f +00 +49 +00 +3a +00 +62 +00 +4e +00 +82 +00 +91 +00 +ad +00 +fb +00 +5c +30 +d5 +20 +35 +50 +e8 +20 +33 +40 +16 +10 +09 +10 +8e +00 +8b +00 +4a +00 +79 +00 +8c +00 +78 +00 +2b +00 +4d +00 +2a +00 +4a +00 +54 +00 +96 +00 +21 +10 +75 +30 +ac +00 +92 +00 +54 +00 +6d +00 +4e +00 +7e +00 +45 +00 +84 +00 +37 +00 +62 +00 +3a +00 +43 +00 +25 +00 +3e +00 +29 +00 +5a +00 +3b +00 +6c +00 +44 +00 +90 +00 +65 +00 +0d +10 +05 +10 +f0 +00 +64 +00 +55 +00 +27 +00 +3f +00 +23 +00 +3b +00 +28 +00 +6d +00 +4d +00 +a3 +00 +54 +00 +7f +00 +a2 +00 +cd +10 +13 +20 +ee +20 +a5 +10 +d1 +30 +30 +10 +71 +10 +77 +00 +4a +00 +2b +00 +36 +00 +22 +00 +38 +00 +30 +00 +3b +00 +2b +00 +65 +00 +6e +00 +b1 +10 +26 +10 +90 +30 +d6 +00 +df +00 +5c +00 +64 +00 +33 +00 +41 +00 +2a +00 +4f +00 +24 +00 +3e +00 +23 +00 +30 +00 +1e +00 +2b +00 +1e +00 +25 +00 +29 +00 +34 +00 +19 +00 +36 +00 +27 +00 +3b +00 +24 +00 +3f +00 +1e +00 +3a +00 +27 +00 +4a +00 +20 +00 +53 +00 +31 +00 +5b +00 +36 +00 +5b +00 +40 +00 +46 +00 +32 +00 +45 +00 +27 +00 +49 +00 +2f +00 +37 +00 +24 +00 +2f +00 +29 +00 +3f +00 +34 +00 +3d +00 +33 +00 +3e +00 +2d +00 +3f +00 +28 +00 +38 +00 +28 +00 +2f +00 +1c +00 +37 +00 +26 +00 +31 +00 +1f +00 +31 +00 +22 +00 +36 +00 +22 +00 +3a +00 +17 +00 +39 +00 +1e +00 +3b +00 +22 +00 +3a +00 +3b +00 +70 +00 +46 +00 +b1 +00 +49 +00 +54 +00 +36 +00 +40 +00 +2c +00 +32 +00 +35 +00 +7b +00 +45 +00 +7a +00 +34 +00 +00 +00 +00 +00 +32 +00 +96 +00 +2d +00 +9a +00 +30 +00 +97 +00 +3c +00 +a0 +00 +30 +00 +93 +00 +3a +00 +9f +00 +41 +00 +99 +00 +3c +00 +b2 +00 +3c +00 +a6 +00 +3e +00 +a5 +00 +3c +00 +9f +00 +3b +00 +b3 +00 +40 +00 +a8 +00 +3e +00 +a6 +00 +38 +00 +b0 +00 +36 +00 +97 +00 +38 +00 +87 +00 +2c +00 +6b +00 +2c +00 +6f +00 +26 +00 +58 +00 +22 +00 +55 +00 +1c +00 +5a +00 +24 +00 +5a +00 +25 +00 +77 +00 +2a +00 +6b +00 +26 +00 +66 +00 +22 +00 +69 +00 +23 +00 +6b +00 +27 +00 +98 +00 +2f +00 +83 +00 +2a +00 +7d +00 +2d +00 +89 +00 +3f +00 +06 +10 +63 +00 +cb +00 +33 +00 +88 +00 +2b +00 +6f +00 +2f +00 +6c +00 +2b +00 +70 +00 +27 +00 +6e +00 +28 +00 +76 +00 +25 +00 +7c +00 +33 +00 +8a +00 +3f +00 +94 +00 +2d +00 +76 +00 +2e +00 +7d +00 +2e +00 +9f +00 +3a +00 +17 +10 +aa +00 +e0 +10 +70 +00 +18 +10 +50 +00 +eb +00 +61 +00 +7a +10 +9b +00 +06 +20 +d3 +00 +a4 +30 +77 +20 +03 +70 +39 +20 +14 +40 +87 +00 +42 +10 +ae +00 +2c +40 +3a +20 +cc +40 +c5 +00 +b5 +10 +7b +00 +32 +10 +06 +10 +0b +60 +e6 +20 +27 +90 +56 +30 +57 +90 +23 +30 +d1 +80 +26 +20 +17 +30 +6c +00 +f7 +00 +39 +00 +b4 +00 +3e +00 +c2 +00 +49 +00 +38 +10 +8e +00 +dd +20 +b5 +10 +56 +40 +fa +00 +3e +30 +65 +20 +0e +80 +dc +10 +a2 +50 +48 +10 +c5 +30 +6c +10 +b2 +60 +17 +30 +08 +90 +bd +20 +3a +50 +da +00 +e9 +10 +0a +10 +34 +50 +5e +10 +4a +20 +6f +00 +25 +10 +19 +10 +a3 +40 +5d +20 +20 +60 +22 +10 +f9 +10 +6e +00 +f6 +00 +57 +00 +eb +00 +66 +00 +1c +10 +5a +00 +16 +10 +98 +00 +ec +10 +65 +00 +f0 +00 +6f +00 +eb +10 +62 +10 +c0 +40 +ee +00 +cb +10 +41 +00 +a2 +00 +36 +00 +83 +00 +25 +00 +7c +00 +2f +00 +7a +00 +34 +00 +94 +00 +3a +00 +e6 +00 +57 +00 +0b +10 +43 +00 +dc +00 +3f +00 +ac +00 +35 +00 +99 +00 +25 +00 +6c +00 +22 +00 +6b +00 +30 +00 +96 +00 +36 +00 +a6 +00 +39 +00 +a5 +00 +3b +00 +a2 +00 +25 +00 +65 +00 +1a +00 +56 +00 +1e +00 +58 +00 +23 +00 +51 +00 +1e +00 +67 +00 +20 +00 +57 +00 +23 +00 +67 +00 +2d +00 +72 +00 +2a +00 +68 +00 +1d +00 +66 +00 +1f +00 +4a +00 +17 +00 +3d +00 +1d +00 +4d +00 +16 +00 +4c +00 +13 +00 +28 +00 +13 +00 +2e +00 +18 +00 +30 +00 +16 +00 +2d +00 +14 +00 +36 +00 +1d +00 +4d +00 +18 +00 +49 +00 +1c +00 +46 +00 +1f +00 +4c +00 +21 +00 +4c +00 +21 +00 +57 +00 +22 +00 +5c +00 +1e +00 +5b +00 +23 +00 +5a +00 +1a +00 +50 +00 +17 +00 +3e +00 +1a +00 +48 +00 +18 +00 +3c +00 +1a +00 +36 +00 +16 +00 +3b +00 +20 +00 +39 +00 +1f +00 +44 +00 +1e +00 +51 +00 +1f +00 +45 +00 +16 +00 +3a +00 +16 +00 +40 +00 +17 +00 +45 +00 +1b +00 +41 +00 +15 +00 +37 +00 +15 +00 +3b +00 +10 +00 +32 +00 +18 +00 +3f +00 +22 +00 +a0 +00 +6a +00 +71 +00 +1d +00 +49 +00 +14 +00 +58 +00 +1d +00 +51 +00 +1d +00 +41 +00 +17 +00 +44 +00 +16 +00 +3f +00 +19 +00 +37 +00 +17 +00 +39 +00 +13 +00 +44 +00 +1f +00 +4b +00 +20 +00 +51 +00 +1f +00 +59 +00 +31 +00 +ce +00 +3b +00 +04 +10 +47 +10 +63 +40 +8c +10 +bd +40 +f1 +00 +a0 +10 +35 +00 +8e +00 +2a +00 +6e +00 +42 +00 +15 +10 +2b +00 +5c +00 +1d +00 +43 +00 +1c +00 +5e +00 +7b +00 +a7 +10 +a6 +00 +48 +10 +39 +00 +8b +00 +32 +00 +6d +00 +25 +00 +76 +00 +21 +00 +55 +00 +1a +00 +53 +00 +1d +00 +4e +00 +1b +00 +42 +00 +16 +00 +4f +00 +24 +00 +75 +00 +21 +00 +85 +00 +54 +00 +f5 +10 +4f +00 +85 +00 +21 +00 +44 +00 +1a +00 +39 +00 +1a +00 +41 +00 +21 +00 +6e +00 +23 +00 +7c +00 +2b +00 +94 +00 +08 +10 +51 +40 +ce +00 +ed +10 +0b +10 +1e +20 +55 +00 +c2 +00 +20 +00 +3d +00 +18 +00 +2b +00 +10 +00 +2f +00 +12 +00 +40 +00 +1a +00 +69 +00 +3d +00 +fb +00 +8a +00 +67 +10 +36 +00 +88 +00 +21 +00 +48 +00 +18 +00 +3b +00 +1b +00 +40 +00 +19 +00 +3b +00 +18 +00 +37 +00 +0e +00 +33 +00 +15 +00 +2f +00 +15 +00 +30 +00 +17 +00 +32 +00 +1a +00 +3f +00 +17 +00 +37 +00 +0f +00 +3c +00 +13 +00 +4b +00 +1e +00 +4b +00 +1d +00 +50 +00 +2f +00 +50 +00 +19 +00 +3b +00 +15 +00 +3b +00 +0d +00 +31 +00 +14 +00 +39 +00 +18 +00 +2e +00 +11 +00 +34 +00 +14 +00 +37 +00 +13 +00 +2d +00 +13 +00 +31 +00 +12 +00 +32 +00 +18 +00 +33 +00 +16 +00 +3b +00 +11 +00 +2d +00 +1a +00 +3f +00 +14 +00 +35 +00 +22 +00 +2e +00 +1b +00 +39 +00 +16 +00 +4b +00 +20 +00 +a1 +00 +20 +00 +64 +00 +37 +00 +b1 +00 +3f +00 +81 +00 +1e +00 +31 +00 +16 +00 +49 +00 +34 +00 +bd +00 +22 +00 +57 +00 +00 +00 +00 +00 +93 +00 +64 +00 +a8 +00 +6b +00 +9f +00 +6d +00 +9f +00 +67 +00 +94 +00 +65 +00 +9a +00 +74 +00 +98 +00 +62 +00 +9e +00 +69 +00 +9f +00 +6f +00 +9e +00 +69 +00 +a2 +00 +6e +00 +a8 +00 +6c +00 +a8 +00 +79 +00 +ab +00 +65 +00 +ab +00 +6c +00 +9d +00 +64 +00 +89 +00 +50 +00 +85 +00 +45 +00 +68 +00 +4b +00 +5e +00 +45 +00 +4f +00 +35 +00 +57 +00 +33 +00 +51 +00 +37 +00 +6f +00 +42 +00 +65 +00 +43 +00 +6c +00 +42 +00 +60 +00 +3b +00 +61 +00 +46 +00 +77 +00 +57 +00 +81 +00 +4c +00 +77 +00 +56 +00 +7e +00 +7d +00 +aa +00 +f7 +00 +f9 +00 +09 +10 +ca +00 +63 +00 +87 +00 +50 +00 +6f +00 +40 +00 +71 +00 +4c +00 +7d +00 +48 +00 +7d +00 +45 +00 +88 +00 +82 +00 +92 +00 +89 +00 +ac +00 +76 +00 +8b +00 +6a +00 +7e +00 +5f +00 +7f +00 +65 +00 +8d +00 +8a +00 +f3 +00 +7f +10 +79 +20 +0d +10 +03 +10 +ac +00 +ec +00 +0d +10 +4d +10 +64 +10 +c7 +10 +54 +20 +96 +30 +2c +40 +b4 +60 +c7 +20 +dd +10 +bb +10 +fa +10 +f7 +30 +0e +80 +2c +30 +85 +20 +3d +10 +4c +10 +bc +10 +f9 +30 +b4 +40 +f4 +80 +63 +60 +6b +90 +1e +60 +65 +90 +92 +50 +42 +80 +b8 +20 +d2 +10 +d3 +00 +b7 +00 +7a +00 +ab +00 +8f +00 +bd +00 +fc +00 +69 +10 +72 +10 +47 +20 +ec +10 +5d +20 +58 +20 +7e +40 +d5 +30 +9d +50 +07 +30 +2e +30 +b6 +30 +29 +50 +3a +40 +1c +80 +7a +50 +a3 +80 +6b +30 +db +20 +b5 +10 +06 +20 +83 +20 +69 +50 +39 +20 +8f +10 +26 +20 +bc +30 +0e +30 +ee +50 +52 +20 +b8 +20 +51 +10 +0f +10 +d6 +00 +30 +10 +ff +10 +4a +30 +37 +20 +93 +10 +f8 +00 +4b +10 +c1 +00 +4f +10 +09 +10 +8c +10 +c7 +20 +71 +50 +e8 +20 +08 +30 +23 +10 +e3 +00 +66 +00 +93 +00 +4c +00 +74 +00 +41 +00 +76 +00 +49 +00 +75 +00 +5c +00 +84 +00 +9f +00 +c2 +00 +8f +00 +a7 +00 +79 +00 +92 +00 +6d +00 +82 +00 +55 +00 +6c +00 +3e +00 +5d +00 +4c +00 +71 +00 +59 +00 +74 +00 +69 +00 +76 +00 +56 +00 +69 +00 +3f +00 +69 +00 +36 +00 +55 +00 +33 +00 +4f +00 +30 +00 +5b +00 +29 +00 +5b +00 +2b +00 +55 +00 +29 +00 +59 +00 +2f +00 +65 +00 +36 +00 +64 +00 +2f +00 +4f +00 +26 +00 +40 +00 +24 +00 +37 +00 +30 +00 +45 +00 +28 +00 +44 +00 +1d +00 +3a +00 +21 +00 +2d +00 +1e +00 +30 +00 +1d +00 +31 +00 +22 +00 +34 +00 +25 +00 +53 +00 +26 +00 +40 +00 +26 +00 +41 +00 +24 +00 +3d +00 +26 +00 +4d +00 +2a +00 +54 +00 +23 +00 +5b +00 +2c +00 +5e +00 +2c +00 +4f +00 +27 +00 +46 +00 +2b +00 +41 +00 +28 +00 +47 +00 +28 +00 +43 +00 +20 +00 +40 +00 +21 +00 +3d +00 +20 +00 +38 +00 +30 +00 +47 +00 +25 +00 +4e +00 +23 +00 +47 +00 +27 +00 +47 +00 +26 +00 +38 +00 +24 +00 +39 +00 +20 +00 +38 +00 +1c +00 +38 +00 +21 +00 +3d +00 +1c +00 +39 +00 +1f +00 +39 +00 +28 +00 +55 +00 +5f +00 +92 +10 +60 +00 +60 +00 +3d +00 +56 +00 +20 +00 +50 +00 +27 +00 +4c +00 +2a +00 +3a +00 +28 +00 +4e +00 +28 +00 +3a +00 +27 +00 +45 +00 +27 +00 +47 +00 +23 +00 +46 +00 +5a +00 +51 +00 +48 +00 +60 +00 +66 +00 +65 +00 +61 +00 +76 +00 +b1 +00 +af +10 +18 +10 +5b +20 +34 +10 +93 +10 +cb +00 +bf +00 +83 +00 +75 +00 +4b +00 +69 +00 +45 +00 +79 +00 +3e +00 +61 +00 +3b +00 +4c +00 +3a +00 +95 +00 +6a +00 +25 +10 +84 +00 +c3 +00 +5f +00 +83 +00 +40 +00 +6c +00 +33 +00 +4e +00 +30 +00 +44 +00 +1f +00 +49 +00 +26 +00 +3b +00 +25 +00 +45 +00 +2c +00 +4c +00 +2c +00 +56 +00 +4a +00 +8c +00 +54 +00 +97 +00 +46 +00 +62 +00 +3b +00 +3f +00 +24 +00 +3f +00 +2d +00 +49 +00 +2f +00 +5c +00 +44 +00 +5c +00 +65 +00 +e6 +00 +be +00 +78 +10 +dd +00 +27 +10 +85 +00 +d6 +00 +5a +00 +5c +00 +2d +00 +3c +00 +26 +00 +32 +00 +25 +00 +34 +00 +29 +00 +3b +00 +42 +00 +76 +00 +56 +00 +a5 +00 +6d +00 +9d +00 +4e +00 +46 +00 +2a +00 +3c +00 +17 +00 +3a +00 +1f +00 +3e +00 +21 +00 +34 +00 +25 +00 +34 +00 +20 +00 +2c +00 +25 +00 +2f +00 +1b +00 +39 +00 +16 +00 +34 +00 +22 +00 +4a +00 +1a +00 +36 +00 +23 +00 +32 +00 +21 +00 +3a +00 +63 +00 +8c +00 +b6 +00 +fb +00 +66 +00 +42 +00 +28 +00 +31 +00 +1f +00 +35 +00 +1c +00 +2f +00 +22 +00 +2d +00 +23 +00 +31 +00 +22 +00 +38 +00 +23 +00 +36 +00 +21 +00 +2f +00 +21 +00 +39 +00 +23 +00 +36 +00 +20 +00 +38 +00 +18 +00 +36 +00 +1d +00 +41 +00 +1b +00 +39 +00 +26 +00 +37 +00 +1d +00 +40 +00 +1f +00 +36 +00 +21 +00 +3a +00 +22 +00 +4f +00 +30 +00 +62 +00 +35 +00 +f3 +00 +56 +00 +63 +00 +27 +00 +37 +00 +20 +00 +47 +00 +2d +00 +5b +00 +2b +00 +00 +00 +00 +00 +3e +00 +9b +00 +33 +00 +93 +00 +36 +00 +9b +00 +3a +00 +9c +00 +38 +00 +9f +00 +33 +00 +97 +00 +3a +00 +95 +00 +35 +00 +9c +00 +37 +00 +9e +00 +3a +00 +a7 +00 +39 +00 +a6 +00 +35 +00 +99 +00 +37 +00 +a2 +00 +36 +00 +9c +00 +39 +00 +a4 +00 +2d +00 +8b +00 +37 +00 +82 +00 +24 +00 +73 +00 +1f +00 +60 +00 +28 +00 +68 +00 +1f +00 +51 +00 +1d +00 +4c +00 +26 +00 +60 +00 +29 +00 +61 +00 +26 +00 +5d +00 +22 +00 +57 +00 +26 +00 +67 +00 +23 +00 +67 +00 +22 +00 +6a +00 +2b +00 +6a +00 +2c +00 +7f +00 +36 +00 +94 +00 +6e +00 +2e +20 +1c +10 +f3 +20 +62 +00 +aa +00 +3b +00 +8c +00 +20 +00 +69 +00 +25 +00 +74 +00 +29 +00 +78 +00 +38 +00 +72 +00 +2a +00 +8c +00 +a4 +00 +8e +10 +48 +00 +a1 +00 +32 +00 +86 +00 +2f +00 +a4 +00 +2e +00 +95 +00 +3e +00 +a4 +00 +5d +00 +6c +20 +b6 +10 +6e +30 +65 +00 +d4 +00 +58 +00 +ed +00 +4f +00 +1e +10 +84 +00 +87 +20 +0d +20 +98 +70 +a7 +20 +32 +50 +cf +00 +d9 +10 +91 +10 +25 +70 +bd +20 +45 +50 +b2 +00 +62 +10 +64 +00 +7b +10 +21 +20 +ef +70 +7a +30 +af +90 +47 +30 +68 +90 +0a +30 +d1 +70 +13 +20 +74 +40 +96 +00 +2d +10 +4a +00 +b4 +00 +3f +00 +a8 +00 +48 +00 +e0 +00 +5a +00 +76 +10 +89 +00 +bf +10 +85 +00 +de +10 +a7 +10 +cd +50 +20 +20 +ca +40 +2e +10 +1e +50 +3c +20 +4a +50 +99 +20 +ec +80 +c8 +20 +ff +40 +b6 +00 +8b +10 +96 +00 +ba +20 +32 +20 +71 +50 +dd +00 +1b +30 +f0 +10 +03 +50 +41 +10 +d7 +20 +94 +00 +42 +10 +59 +00 +1a +10 +2d +10 +be +40 +4c +20 +3d +60 +0d +10 +91 +10 +67 +00 +e9 +00 +5c +00 +67 +10 +9c +10 +bb +50 +9a +20 +ff +50 +e3 +00 +74 +10 +3c +00 +a4 +00 +30 +00 +79 +00 +32 +00 +6a +00 +26 +00 +71 +00 +2d +00 +6b +00 +2e +00 +85 +00 +35 +00 +94 +00 +30 +00 +7a +00 +27 +00 +6d +00 +23 +00 +68 +00 +25 +00 +5f +00 +20 +00 +59 +00 +1c +00 +73 +00 +26 +00 +75 +00 +28 +00 +60 +00 +19 +00 +52 +00 +1c +00 +42 +00 +21 +00 +51 +00 +1a +00 +52 +00 +19 +00 +44 +00 +1a +00 +44 +00 +1b +00 +4b +00 +18 +00 +4d +00 +20 +00 +50 +00 +1d +00 +4a +00 +18 +00 +39 +00 +10 +00 +34 +00 +1a +00 +43 +00 +1e +00 +3d +00 +1d +00 +45 +00 +1c +00 +39 +00 +14 +00 +3a +00 +14 +00 +37 +00 +10 +00 +3e +00 +20 +00 +48 +00 +21 +00 +4a +00 +1e +00 +48 +00 +14 +00 +39 +00 +1e +00 +3f +00 +1d +00 +43 +00 +1a +00 +52 +00 +27 +00 +5d +00 +1e +00 +58 +00 +1c +00 +46 +00 +1a +00 +3e +00 +18 +00 +48 +00 +1b +00 +46 +00 +1c +00 +3e +00 +15 +00 +4a +00 +14 +00 +3b +00 +17 +00 +3d +00 +1a +00 +47 +00 +1a +00 +45 +00 +1d +00 +43 +00 +15 +00 +31 +00 +1c +00 +3b +00 +1a +00 +3f +00 +16 +00 +3f +00 +10 +00 +40 +00 +13 +00 +38 +00 +15 +00 +3f +00 +1b +00 +42 +00 +2e +00 +88 +00 +2f +00 +8b +00 +2f +00 +59 +00 +1f +00 +46 +00 +1a +00 +4a +00 +1a +00 +72 +00 +20 +00 +47 +00 +16 +00 +3c +00 +16 +00 +3c +00 +1b +00 +3c +00 +16 +00 +4a +00 +2a +00 +2a +10 +47 +00 +a1 +00 +58 +00 +32 +10 +5c +00 +81 +00 +20 +00 +86 +00 +5a +00 +2e +10 +62 +00 +46 +10 +50 +00 +e7 +00 +49 +00 +27 +10 +28 +00 +61 +00 +20 +00 +6e +00 +32 +00 +79 +00 +26 +00 +59 +00 +28 +00 +4f +00 +21 +00 +95 +00 +3b +00 +9e +00 +39 +00 +82 +00 +2e +00 +89 +00 +25 +00 +53 +00 +1a +00 +46 +00 +1b +00 +37 +00 +1a +00 +44 +00 +1d +00 +3d +00 +19 +00 +35 +00 +15 +00 +41 +00 +1a +00 +5f +00 +20 +00 +7a +00 +28 +00 +62 +00 +21 +00 +47 +00 +1c +00 +33 +00 +14 +00 +45 +00 +1e +00 +49 +00 +18 +00 +54 +00 +1d +00 +60 +00 +4c +00 +d3 +10 +50 +00 +06 +10 +3b +00 +a2 +00 +28 +00 +5e +00 +1f +00 +3b +00 +13 +00 +34 +00 +14 +00 +35 +00 +14 +00 +3e +00 +12 +00 +43 +00 +20 +00 +6d +00 +27 +00 +6e +00 +26 +00 +4d +00 +1a +00 +35 +00 +13 +00 +2d +00 +15 +00 +37 +00 +16 +00 +2f +00 +15 +00 +31 +00 +16 +00 +2d +00 +11 +00 +29 +00 +17 +00 +34 +00 +15 +00 +3f +00 +11 +00 +33 +00 +19 +00 +3b +00 +11 +00 +27 +00 +12 +00 +35 +00 +1a +00 +7e +00 +33 +10 +af +20 +b0 +00 +b5 +00 +16 +00 +39 +00 +11 +00 +2c +00 +10 +00 +2b +00 +18 +00 +2d +00 +12 +00 +2c +00 +17 +00 +41 +00 +1a +00 +36 +00 +12 +00 +32 +00 +13 +00 +2d +00 +18 +00 +3d +00 +16 +00 +3b +00 +18 +00 +34 +00 +1b +00 +3a +00 +18 +00 +4b +00 +15 +00 +3a +00 +17 +00 +39 +00 +12 +00 +3c +00 +15 +00 +3c +00 +18 +00 +34 +00 +16 +00 +55 +00 +1e +00 +65 +00 +2f +00 +8f +00 +2a +00 +44 +00 +15 +00 +34 +00 +1d +00 +47 +00 +18 +00 +4a +00 +00 +00 +00 +00 +86 +00 +64 +00 +98 +00 +72 +00 +98 +00 +6b +00 +97 +00 +65 +00 +87 +00 +71 +00 +9f +00 +79 +00 +a1 +00 +5f +00 +96 +00 +6b +00 +9f +00 +6d +00 +a5 +00 +7d +00 +a2 +00 +71 +00 +b0 +00 +6f +00 +a2 +00 +71 +00 +ac +00 +74 +00 +93 +00 +6a +00 +99 +00 +59 +00 +86 +00 +46 +00 +63 +00 +52 +00 +6a +00 +83 +00 +7f +00 +6f +00 +6e +00 +40 +00 +55 +00 +39 +00 +5f +00 +38 +00 +63 +00 +48 +00 +64 +00 +41 +00 +5e +00 +3c +00 +61 +00 +44 +00 +65 +00 +4a +00 +69 +00 +40 +00 +6c +00 +46 +00 +7c +00 +51 +00 +95 +00 +23 +10 +a5 +20 +e0 +20 +76 +50 +be +10 +a7 +10 +f5 +00 +29 +10 +82 +00 +85 +00 +53 +00 +76 +00 +56 +00 +83 +00 +56 +00 +88 +00 +59 +00 +7f +00 +c3 +00 +c5 +10 +e7 +10 +a8 +10 +bf +00 +ae +00 +2d +10 +1d +10 +b6 +00 +96 +00 +6c +00 +96 +00 +a7 +00 +f8 +00 +17 +10 +5e +30 +6c +10 +d0 +10 +b3 +00 +f4 +00 +30 +10 +0b +10 +f3 +00 +94 +10 +66 +20 +53 +50 +f5 +30 +df +60 +95 +30 +4a +40 +d2 +20 +21 +60 +7f +40 +ef +70 +80 +30 +79 +20 +21 +10 +25 +10 +bf +10 +92 +40 +2a +40 +cc +80 +25 +50 +b7 +70 +f1 +40 +1e +60 +d2 +40 +22 +60 +f4 +30 +4d +30 +3d +10 +dd +00 +8b +00 +94 +00 +7d +00 +bd +00 +a7 +00 +e2 +00 +e6 +00 +3b +10 +12 +10 +23 +10 +0d +20 +31 +40 +6c +30 +ec +60 +02 +30 +52 +30 +61 +30 +d3 +50 +36 +40 +9d +50 +3f +50 +a3 +80 +0e +40 +d1 +20 +ab +10 +91 +10 +12 +20 +f7 +40 +4a +40 +ff +50 +e9 +30 +77 +50 +6b +20 +da +20 +5d +10 +85 +10 +f0 +00 +fe +00 +f0 +10 +d8 +40 +ed +20 +10 +60 +d5 +30 +e4 +50 +e5 +10 +3f +10 +af +00 +ce +00 +22 +10 +03 +40 +df +20 +a2 +60 +6c +20 +d5 +20 +f7 +00 +ae +00 +4c +00 +83 +00 +4d +00 +65 +00 +3f +00 +65 +00 +41 +00 +5f +00 +4b +00 +7c +00 +4e +00 +6d +00 +59 +00 +72 +00 +4a +00 +67 +00 +40 +00 +5d +00 +46 +00 +5b +00 +3b +00 +58 +00 +41 +00 +5d +00 +41 +00 +6a +00 +3c +00 +59 +00 +33 +00 +53 +00 +30 +00 +54 +00 +39 +00 +4b +00 +2d +00 +49 +00 +29 +00 +49 +00 +2c +00 +41 +00 +2b +00 +3c +00 +25 +00 +42 +00 +2b +00 +41 +00 +22 +00 +45 +00 +26 +00 +47 +00 +28 +00 +37 +00 +27 +00 +2f +00 +26 +00 +3a +00 +25 +00 +46 +00 +24 +00 +3f +00 +2b +00 +43 +00 +25 +00 +3e +00 +29 +00 +40 +00 +2b +00 +48 +00 +30 +00 +52 +00 +26 +00 +4f +00 +23 +00 +42 +00 +2a +00 +3f +00 +23 +00 +4f +00 +30 +00 +4e +00 +2c +00 +57 +00 +27 +00 +5a +00 +28 +00 +52 +00 +25 +00 +3e +00 +32 +00 +78 +00 +49 +00 +56 +00 +25 +00 +3a +00 +22 +00 +3d +00 +20 +00 +38 +00 +23 +00 +40 +00 +20 +00 +43 +00 +1d +00 +4a +00 +25 +00 +3e +00 +1e +00 +34 +00 +25 +00 +3c +00 +1c +00 +39 +00 +24 +00 +36 +00 +20 +00 +3d +00 +1f +00 +33 +00 +29 +00 +3f +00 +29 +00 +47 +00 +69 +00 +73 +00 +73 +00 +9c +00 +72 +00 +75 +00 +2f +00 +47 +00 +29 +00 +45 +00 +2c +00 +43 +00 +2f +00 +9c +00 +2d +00 +49 +00 +2c +00 +44 +00 +24 +00 +42 +00 +24 +00 +42 +00 +23 +00 +57 +00 +6a +00 +16 +10 +68 +00 +2c +10 +9a +00 +0a +10 +5c +00 +5e +00 +6f +00 +99 +00 +90 +00 +dd +00 +d5 +00 +db +00 +47 +10 +93 +10 +c7 +00 +b4 +00 +4b +00 +5d +00 +3e +00 +70 +00 +3c +00 +68 +00 +3c +00 +59 +00 +36 +00 +5a +00 +4b +00 +81 +00 +a0 +00 +fc +00 +5e +00 +74 +00 +2f +00 +59 +00 +2b +00 +46 +00 +26 +00 +42 +00 +2b +00 +36 +00 +26 +00 +39 +00 +24 +00 +3e +00 +2a +00 +3d +00 +21 +00 +3a +00 +2d +00 +4c +00 +38 +00 +4d +00 +31 +00 +49 +00 +2e +00 +3c +00 +25 +00 +39 +00 +29 +00 +38 +00 +29 +00 +3f +00 +2d +00 +3d +00 +58 +00 +96 +00 +6d +00 +cd +00 +7c +00 +88 +00 +60 +00 +64 +00 +3e +00 +50 +00 +2e +00 +3a +00 +1c +00 +3f +00 +21 +00 +36 +00 +1f +00 +41 +00 +31 +00 +3f +00 +44 +00 +4d +00 +3c +00 +3d +00 +2c +00 +36 +00 +24 +00 +34 +00 +22 +00 +3a +00 +1f +00 +38 +00 +23 +00 +35 +00 +1a +00 +2d +00 +1f +00 +28 +00 +1a +00 +30 +00 +26 +00 +2c +00 +1d +00 +2a +00 +1e +00 +2c +00 +18 +00 +2a +00 +1b +00 +30 +00 +27 +00 +38 +00 +4b +00 +2c +10 +83 +00 +d5 +00 +5f +00 +60 +00 +2a +00 +32 +00 +1c +00 +2a +00 +1c +00 +2d +00 +28 +00 +35 +00 +28 +00 +51 +00 +24 +00 +4a +00 +28 +00 +37 +00 +1c +00 +30 +00 +22 +00 +2f +00 +20 +00 +40 +00 +23 +00 +38 +00 +1f +00 +3d +00 +25 +00 +3b +00 +27 +00 +56 +00 +1c +00 +36 +00 +1d +00 +2b +00 +1f +00 +34 +00 +1e +00 +36 +00 +1d +00 +40 +00 +2d +00 +4e +00 +3a +00 +82 +00 +3f +00 +7b +00 +30 +00 +30 +00 +22 +00 +33 +00 +23 +00 +41 +00 +1c +00 +00 +00 +00 +00 +36 +00 +88 +00 +38 +00 +8e +00 +3f +00 +9c +00 +34 +00 +92 +00 +38 +00 +97 +00 +34 +00 +9f +00 +3c +00 +a0 +00 +30 +00 +ba +00 +39 +00 +9e +00 +3c +00 +a7 +00 +36 +00 +a6 +00 +43 +00 +ba +00 +33 +00 +a2 +00 +43 +00 +99 +00 +36 +00 +aa +00 +3a +00 +9e +00 +2d +00 +73 +00 +23 +00 +74 +00 +48 +00 +33 +10 +55 +00 +b2 +00 +26 +00 +5a +00 +25 +00 +5b +00 +22 +00 +65 +00 +26 +00 +68 +00 +2f +00 +6e +00 +22 +00 +50 +00 +23 +00 +62 +00 +21 +00 +63 +00 +29 +00 +64 +00 +2e +00 +6b +00 +25 +00 +7d +00 +40 +00 +42 +10 +a6 +10 +da +50 +d0 +10 +cb +20 +70 +00 +b8 +10 +e7 +00 +52 +10 +32 +00 +8a +00 +33 +00 +90 +00 +2f +00 +8c +00 +34 +00 +7e +00 +40 +00 +c9 +00 +f0 +00 +d4 +30 +af +00 +1d +10 +b6 +00 +83 +30 +07 +10 +67 +10 +33 +00 +b4 +00 +4f +00 +42 +10 +67 +00 +0b +10 +79 +00 +8f +10 +68 +00 +e2 +00 +4b +00 +c4 +10 +91 +00 +0d +10 +6b +00 +db +10 +42 +10 +a0 +50 +71 +20 +ea +40 +08 +10 +d0 +20 +d7 +10 +bc +60 +83 +20 +7d +60 +d9 +00 +69 +10 +6e +00 +09 +20 +35 +10 +ad +40 +f0 +10 +3a +60 +20 +20 +dc +60 +8b +20 +37 +80 +01 +30 +76 +70 +4d +10 +fa +10 +52 +00 +c2 +00 +3d +00 +ba +00 +3d +00 +c4 +00 +48 +00 +e9 +00 +56 +00 +ef +00 +5f +00 +ee +10 +be +10 +0c +50 +5f +20 +06 +50 +fc +00 +65 +30 +15 +20 +1c +70 +bc +10 +9d +60 +e7 +20 +ed +70 +d5 +10 +0b +30 +92 +00 +38 +20 +18 +20 +f8 +60 +ab +20 +e2 +60 +4a +10 +06 +30 +94 +00 +93 +10 +65 +00 +08 +10 +7d +00 +f3 +20 +1b +20 +98 +40 +84 +10 +4e +60 +32 +20 +cb +30 +77 +00 +f9 +00 +4b +00 +10 +10 +de +00 +02 +30 +2c +10 +a9 +20 +85 +00 +10 +10 +2f +00 +88 +00 +2d +00 +79 +00 +24 +00 +6c +00 +1f +00 +60 +00 +1f +00 +5f +00 +1f +00 +6a +00 +30 +00 +5f +00 +30 +00 +62 +00 +23 +00 +5b +00 +26 +00 +5d +00 +1f +00 +50 +00 +1f +00 +5e +00 +23 +00 +50 +00 +23 +00 +4e +00 +1c +00 +4a +00 +19 +00 +4c +00 +1d +00 +4e +00 +18 +00 +4f +00 +1e +00 +49 +00 +15 +00 +45 +00 +15 +00 +36 +00 +1c +00 +3d +00 +18 +00 +3b +00 +13 +00 +31 +00 +14 +00 +44 +00 +1e +00 +37 +00 +0f +00 +36 +00 +10 +00 +32 +00 +14 +00 +33 +00 +14 +00 +3b +00 +16 +00 +43 +00 +1c +00 +4a +00 +16 +00 +3e +00 +1b +00 +49 +00 +1e +00 +54 +00 +29 +00 +4e +00 +22 +00 +48 +00 +1b +00 +51 +00 +1a +00 +49 +00 +1f +00 +4d +00 +25 +00 +5c +00 +1d +00 +52 +00 +1b +00 +50 +00 +1f +00 +52 +00 +21 +00 +49 +00 +47 +00 +ef +00 +27 +00 +54 +00 +20 +00 +46 +00 +1c +00 +3c +00 +1d +00 +4f +00 +1b +00 +3d +00 +1a +00 +3b +00 +1b +00 +30 +00 +18 +00 +41 +00 +1c +00 +34 +00 +15 +00 +39 +00 +16 +00 +3c +00 +13 +00 +3a +00 +14 +00 +3d +00 +11 +00 +42 +00 +13 +00 +45 +00 +1a +00 +af +00 +8b +00 +41 +10 +8e +00 +14 +10 +30 +00 +66 +00 +18 +00 +3d +00 +16 +00 +4b +00 +19 +00 +4a +00 +22 +00 +59 +00 +1b +00 +3c +00 +15 +00 +50 +00 +1a +00 +3e +00 +1a +00 +44 +00 +1e +00 +63 +00 +2b +00 +83 +00 +32 +00 +95 +00 +30 +00 +78 +00 +20 +00 +62 +00 +22 +00 +81 +00 +32 +00 +c4 +00 +bb +00 +f2 +10 +6e +00 +db +00 +2e +00 +5d +00 +23 +00 +74 +00 +43 +00 +8d +00 +2b +00 +58 +00 +27 +00 +52 +00 +21 +00 +65 +00 +2c +00 +f8 +00 +72 +00 +a0 +00 +24 +00 +5d +00 +1c +00 +46 +00 +1e +00 +48 +00 +18 +00 +41 +00 +1c +00 +3b +00 +17 +00 +39 +00 +19 +00 +43 +00 +1c +00 +4f +00 +1b +00 +41 +00 +21 +00 +3e +00 +12 +00 +3e +00 +18 +00 +36 +00 +19 +00 +44 +00 +18 +00 +38 +00 +19 +00 +3e +00 +1e +00 +3c +00 +1b +00 +49 +00 +21 +00 +66 +00 +2a +00 +7b +00 +24 +00 +57 +00 +1b +00 +4e +00 +16 +00 +43 +00 +18 +00 +3c +00 +11 +00 +33 +00 +11 +00 +26 +00 +13 +00 +2a +00 +13 +00 +36 +00 +18 +00 +3f +00 +13 +00 +34 +00 +13 +00 +37 +00 +15 +00 +33 +00 +15 +00 +3a +00 +11 +00 +3b +00 +0e +00 +2b +00 +11 +00 +26 +00 +13 +00 +35 +00 +12 +00 +32 +00 +12 +00 +2d +00 +0e +00 +30 +00 +13 +00 +29 +00 +12 +00 +2b +00 +16 +00 +37 +00 +16 +00 +64 +00 +28 +00 +8e +00 +35 +00 +6e +00 +1d +00 +38 +00 +11 +00 +2c +00 +11 +00 +2b +00 +20 +00 +4c +00 +1d +00 +45 +00 +20 +00 +3d +00 +19 +00 +4d +00 +1b +00 +3c +00 +14 +00 +35 +00 +18 +00 +3c +00 +1f +00 +42 +00 +18 +00 +38 +00 +16 +00 +40 +00 +13 +00 +56 +00 +1f +00 +3c +00 +16 +00 +2e +00 +10 +00 +35 +00 +12 +00 +33 +00 +11 +00 +31 +00 +16 +00 +3d +00 +1f +00 +66 +00 +24 +00 +67 +00 +29 +00 +43 +00 +17 +00 +2a +00 +13 +00 +3e +00 +16 +00 +3c +00 +00 +00 +00 +00 +95 +00 +5e +00 +99 +00 +65 +00 +9c +00 +69 +00 +a4 +00 +6d +00 +ac +00 +63 +00 +a0 +00 +6a +00 +9b +00 +67 +00 +a0 +00 +76 +00 +ad +00 +7b +00 +99 +00 +74 +00 +a7 +00 +61 +00 +aa +00 +69 +00 +af +00 +6e +00 +a1 +00 +6d +00 +a6 +00 +69 +00 +9d +00 +52 +00 +7c +00 +47 +00 +63 +00 +7d +00 +3b +10 +8a +10 +d0 +30 +ea +00 +9d +00 +3c +00 +54 +00 +3a +00 +64 +00 +35 +00 +5c +00 +38 +00 +5c +00 +3b +00 +6b +00 +3f +00 +69 +00 +36 +00 +5b +00 +37 +00 +6e +00 +45 +00 +6e +00 +52 +00 +67 +00 +73 +00 +9e +00 +0a +10 +7c +30 +12 +20 +5a +30 +62 +10 +5d +10 +ca +00 +3f +10 +c2 +00 +af +00 +be +00 +a3 +00 +f4 +00 +c7 +00 +a1 +00 +9a +00 +85 +00 +aa +00 +81 +10 +83 +20 +a8 +10 +2d +20 +ab +10 +ba +20 +1e +30 +7c +40 +58 +10 +d5 +00 +8a +00 +cf +00 +f8 +00 +be +10 +d1 +00 +0d +10 +ab +00 +e1 +00 +a1 +00 +d6 +00 +af +00 +8d +10 +df +00 +ff +00 +e7 +10 +43 +40 +89 +30 +5f +50 +c8 +20 +9a +20 +5f +20 +6e +20 +db +20 +d0 +50 +c9 +30 +9f +30 +8e +10 +3a +10 +50 +20 +0a +30 +05 +40 +44 +70 +50 +50 +ab +80 +0d +60 +10 +90 +e6 +50 +62 +90 +0c +40 +fd +40 +10 +20 +77 +10 +c2 +00 +d8 +00 +8d +00 +b7 +00 +8b +00 +c6 +00 +92 +00 +c1 +00 +a1 +00 +d8 +00 +15 +10 +29 +20 +c5 +10 +4b +40 +09 +20 +6e +20 +84 +20 +8a +50 +d6 +40 +1e +70 +f0 +30 +87 +70 +39 +40 +57 +70 +cb +20 +74 +20 +a8 +20 +cf +50 +2c +40 +ab +70 +bf +30 +d3 +40 +29 +20 +29 +20 +47 +10 +fe +00 +2e +10 +71 +10 +a4 +20 +b8 +40 +04 +20 +b6 +20 +1a +20 +e7 +40 +d4 +20 +54 +20 +db +00 +d5 +00 +b7 +00 +22 +10 +eb +00 +c2 +10 +0f +10 +35 +10 +91 +00 +81 +00 +4e +00 +6d +00 +48 +00 +80 +00 +47 +00 +64 +00 +43 +00 +6b +00 +41 +00 +56 +00 +3a +00 +63 +00 +43 +00 +61 +00 +43 +00 +68 +00 +3a +00 +5f +00 +44 +00 +5d +00 +33 +00 +55 +00 +2d +00 +4b +00 +3c +00 +57 +00 +39 +00 +42 +00 +2b +00 +4d +00 +38 +00 +4c +00 +2b +00 +4d +00 +34 +00 +4c +00 +28 +00 +42 +00 +27 +00 +39 +00 +28 +00 +35 +00 +22 +00 +38 +00 +28 +00 +39 +00 +25 +00 +40 +00 +28 +00 +34 +00 +1e +00 +36 +00 +22 +00 +31 +00 +1f +00 +38 +00 +21 +00 +33 +00 +27 +00 +46 +00 +28 +00 +4a +00 +2a +00 +3f +00 +29 +00 +49 +00 +2b +00 +4e +00 +26 +00 +5c +00 +2a +00 +4e +00 +26 +00 +4d +00 +23 +00 +46 +00 +2a +00 +4f +00 +31 +00 +4e +00 +3a +00 +59 +00 +30 +00 +56 +00 +34 +00 +55 +00 +39 +00 +50 +00 +27 +00 +64 +00 +55 +00 +ac +00 +43 +00 +57 +00 +29 +00 +3f +00 +2a +00 +4f +00 +2a +00 +49 +00 +29 +00 +48 +00 +23 +00 +47 +00 +26 +00 +40 +00 +25 +00 +3d +00 +1d +00 +46 +00 +1e +00 +37 +00 +1d +00 +34 +00 +1e +00 +3b +00 +1a +00 +36 +00 +22 +00 +42 +00 +30 +00 +4b +00 +73 +00 +da +00 +b9 +00 +70 +20 +8c +00 +9b +00 +3d +00 +4c +00 +33 +00 +73 +00 +50 +00 +4f +00 +24 +00 +4a +00 +2a +00 +4e +00 +2d +00 +40 +00 +34 +00 +3e +00 +29 +00 +42 +00 +27 +00 +3e +00 +3a +00 +55 +00 +43 +00 +6e +00 +49 +00 +72 +00 +47 +00 +67 +00 +45 +00 +64 +00 +a9 +00 +3a +10 +39 +10 +d2 +30 +31 +10 +22 +10 +7c +00 +88 +00 +44 +00 +57 +00 +77 +00 +54 +10 +8d +00 +8c +00 +41 +00 +5f +00 +3c +00 +50 +00 +3b +00 +55 +00 +50 +00 +8c +00 +4a +00 +71 +00 +39 +00 +4e +00 +2f +00 +47 +00 +29 +00 +41 +00 +21 +00 +48 +00 +28 +00 +37 +00 +1e +00 +39 +00 +1a +00 +40 +00 +22 +00 +43 +00 +27 +00 +39 +00 +1f +00 +3c +00 +28 +00 +3b +00 +1e +00 +40 +00 +23 +00 +33 +00 +20 +00 +3e +00 +28 +00 +3e +00 +2b +00 +35 +00 +31 +00 +41 +00 +35 +00 +54 +00 +3d +00 +4b +00 +39 +00 +44 +00 +2a +00 +3f +00 +29 +00 +34 +00 +23 +00 +3c +00 +24 +00 +38 +00 +1c +00 +2e +00 +1b +00 +3b +00 +22 +00 +2b +00 +20 +00 +30 +00 +22 +00 +34 +00 +23 +00 +35 +00 +22 +00 +38 +00 +18 +00 +3b +00 +22 +00 +3b +00 +1f +00 +2c +00 +1b +00 +29 +00 +18 +00 +2e +00 +29 +00 +2b +00 +1e +00 +2f +00 +13 +00 +31 +00 +1d +00 +30 +00 +1c +00 +32 +00 +24 +00 +34 +00 +42 +00 +60 +00 +42 +00 +6c +00 +39 +00 +3e +00 +26 +00 +29 +00 +1b +00 +28 +00 +1e +00 +71 +00 +23 +00 +46 +00 +1e +00 +3f +00 +26 +00 +4c +00 +28 +00 +48 +00 +20 +00 +3f +00 +2e +00 +41 +00 +25 +00 +3c +00 +22 +00 +38 +00 +25 +00 +40 +00 +1a +00 +42 +00 +1a +00 +37 +00 +1a +00 +30 +00 +1b +00 +37 +00 +1e +00 +45 +00 +18 +00 +37 +00 +25 +00 +3b +00 +26 +00 +52 +00 +2a +00 +4c +00 +2a +00 +5e +00 +1f +00 +3a +00 +1a +00 +39 +00 +1a +00 +45 +00 +17 +00 +00 +00 +00 +00 +2d +00 +97 +00 +34 +00 +8a +00 +33 +00 +9f +00 +35 +00 +a1 +00 +33 +00 +a6 +00 +3b +00 +93 +00 +3e +00 +a4 +00 +39 +00 +a0 +00 +38 +00 +9f +00 +2e +00 +a5 +00 +3e +00 +9f +00 +37 +00 +a1 +00 +45 +00 +96 +00 +39 +00 +a4 +00 +33 +00 +9e +00 +33 +00 +87 +00 +2d +00 +6b +00 +2a +00 +79 +00 +73 +00 +bc +10 +b3 +00 +ec +10 +46 +00 +6e +00 +25 +00 +5a +00 +23 +00 +54 +00 +22 +00 +65 +00 +2e +00 +65 +00 +26 +00 +68 +00 +24 +00 +6d +00 +20 +00 +5b +00 +21 +00 +5f +00 +29 +00 +7c +00 +39 +00 +ca +00 +49 +00 +f5 +00 +8a +00 +f6 +10 +b6 +00 +e1 +10 +5a +00 +d8 +00 +53 +00 +cc +00 +44 +00 +ef +00 +b5 +00 +6b +20 +7c +00 +fa +00 +3f +00 +b1 +00 +5e +00 +ee +10 +c9 +10 +af +40 +c8 +00 +27 +20 +8a +10 +04 +60 +a2 +10 +52 +20 +56 +00 +b0 +00 +4c +00 +12 +10 +54 +00 +14 +10 +4f +00 +ed +00 +3c +00 +b8 +00 +49 +00 +cb +00 +60 +00 +1d +10 +66 +00 +1d +20 +f7 +10 +d1 +50 +d7 +10 +93 +40 +9d +10 +fe +30 +54 +10 +69 +40 +71 +20 +41 +70 +5b +10 +08 +20 +1b +10 +7f +40 +e0 +10 +17 +50 +c6 +20 +51 +80 +39 +30 +35 +90 +3c +30 +9c +80 +01 +30 +9e +60 +d2 +10 +e1 +30 +a2 +00 +08 +10 +3f +00 +cc +00 +48 +00 +b8 +00 +3d +00 +b7 +00 +3d +00 +b2 +00 +49 +00 +06 +10 +79 +00 +9e +10 +a3 +00 +c2 +10 +87 +00 +5a +20 +3e +20 +89 +70 +b3 +20 +e6 +50 +9c +10 +cc +40 +72 +10 +69 +30 +e8 +00 +f9 +20 +2d +20 +03 +70 +12 +20 +aa +50 +70 +10 +50 +30 +c5 +00 +76 +20 +9d +00 +96 +10 +36 +10 +9e +40 +30 +10 +ad +20 +8c +00 +ff +10 +9a +10 +bb +50 +29 +10 +85 +10 +41 +00 +b1 +00 +49 +00 +08 +10 +61 +00 +19 +10 +44 +00 +a0 +00 +28 +00 +6f +00 +28 +00 +78 +00 +2d +00 +68 +00 +28 +00 +64 +00 +29 +00 +59 +00 +20 +00 +52 +00 +1b +00 +59 +00 +20 +00 +50 +00 +23 +00 +56 +00 +1d +00 +58 +00 +19 +00 +5d +00 +20 +00 +4c +00 +22 +00 +48 +00 +21 +00 +4a +00 +1c +00 +47 +00 +18 +00 +56 +00 +17 +00 +48 +00 +1f +00 +46 +00 +17 +00 +49 +00 +16 +00 +3c +00 +16 +00 +3a +00 +18 +00 +3b +00 +16 +00 +3a +00 +1a +00 +3c +00 +1a +00 +3d +00 +11 +00 +3b +00 +15 +00 +28 +00 +12 +00 +2e +00 +12 +00 +36 +00 +1d +00 +38 +00 +21 +00 +43 +00 +1a +00 +4c +00 +1b +00 +4d +00 +16 +00 +55 +00 +1b +00 +4e +00 +1d +00 +4d +00 +21 +00 +37 +00 +1c +00 +47 +00 +16 +00 +4c +00 +1d +00 +5b +00 +23 +00 +57 +00 +2f +00 +8b +00 +38 +00 +71 +00 +27 +00 +50 +00 +14 +00 +4b +00 +1f +00 +70 +00 +3a +00 +78 +00 +1f +00 +50 +00 +1a +00 +54 +00 +1e +00 +47 +00 +1b +00 +45 +00 +19 +00 +4b +00 +1e +00 +48 +00 +15 +00 +3c +00 +18 +00 +41 +00 +1a +00 +35 +00 +17 +00 +34 +00 +13 +00 +3a +00 +1c +00 +3f +00 +15 +00 +36 +00 +1a +00 +4c +00 +28 +00 +de +00 +3c +00 +c6 +00 +6f +00 +ec +00 +31 +00 +64 +00 +1e +00 +63 +00 +55 +00 +be +00 +1f +00 +4c +00 +1c +00 +47 +00 +1b +00 +43 +00 +20 +00 +85 +00 +1b +00 +43 +00 +1f +00 +48 +00 +17 +00 +51 +00 +1f +00 +65 +00 +22 +00 +64 +00 +2a +00 +6c +00 +20 +00 +4f +00 +2a +00 +2a +10 +fa +00 +3d +20 +cf +00 +98 +10 +5b +00 +bf +00 +2c +00 +6d +00 +22 +00 +8c +00 +cd +00 +a1 +10 +45 +00 +6a +00 +1f +00 +50 +00 +1a +00 +4a +00 +23 +00 +64 +00 +2b +00 +6c +00 +27 +00 +56 +00 +20 +00 +49 +00 +20 +00 +4c +00 +18 +00 +47 +00 +1b +00 +38 +00 +12 +00 +36 +00 +16 +00 +3f +00 +1b +00 +42 +00 +1b +00 +3d +00 +1b +00 +42 +00 +16 +00 +31 +00 +18 +00 +41 +00 +1e +00 +43 +00 +17 +00 +37 +00 +16 +00 +40 +00 +16 +00 +42 +00 +16 +00 +48 +00 +1a +00 +57 +00 +17 +00 +3f +00 +18 +00 +3a +00 +15 +00 +42 +00 +15 +00 +39 +00 +15 +00 +3e +00 +15 +00 +33 +00 +1a +00 +2b +00 +1c +00 +39 +00 +1e +00 +47 +00 +18 +00 +3b +00 +1c +00 +31 +00 +1d +00 +40 +00 +1b +00 +32 +00 +13 +00 +3e +00 +16 +00 +37 +00 +0f +00 +32 +00 +0f +00 +31 +00 +15 +00 +2e +00 +18 +00 +2d +00 +11 +00 +33 +00 +13 +00 +2f +00 +12 +00 +34 +00 +12 +00 +33 +00 +15 +00 +2d +00 +13 +00 +4b +00 +19 +00 +4b +00 +1e +00 +3f +00 +16 +00 +29 +00 +12 +00 +2d +00 +14 +00 +30 +00 +1a +00 +45 +00 +18 +00 +48 +00 +18 +00 +49 +00 +2a +00 +70 +00 +1d +00 +41 +00 +1b +00 +43 +00 +23 +00 +49 +00 +1c +00 +3e +00 +1d +00 +43 +00 +13 +00 +31 +00 +10 +00 +3a +00 +1a +00 +3a +00 +17 +00 +35 +00 +12 +00 +46 +00 +1b +00 +3c +00 +1c +00 +5d +00 +20 +00 +36 +00 +1b +00 +48 +00 +29 +00 +51 +00 +16 +00 +3c +00 +16 +00 +30 +00 +15 +00 +38 +00 +15 +00 +34 +00 +00 +00 +00 +00 +94 +00 +61 +00 +94 +00 +6b +00 +9c +00 +6b +00 +a1 +00 +63 +00 +9e +00 +5d +00 +ab +00 +71 +00 +ac +00 +71 +00 +a0 +00 +79 +00 +a2 +00 +73 +00 +a8 +00 +71 +00 +99 +00 +68 +00 +a0 +00 +6f +00 +a1 +00 +6f +00 +a1 +00 +74 +00 +af +00 +6c +00 +98 +00 +59 +00 +73 +00 +67 +00 +76 +00 +f9 +00 +0e +10 +8e +00 +e3 +00 +75 +00 +9e +00 +4c +00 +61 +00 +3d +00 +54 +00 +3c +00 +58 +00 +36 +00 +63 +00 +40 +00 +63 +00 +38 +00 +56 +00 +3f +00 +5f +00 +42 +00 +6c +00 +79 +00 +7f +00 +9b +00 +fb +00 +1b +10 +21 +10 +c4 +00 +1a +10 +db +10 +79 +30 +e0 +10 +7b +10 +b5 +00 +ed +00 +ad +10 +c0 +10 +ab +20 +7f +40 +f0 +20 +99 +30 +68 +10 +17 +10 +eb +00 +c0 +10 +c3 +20 +45 +60 +2e +30 +be +30 +d9 +20 +d0 +40 +d1 +20 +28 +50 +65 +10 +fa +00 +97 +00 +c8 +00 +fa +00 +f9 +00 +fa +00 +f5 +00 +d4 +00 +f0 +00 +bd +00 +c7 +00 +c7 +00 +da +00 +b2 +00 +df +00 +49 +10 +dc +20 +ad +30 +de +70 +d5 +40 +02 +80 +1e +40 +c5 +60 +77 +30 +9c +60 +ba +20 +db +30 +7b +20 +86 +40 +de +20 +4a +60 +50 +30 +fd +30 +32 +30 +74 +60 +7c +50 +47 +90 +32 +40 +16 +50 +c9 +20 +10 +50 +b1 +20 +80 +30 +f5 +10 +55 +10 +a8 +00 +b3 +00 +73 +00 +97 +00 +73 +00 +b0 +00 +82 +00 +c0 +00 +a7 +00 +06 +10 +f9 +00 +7d +10 +14 +10 +4e +10 +59 +20 +b4 +50 +a2 +40 +24 +80 +a1 +30 +5c +30 +e3 +10 +50 +20 +ab +10 +65 +20 +13 +30 +e4 +30 +da +30 +39 +60 +a1 +20 +e4 +20 +77 +10 +78 +10 +53 +10 +52 +20 +41 +30 +cb +50 +12 +30 +69 +30 +af +10 +77 +10 +46 +10 +f0 +20 +7d +10 +d7 +20 +0f +10 +c6 +00 +84 +00 +be +00 +9f +00 +e6 +00 +92 +00 +a3 +00 +58 +00 +68 +00 +43 +00 +6a +00 +4b +00 +63 +00 +36 +00 +61 +00 +33 +00 +59 +00 +31 +00 +52 +00 +36 +00 +55 +00 +3c +00 +59 +00 +3b +00 +5c +00 +3b +00 +53 +00 +40 +00 +5e +00 +3f +00 +53 +00 +33 +00 +5d +00 +42 +00 +54 +00 +3c +00 +54 +00 +33 +00 +44 +00 +2d +00 +4e +00 +27 +00 +3f +00 +32 +00 +4e +00 +2c +00 +45 +00 +27 +00 +49 +00 +2d +00 +40 +00 +2c +00 +40 +00 +26 +00 +47 +00 +2f +00 +42 +00 +26 +00 +3f +00 +27 +00 +35 +00 +25 +00 +30 +00 +1b +00 +3a +00 +24 +00 +35 +00 +1c +00 +41 +00 +25 +00 +51 +00 +35 +00 +43 +00 +22 +00 +45 +00 +2c +00 +4c +00 +2c +00 +4f +00 +28 +00 +4b +00 +32 +00 +4c +00 +23 +00 +53 +00 +26 +00 +58 +00 +32 +00 +63 +00 +39 +00 +70 +00 +e8 +00 +00 +20 +5d +00 +78 +00 +3c +00 +55 +00 +28 +00 +50 +00 +29 +00 +50 +00 +38 +00 +4d +00 +32 +00 +49 +00 +24 +00 +53 +00 +21 +00 +4a +00 +2b +00 +49 +00 +25 +00 +46 +00 +27 +00 +41 +00 +2b +00 +3d +00 +27 +00 +38 +00 +20 +00 +3c +00 +21 +00 +38 +00 +21 +00 +3c +00 +24 +00 +3f +00 +25 +00 +47 +00 +25 +00 +4f +00 +3f +00 +73 +00 +4a +00 +8d +00 +4f +00 +8c +00 +4a +00 +59 +00 +34 +00 +6b +00 +2d +00 +5e +00 +34 +00 +46 +00 +44 +00 +6f +00 +2c +00 +4d +00 +2d +00 +56 +00 +2b +00 +41 +00 +29 +00 +4b +00 +58 +00 +89 +00 +51 +00 +5e +00 +40 +00 +5c +00 +28 +00 +50 +00 +36 +00 +4f +00 +5b +00 +0c +10 +cb +00 +68 +10 +71 +00 +d6 +00 +70 +00 +74 +00 +50 +00 +60 +00 +5c +00 +fa +00 +bd +00 +e1 +00 +53 +00 +54 +00 +35 +00 +5b +00 +3d +00 +80 +00 +3f +00 +6c +00 +3a +00 +76 +00 +9d +00 +80 +00 +37 +00 +4b +00 +24 +00 +3d +00 +1f +00 +45 +00 +1e +00 +44 +00 +20 +00 +41 +00 +1d +00 +3f +00 +1f +00 +53 +00 +26 +00 +43 +00 +23 +00 +39 +00 +22 +00 +41 +00 +25 +00 +34 +00 +1d +00 +3c +00 +20 +00 +3e +00 +25 +00 +45 +00 +1f +00 +3e +00 +25 +00 +50 +00 +2b +00 +45 +00 +2c +00 +43 +00 +21 +00 +43 +00 +20 +00 +32 +00 +1d +00 +35 +00 +19 +00 +39 +00 +1e +00 +36 +00 +1d +00 +43 +00 +25 +00 +46 +00 +1f +00 +3e +00 +26 +00 +3d +00 +24 +00 +3f +00 +26 +00 +3f +00 +1e +00 +42 +00 +1e +00 +2a +00 +19 +00 +2c +00 +1f +00 +33 +00 +24 +00 +2d +00 +1a +00 +2e +00 +18 +00 +2b +00 +1d +00 +32 +00 +19 +00 +30 +00 +1e +00 +32 +00 +22 +00 +33 +00 +22 +00 +3c +00 +29 +00 +44 +00 +2e +00 +30 +00 +2b +00 +2c +00 +1b +00 +31 +00 +1f +00 +3a +00 +1c +00 +34 +00 +1c +00 +47 +00 +21 +00 +3e +00 +2b +00 +50 +00 +2b +00 +43 +00 +23 +00 +50 +00 +5a +00 +75 +00 +29 +00 +3f +00 +25 +00 +37 +00 +21 +00 +3a +00 +1f +00 +3e +00 +1c +00 +37 +00 +16 +00 +3d +00 +21 +00 +55 +00 +28 +00 +4f +00 +2e +00 +51 +00 +49 +00 +65 +00 +2b +00 +49 +00 +28 +00 +5f +00 +2a +00 +4a +00 +25 +00 +3f +00 +20 +00 +39 +00 +1b +00 +4e +00 +20 +00 +00 +00 +00 +00 +32 +00 +91 +00 +3e +00 +a0 +00 +38 +00 +94 +00 +30 +00 +9f +00 +3a +00 +9a +00 +32 +00 +a2 +00 +37 +00 +a0 +00 +32 +00 +af +00 +39 +00 +9c +00 +38 +00 +a2 +00 +3c +00 +a2 +00 +44 +00 +ae +00 +3a +00 +a6 +00 +3c +00 +b1 +00 +39 +00 +a2 +00 +32 +00 +84 +00 +20 +00 +65 +00 +74 +00 +75 +20 +b6 +00 +fd +00 +41 +00 +86 +00 +23 +00 +65 +00 +21 +00 +5d +00 +21 +00 +52 +00 +25 +00 +57 +00 +27 +00 +6a +00 +22 +00 +60 +00 +24 +00 +6a +00 +28 +00 +6e +00 +37 +00 +c8 +00 +55 +00 +01 +10 +9a +00 +ca +20 +dd +00 +2c +10 +4f +00 +e0 +10 +92 +10 +f8 +30 +86 +00 +e4 +00 +6d +00 +e8 +20 +bb +10 +e2 +50 +64 +20 +f1 +50 +12 +20 +ef +30 +7c +00 +07 +10 +1c +10 +28 +50 +b4 +20 +d3 +50 +74 +10 +91 +40 +5b +10 +c9 +30 +0d +10 +31 +20 +55 +00 +f1 +00 +6e +00 +7b +10 +df +00 +c7 +10 +54 +00 +f4 +00 +fb +00 +62 +20 +65 +00 +03 +10 +a2 +00 +32 +10 +42 +00 +11 +10 +96 +00 +1c +30 +c8 +20 +72 +80 +cc +20 +d6 +60 +7c +10 +8b +30 +ff +00 +fa +20 +fd +00 +54 +30 +fe +00 +58 +30 +07 +20 +36 +40 +ed +00 +8b +20 +26 +10 +27 +60 +ec +20 +2b +60 +0a +10 +91 +20 +39 +10 +ff +30 +af +10 +54 +50 +b6 +00 +08 +10 +43 +00 +a3 +00 +3c +00 +a2 +00 +41 +00 +b9 +00 +3f +00 +b4 +00 +40 +00 +10 +10 +4d +00 +3b +10 +74 +00 +d3 +10 +25 +20 +7f +70 +38 +20 +13 +40 +bd +00 +3a +20 +da +00 +12 +20 +69 +10 +67 +50 +d7 +10 +2a +50 +0f +20 +08 +40 +9b +00 +69 +10 +76 +00 +50 +10 +8f +10 +f5 +50 +a9 +20 +8b +60 +f6 +00 +cb +10 +58 +00 +26 +10 +75 +00 +7b +10 +70 +00 +05 +10 +37 +00 +7f +00 +32 +00 +95 +00 +3b +00 +a3 +00 +2c +00 +74 +00 +21 +00 +63 +00 +23 +00 +5e +00 +25 +00 +5f +00 +20 +00 +59 +00 +25 +00 +5b +00 +20 +00 +52 +00 +1b +00 +59 +00 +24 +00 +61 +00 +22 +00 +59 +00 +1d +00 +59 +00 +20 +00 +5b +00 +24 +00 +60 +00 +26 +00 +56 +00 +22 +00 +53 +00 +1f +00 +49 +00 +20 +00 +47 +00 +1e +00 +46 +00 +18 +00 +4d +00 +1d +00 +48 +00 +1c +00 +41 +00 +22 +00 +35 +00 +18 +00 +3b +00 +10 +00 +43 +00 +1c +00 +4d +00 +1d +00 +41 +00 +19 +00 +3d +00 +14 +00 +2f +00 +10 +00 +2d +00 +14 +00 +3a +00 +19 +00 +40 +00 +1b +00 +4b +00 +20 +00 +53 +00 +22 +00 +4d +00 +22 +00 +49 +00 +1e +00 +53 +00 +21 +00 +4f +00 +18 +00 +57 +00 +22 +00 +49 +00 +1f +00 +4b +00 +23 +00 +63 +00 +2e +00 +78 +00 +36 +00 +47 +10 +a7 +00 +17 +10 +2a +00 +68 +00 +1f +00 +50 +00 +1b +00 +48 +00 +1c +00 +4d +00 +19 +00 +4b +00 +1f +00 +55 +00 +1e +00 +39 +00 +19 +00 +4b +00 +19 +00 +4c +00 +18 +00 +4e +00 +1b +00 +46 +00 +18 +00 +45 +00 +17 +00 +3d +00 +18 +00 +3f +00 +1c +00 +47 +00 +1c +00 +43 +00 +1d +00 +47 +00 +1c +00 +48 +00 +1e +00 +5e +00 +2a +00 +71 +00 +25 +00 +73 +00 +2c +00 +af +00 +21 +00 +4c +00 +23 +00 +59 +00 +21 +00 +58 +00 +22 +00 +97 +00 +27 +00 +4e +00 +15 +00 +4f +00 +1d +00 +50 +00 +17 +00 +38 +00 +25 +00 +ca +00 +87 +00 +af +00 +24 +00 +55 +00 +1a +00 +52 +00 +1d +00 +49 +00 +1f +00 +66 +00 +39 +00 +b8 +00 +4a +00 +cd +00 +36 +00 +8a +00 +2e +00 +69 +00 +2a +00 +7d +00 +60 +00 +34 +10 +3d +00 +82 +00 +1f +00 +4f +00 +21 +00 +71 +00 +7d +00 +d9 +00 +23 +00 +54 +00 +57 +00 +8b +10 +3e +00 +56 +00 +1e +00 +40 +00 +20 +00 +3f +00 +1b +00 +42 +00 +19 +00 +33 +00 +1c +00 +3f +00 +1e +00 +45 +00 +17 +00 +4a +00 +19 +00 +35 +00 +1a +00 +3b +00 +1b +00 +47 +00 +16 +00 +3c +00 +1b +00 +3f +00 +15 +00 +38 +00 +1f +00 +44 +00 +1c +00 +41 +00 +19 +00 +45 +00 +1f +00 +42 +00 +18 +00 +40 +00 +1a +00 +3a +00 +1b +00 +3a +00 +18 +00 +3a +00 +14 +00 +33 +00 +16 +00 +47 +00 +16 +00 +40 +00 +1b +00 +33 +00 +18 +00 +49 +00 +25 +00 +42 +00 +1b +00 +44 +00 +1b +00 +3f +00 +1e +00 +3e +00 +13 +00 +31 +00 +0f +00 +32 +00 +11 +00 +2f +00 +17 +00 +24 +00 +1c +00 +36 +00 +10 +00 +2c +00 +16 +00 +2a +00 +14 +00 +34 +00 +12 +00 +29 +00 +0e +00 +39 +00 +15 +00 +44 +00 +1c +00 +37 +00 +14 +00 +3b +00 +16 +00 +34 +00 +19 +00 +30 +00 +14 +00 +3d +00 +18 +00 +3e +00 +1a +00 +3d +00 +20 +00 +51 +00 +24 +00 +4a +00 +17 +00 +45 +00 +29 +00 +95 +00 +34 +00 +60 +00 +18 +00 +44 +00 +13 +00 +3f +00 +16 +00 +34 +00 +15 +00 +35 +00 +0f +00 +31 +00 +16 +00 +46 +00 +26 +00 +60 +00 +16 +00 +47 +00 +2b +00 +7b +00 +2a +00 +45 +00 +1f +00 +4a +00 +21 +00 +4e +00 +1a +00 +42 +00 +1e +00 +43 +00 +12 +00 +3c +00 +16 +00 +47 +00 +00 +00 +00 +00 +97 +00 +5e +00 +9d +00 +6f +00 +9d +00 +65 +00 +92 +00 +6e +00 +9e +00 +5f +00 +99 +00 +6d +00 +9d +00 +77 +00 +9e +00 +6e +00 +a6 +00 +6f +00 +a9 +00 +76 +00 +ae +00 +72 +00 +ab +00 +6b +00 +8f +00 +70 +00 +99 +00 +6c +00 +b3 +00 +61 +00 +98 +00 +48 +00 +75 +00 +89 +00 +4a +10 +10 +10 +fa +10 +a6 +00 +aa +00 +64 +00 +6a +00 +3e +00 +64 +00 +3d +00 +5c +00 +35 +00 +53 +00 +2f +00 +65 +00 +37 +00 +67 +00 +37 +00 +6a +00 +3b +00 +67 +00 +b4 +00 +04 +10 +95 +10 +77 +20 +07 +10 +57 +10 +99 +00 +4a +10 +e1 +00 +fe +00 +ee +10 +60 +40 +a5 +10 +d2 +10 +d8 +00 +2d +10 +a3 +20 +22 +60 +f4 +30 +91 +60 +a4 +30 +5e +60 +f3 +10 +02 +20 +f0 +00 +49 +10 +b9 +10 +4b +50 +17 +30 +1f +50 +c5 +30 +79 +40 +d4 +10 +e4 +10 +f4 +00 +06 +10 +6e +10 +98 +20 +09 +30 +58 +50 +da +10 +57 +10 +0d +10 +b9 +20 +83 +20 +9d +20 +4c +10 +d8 +10 +e3 +00 +f9 +00 +f4 +00 +40 +10 +1d +20 +6f +40 +b8 +20 +7e +40 +26 +20 +de +20 +ac +10 +0d +20 +50 +10 +dc +10 +2f +10 +cc +10 +f1 +10 +07 +40 +d1 +10 +33 +20 +97 +10 +42 +20 +e9 +20 +21 +70 +97 +30 +42 +30 +d0 +10 +24 +20 +8b +20 +29 +50 +13 +20 +6a +20 +fc +00 +ac +00 +77 +00 +95 +00 +61 +00 +95 +00 +6e +00 +9a +00 +7c +00 +a4 +00 +9d +00 +e4 +00 +bc +00 +e2 +00 +01 +20 +ee +40 +8a +30 +dc +40 +d7 +20 +a7 +20 +fd +10 +00 +40 +1c +20 +f9 +30 +9a +30 +90 +60 +24 +40 +84 +40 +ed +10 +f4 +10 +f9 +00 +19 +10 +7d +10 +09 +40 +32 +30 +a2 +60 +90 +20 +1d +30 +48 +10 +d8 +00 +bd +00 +02 +10 +a6 +00 +f2 +00 +94 +00 +9d +00 +63 +00 +84 +00 +70 +00 +8b +00 +65 +00 +7e +00 +4a +00 +62 +00 +43 +00 +5c +00 +43 +00 +5f +00 +3c +00 +62 +00 +42 +00 +61 +00 +33 +00 +6a +00 +53 +00 +69 +00 +49 +00 +62 +00 +49 +00 +5b +00 +3a +00 +5a +00 +44 +00 +5e +00 +41 +00 +5f +00 +51 +00 +55 +00 +4a +00 +61 +00 +50 +00 +55 +00 +5c +00 +5a +00 +3f +00 +50 +00 +39 +00 +4a +00 +32 +00 +47 +00 +29 +00 +48 +00 +27 +00 +45 +00 +29 +00 +3d +00 +2b +00 +42 +00 +2c +00 +45 +00 +26 +00 +3a +00 +1f +00 +35 +00 +26 +00 +35 +00 +1f +00 +3a +00 +22 +00 +33 +00 +23 +00 +3c +00 +22 +00 +41 +00 +2e +00 +5a +00 +2c +00 +4f +00 +2d +00 +52 +00 +28 +00 +5d +00 +38 +00 +52 +00 +28 +00 +53 +00 +2d +00 +48 +00 +2b +00 +51 +00 +2f +00 +69 +00 +41 +00 +5e +00 +4d +00 +87 +00 +5d +00 +a4 +00 +49 +00 +b1 +00 +4b +00 +4b +00 +21 +00 +3a +00 +2c +00 +47 +00 +31 +00 +4d +00 +29 +00 +51 +00 +2a +00 +46 +00 +24 +00 +44 +00 +29 +00 +50 +00 +2e +00 +42 +00 +2c +00 +40 +00 +26 +00 +41 +00 +25 +00 +3c +00 +1e +00 +43 +00 +2a +00 +4d +00 +28 +00 +40 +00 +1f +00 +44 +00 +20 +00 +47 +00 +25 +00 +4c +00 +35 +00 +64 +00 +70 +00 +7b +00 +47 +00 +61 +00 +31 +00 +5c +00 +32 +00 +42 +00 +35 +00 +54 +00 +30 +00 +57 +00 +26 +00 +56 +00 +28 +00 +44 +00 +27 +00 +39 +00 +21 +00 +3c +00 +21 +00 +48 +00 +44 +00 +9c +00 +48 +00 +7b +00 +33 +00 +57 +00 +26 +00 +52 +00 +31 +00 +4b +00 +3c +00 +79 +00 +62 +00 +ab +00 +65 +00 +82 +00 +7c +00 +c3 +00 +57 +00 +6f +00 +69 +00 +0f +10 +da +00 +f4 +00 +5a +00 +5c +00 +43 +00 +5d +00 +46 +00 +95 +00 +47 +00 +89 +00 +47 +00 +a5 +00 +5f +00 +92 +00 +32 +00 +4b +00 +29 +00 +44 +00 +21 +00 +37 +00 +22 +00 +37 +00 +1c +00 +44 +00 +1e +00 +3a +00 +26 +00 +33 +00 +21 +00 +40 +00 +1f +00 +3b +00 +20 +00 +4a +00 +24 +00 +40 +00 +2a +00 +3e +00 +13 +00 +41 +00 +1e +00 +3d +00 +1f +00 +45 +00 +23 +00 +43 +00 +2c +00 +47 +00 +27 +00 +39 +00 +25 +00 +39 +00 +26 +00 +3f +00 +22 +00 +3c +00 +22 +00 +36 +00 +1d +00 +3b +00 +1c +00 +41 +00 +1e +00 +39 +00 +20 +00 +3b +00 +21 +00 +3e +00 +26 +00 +51 +00 +2c +00 +47 +00 +24 +00 +34 +00 +21 +00 +31 +00 +1e +00 +2f +00 +1c +00 +2a +00 +1d +00 +2f +00 +1c +00 +31 +00 +29 +00 +26 +00 +21 +00 +2e +00 +2b +00 +32 +00 +28 +00 +39 +00 +23 +00 +31 +00 +1f +00 +40 +00 +25 +00 +37 +00 +26 +00 +3c +00 +1d +00 +34 +00 +2a +00 +40 +00 +2b +00 +4c +00 +2b +00 +44 +00 +2b +00 +43 +00 +20 +00 +4d +00 +26 +00 +47 +00 +27 +00 +38 +00 +24 +00 +62 +00 +31 +00 +65 +00 +37 +00 +47 +00 +2a +00 +42 +00 +34 +00 +3b +00 +2a +00 +38 +00 +20 +00 +3a +00 +22 +00 +44 +00 +41 +00 +9b +00 +31 +00 +3c +00 +35 +00 +58 +00 +66 +00 +91 +00 +7e +00 +5f +00 +39 +00 +45 +00 +28 +00 +55 +00 +27 +00 +4c +00 +24 +00 +41 +00 +20 +00 +37 +00 +22 +00 +00 +00 +00 +00 +3c +00 +91 +00 +3e +00 +97 +00 +33 +00 +a4 +00 +36 +00 +a4 +00 +37 +00 +9f +00 +37 +00 +9c +00 +3e +00 +8c +00 +37 +00 +ae +00 +36 +00 +a0 +00 +3b +00 +a0 +00 +3a +00 +99 +00 +38 +00 +ab +00 +3b +00 +ad +00 +40 +00 +a8 +00 +3a +00 +a5 +00 +2d +00 +7c +00 +27 +00 +8c +00 +88 +00 +3e +10 +6a +00 +f4 +00 +2b +00 +6c +00 +1d +00 +58 +00 +21 +00 +5e +00 +1d +00 +56 +00 +23 +00 +56 +00 +21 +00 +5f +00 +1f +00 +55 +00 +1f +00 +73 +00 +2b +00 +bc +00 +56 +10 +3e +40 +02 +10 +9d +10 +54 +00 +e2 +00 +41 +00 +bb +00 +76 +00 +17 +30 +4e +10 +bd +20 +6a +00 +de +00 +66 +00 +a8 +20 +2e +20 +7a +60 +0f +20 +0d +60 +bd +10 +f6 +20 +71 +00 +15 +10 +76 +00 +7b +20 +45 +10 +98 +30 +71 +20 +52 +70 +d1 +10 +db +20 +7c +00 +31 +10 +67 +00 +45 +20 +d8 +10 +74 +60 +14 +20 +43 +30 +82 +00 +22 +10 +0b +10 +d2 +40 +49 +10 +5a +20 +a0 +00 +26 +10 +51 +00 +ee +00 +d2 +00 +f5 +30 +8e +10 +74 +30 +bc +00 +15 +20 +8a +00 +9f +10 +81 +00 +95 +10 +80 +00 +40 +10 +83 +00 +e5 +10 +b4 +00 +fb +10 +7e +00 +91 +10 +9a +00 +ab +20 +3f +20 +ac +50 +da +00 +a9 +10 +ca +00 +85 +30 +cf +10 +51 +30 +94 +00 +1b +10 +42 +00 +9c +00 +33 +00 +86 +00 +2a +00 +8e +00 +2e +00 +95 +00 +38 +00 +9a +00 +3c +00 +b3 +00 +4e +00 +74 +10 +ec +10 +31 +60 +b1 +10 +60 +40 +3a +10 +80 +20 +19 +10 +86 +20 +a8 +10 +ae +50 +51 +20 +0a +70 +52 +10 +33 +20 +6c +00 +2b +10 +8a +00 +ce +10 +3e +10 +14 +40 +3f +10 +ff +20 +90 +00 +1f +10 +42 +00 +c4 +00 +49 +00 +d0 +00 +3f +00 +a7 +00 +2c +00 +89 +00 +32 +00 +86 +00 +2c +00 +7a +00 +2c +00 +70 +00 +2c +00 +60 +00 +24 +00 +62 +00 +21 +00 +6d +00 +23 +00 +68 +00 +28 +00 +77 +00 +36 +00 +bb +00 +34 +00 +76 +00 +2e +00 +70 +00 +28 +00 +61 +00 +2c +00 +74 +00 +28 +00 +6b +00 +1e +00 +65 +00 +2b +00 +73 +00 +28 +00 +60 +00 +23 +00 +76 +00 +21 +00 +61 +00 +1f +00 +4a +00 +1f +00 +44 +00 +15 +00 +4b +00 +18 +00 +4e +00 +16 +00 +46 +00 +1a +00 +41 +00 +17 +00 +3c +00 +14 +00 +3c +00 +13 +00 +3f +00 +18 +00 +37 +00 +12 +00 +2b +00 +14 +00 +36 +00 +13 +00 +3e +00 +1d +00 +3f +00 +26 +00 +55 +00 +1a +00 +54 +00 +1e +00 +50 +00 +1f +00 +52 +00 +28 +00 +5d +00 +2c +00 +61 +00 +1e +00 +59 +00 +1e +00 +4c +00 +1c +00 +5f +00 +26 +00 +69 +00 +27 +00 +7a +00 +6d +00 +ee +00 +42 +00 +a1 +00 +2b +00 +59 +00 +22 +00 +40 +00 +20 +00 +48 +00 +20 +00 +53 +00 +1b +00 +53 +00 +1e +00 +56 +00 +1f +00 +63 +00 +1a +00 +49 +00 +1b +00 +52 +00 +1b +00 +51 +00 +18 +00 +3e +00 +15 +00 +40 +00 +15 +00 +3f +00 +1f +00 +42 +00 +17 +00 +47 +00 +18 +00 +41 +00 +17 +00 +43 +00 +1b +00 +53 +00 +28 +00 +52 +00 +26 +00 +87 +00 +2d +00 +5c +00 +1d +00 +4e +00 +25 +00 +46 +00 +1d +00 +50 +00 +18 +00 +42 +00 +23 +00 +4f +00 +1d +00 +40 +00 +1f +00 +3c +00 +1a +00 +40 +00 +1c +00 +40 +00 +1e +00 +57 +00 +2c +00 +6a +00 +28 +00 +69 +00 +1c +00 +57 +00 +1c +00 +42 +00 +19 +00 +4f +00 +24 +00 +bc +00 +2e +00 +7d +00 +31 +00 +31 +10 +40 +00 +67 +00 +29 +00 +61 +00 +73 +00 +e5 +10 +5b +00 +8f +00 +20 +00 +4f +00 +2c +00 +83 +00 +36 +00 +77 +00 +34 +00 +6b +00 +25 +00 +70 +00 +28 +00 +68 +00 +20 +00 +4e +00 +1a +00 +3b +00 +1d +00 +3b +00 +10 +00 +3c +00 +1c +00 +3b +00 +1d +00 +3b +00 +19 +00 +36 +00 +11 +00 +37 +00 +19 +00 +44 +00 +1e +00 +3f +00 +1d +00 +43 +00 +17 +00 +37 +00 +14 +00 +3c +00 +1a +00 +4c +00 +22 +00 +4d +00 +1f +00 +4d +00 +18 +00 +43 +00 +16 +00 +35 +00 +16 +00 +47 +00 +1b +00 +3b +00 +1b +00 +3b +00 +17 +00 +37 +00 +17 +00 +43 +00 +22 +00 +42 +00 +14 +00 +41 +00 +1a +00 +37 +00 +21 +00 +49 +00 +1a +00 +3f +00 +1c +00 +42 +00 +15 +00 +37 +00 +1a +00 +33 +00 +13 +00 +26 +00 +18 +00 +2a +00 +10 +00 +32 +00 +15 +00 +34 +00 +14 +00 +40 +00 +17 +00 +49 +00 +18 +00 +41 +00 +17 +00 +37 +00 +15 +00 +33 +00 +12 +00 +3c +00 +15 +00 +37 +00 +16 +00 +34 +00 +15 +00 +3e +00 +1e +00 +56 +00 +21 +00 +6e +00 +18 +00 +43 +00 +24 +00 +52 +00 +28 +00 +50 +00 +1d +00 +32 +00 +15 +00 +36 +00 +1f +00 +74 +00 +30 +00 +66 +00 +22 +00 +5e +00 +36 +00 +4a +00 +19 +00 +3c +00 +17 +00 +37 +00 +1b +00 +4b +00 +2c +00 +e3 +00 +52 +00 +5d +00 +22 +00 +7c +00 +57 +00 +4f +10 +49 +00 +a1 +10 +39 +00 +54 +00 +1c +00 +45 +00 +24 +00 +4b +00 +18 +00 +31 +00 +14 +00 +34 +00 +20 +00 +47 +00 +00 +00 +00 +00 +8f +00 +6d +00 +98 +00 +59 +00 +a8 +00 +61 +00 +9f +00 +66 +00 +95 +00 +6f +00 +a1 +00 +6e +00 +a3 +00 +70 +00 +ad +00 +73 +00 +98 +00 +6a +00 +9c +00 +7e +00 +a6 +00 +70 +00 +aa +00 +76 +00 +a1 +00 +71 +00 +9b +00 +61 +00 +a8 +00 +6f +00 +ed +00 +8b +00 +9a +00 +72 +00 +a8 +00 +7e +00 +d7 +00 +77 +00 +76 +00 +55 +00 +70 +00 +3b +00 +5e +00 +37 +00 +61 +00 +33 +00 +56 +00 +38 +00 +5a +00 +30 +00 +57 +00 +3d +00 +5b +00 +51 +00 +71 +00 +e7 +00 +44 +30 +65 +10 +3a +20 +be +00 +ca +00 +79 +00 +ac +00 +b5 +00 +9b +10 +b0 +10 +2a +30 +1e +10 +0d +10 +fe +00 +35 +10 +a1 +10 +62 +30 +7a +20 +2b +50 +65 +30 +a0 +50 +e2 +10 +83 +10 +dd +00 +fd +00 +43 +10 +4b +20 +18 +30 +85 +60 +b6 +30 +f7 +50 +e7 +10 +7b +10 +df +00 +12 +10 +69 +20 +d6 +50 +82 +30 +b2 +40 +13 +20 +3b +20 +ef +00 +75 +10 +60 +10 +c2 +30 +4e +10 +21 +10 +c2 +00 +e2 +00 +62 +10 +e8 +20 +06 +20 +c0 +30 +d5 +10 +1c +20 +42 +10 +53 +10 +2b +10 +30 +10 +2f +10 +90 +10 +d8 +00 +3b +10 +e4 +00 +76 +10 +06 +10 +4a +10 +3f +10 +c1 +10 +cb +20 +04 +40 +22 +30 +71 +30 +af +10 +7c +20 +99 +20 +c3 +40 +ec +10 +c4 +10 +10 +10 +e9 +00 +87 +00 +9a +00 +5f +00 +86 +00 +5d +00 +9a +00 +6b +00 +91 +00 +66 +00 +a5 +00 +6d +00 +97 +00 +4f +10 +eb +20 +15 +30 +18 +60 +8c +20 +91 +20 +63 +10 +87 +10 +76 +10 +97 +30 +25 +20 +51 +40 +e0 +20 +ad +30 +62 +10 +1b +10 +a8 +00 +f4 +00 +d8 +00 +9a +10 +24 +10 +05 +20 +31 +10 +4b +10 +bc +00 +a0 +00 +72 +00 +a5 +00 +76 +00 +b2 +00 +5c +00 +7d +00 +5b +00 +83 +00 +60 +00 +7f +00 +67 +00 +76 +00 +62 +00 +6c +00 +45 +00 +6e +00 +45 +00 +66 +00 +41 +00 +6f +00 +46 +00 +75 +00 +45 +00 +7e +00 +96 +00 +9b +10 +8d +00 +9e +00 +75 +00 +b2 +00 +4d +00 +84 +00 +56 +00 +68 +00 +9a +00 +98 +00 +09 +10 +bd +00 +d5 +00 +ac +00 +9b +10 +38 +10 +20 +10 +97 +00 +81 +00 +51 +00 +43 +00 +55 +00 +34 +00 +50 +00 +2f +00 +4e +00 +32 +00 +56 +00 +2d +00 +4d +00 +28 +00 +41 +00 +28 +00 +42 +00 +23 +00 +39 +00 +22 +00 +2f +00 +27 +00 +3f +00 +1d +00 +38 +00 +20 +00 +38 +00 +1d +00 +39 +00 +26 +00 +51 +00 +29 +00 +50 +00 +33 +00 +4e +00 +34 +00 +55 +00 +65 +00 +88 +00 +46 +00 +68 +00 +34 +00 +59 +00 +34 +00 +51 +00 +2e +00 +5c +00 +37 +00 +5e +00 +33 +00 +65 +00 +44 +00 +93 +00 +52 +00 +99 +00 +46 +00 +71 +00 +3a +00 +3d +00 +29 +00 +4b +00 +2e +00 +58 +00 +2d +00 +56 +00 +27 +00 +4a +00 +28 +00 +58 +00 +37 +00 +72 +00 +81 +00 +69 +00 +4c +00 +59 +00 +21 +00 +4a +00 +22 +00 +3c +00 +20 +00 +45 +00 +27 +00 +46 +00 +20 +00 +40 +00 +24 +00 +3a +00 +1f +00 +47 +00 +2d +00 +3b +00 +47 +00 +56 +10 +55 +00 +5f +00 +39 +00 +57 +00 +35 +00 +5c +00 +2e +00 +4a +00 +21 +00 +41 +00 +2d +00 +45 +00 +29 +00 +40 +00 +2c +00 +4a +00 +21 +00 +3f +00 +23 +00 +42 +00 +21 +00 +43 +00 +24 +00 +41 +00 +37 +00 +68 +00 +30 +00 +70 +00 +2f +00 +4f +00 +25 +00 +48 +00 +22 +00 +50 +00 +37 +00 +5b +00 +3b +00 +72 +00 +45 +00 +5c +00 +3d +00 +79 +00 +54 +00 +62 +00 +4d +00 +83 +00 +65 +00 +c2 +00 +4e +00 +6a +00 +36 +00 +87 +00 +4a +00 +73 +00 +2d +00 +6c +00 +42 +00 +69 +00 +34 +00 +53 +00 +36 +00 +4e +00 +2a +00 +38 +00 +1a +00 +37 +00 +1b +00 +34 +00 +1a +00 +3e +00 +22 +00 +40 +00 +1d +00 +3b +00 +24 +00 +33 +00 +1f +00 +36 +00 +1e +00 +4e +00 +24 +00 +39 +00 +23 +00 +36 +00 +22 +00 +3c +00 +23 +00 +3d +00 +4b +00 +81 +00 +49 +00 +53 +00 +2a +00 +42 +00 +27 +00 +3e +00 +23 +00 +3e +00 +22 +00 +3c +00 +1e +00 +36 +00 +22 +00 +42 +00 +1d +00 +48 +00 +1f +00 +46 +00 +25 +00 +42 +00 +22 +00 +42 +00 +25 +00 +4d +00 +24 +00 +32 +00 +28 +00 +43 +00 +1e +00 +34 +00 +21 +00 +35 +00 +20 +00 +28 +00 +21 +00 +2b +00 +22 +00 +37 +00 +1f +00 +30 +00 +29 +00 +36 +00 +9c +00 +35 +10 +ed +00 +9c +00 +51 +00 +3f +00 +47 +00 +79 +00 +32 +00 +43 +00 +23 +00 +42 +00 +20 +00 +33 +00 +1f +00 +3c +00 +20 +00 +3b +00 +31 +00 +78 +00 +56 +00 +52 +00 +33 +00 +4f +00 +2c +00 +6d +00 +24 +00 +43 +00 +21 +00 +32 +00 +24 +00 +47 +00 +34 +00 +79 +00 +57 +00 +a2 +00 +7d +00 +22 +10 +5d +00 +59 +00 +27 +00 +33 +00 +1f +00 +39 +00 +20 +00 +93 +00 +36 +00 +80 +00 +41 +00 +65 +00 +4c +00 +c4 +00 +46 +00 +91 +00 +45 +00 +9f +00 +3a +00 +47 +00 +42 +00 +64 +00 +2b +00 +47 +00 +1f +00 +31 +00 +1f +00 +3d +00 +20 +00 +00 +00 +00 +00 +3a +00 +a2 +00 +3a +00 +9b +00 +39 +00 +97 +00 +35 +00 +9d +00 +37 +00 +98 +00 +3c +00 +95 +00 +33 +00 +99 +00 +36 +00 +a3 +00 +3b +00 +9c +00 +30 +00 +a7 +00 +41 +00 +a9 +00 +3e +00 +a5 +00 +39 +00 +af +00 +41 +00 +9d +00 +39 +00 +ab +00 +7a +00 +01 +10 +37 +00 +79 +00 +3b +00 +af +00 +31 +00 +7b +00 +2d +00 +7d +00 +20 +00 +64 +00 +27 +00 +58 +00 +25 +00 +63 +00 +22 +00 +5b +00 +21 +00 +56 +00 +23 +00 +57 +00 +23 +00 +67 +00 +2c +00 +dc +00 +98 +00 +b1 +10 +5f +00 +f0 +00 +36 +00 +a6 +00 +33 +00 +96 +00 +9b +00 +67 +20 +aa +00 +6d +10 +59 +00 +6a +10 +d2 +00 +f0 +10 +b2 +00 +4e +20 +d1 +00 +7b +30 +fd +10 +ad +30 +6e +00 +ef +00 +58 +00 +36 +10 +ba +00 +15 +40 +04 +20 +a4 +40 +03 +10 +34 +20 +60 +00 +f9 +00 +68 +00 +6b +30 +5f +20 +80 +60 +e0 +10 +9a +30 +bb +00 +4b +10 +65 +00 +7a +10 +aa +00 +9f +10 +52 +00 +f1 +00 +97 +00 +99 +20 +d5 +00 +02 +20 +9e +00 +dd +10 +6f +00 +45 +10 +66 +00 +72 +10 +9b +00 +a9 +10 +87 +00 +28 +10 +50 +00 +ea +00 +63 +00 +0e +10 +62 +00 +0b +10 +fc +00 +3e +50 +a7 +10 +ff +30 +30 +10 +16 +20 +17 +10 +39 +40 +8b +10 +6b +30 +b8 +00 +df +10 +6c +00 +d6 +00 +3d +00 +88 +00 +33 +00 +8e +00 +35 +00 +8b +00 +33 +00 +85 +00 +30 +00 +9d +00 +40 +00 +ee +00 +1a +10 +8c +50 +e5 +10 +c1 +30 +a3 +00 +6e +10 +7a +00 +58 +10 +b5 +00 +4f +20 +d2 +00 +97 +20 +c3 +00 +84 +10 +3b +00 +b7 +00 +4a +00 +d7 +00 +54 +00 +4b +10 +66 +00 +27 +10 +46 +00 +ab +00 +34 +00 +97 +00 +37 +00 +94 +00 +2b +00 +77 +00 +30 +00 +7a +00 +31 +00 +75 +00 +38 +00 +8f +00 +2f +00 +86 +00 +2a +00 +77 +00 +2c +00 +74 +00 +2f +00 +7a +00 +29 +00 +6b +00 +28 +00 +75 +00 +30 +00 +c5 +00 +d0 +00 +9c +10 +44 +00 +a3 +00 +54 +00 +c4 +00 +34 +00 +91 +00 +57 +00 +67 +10 +e3 +00 +0b +30 +0e +10 +bd +10 +0e +10 +85 +30 +d8 +10 +4a +30 +55 +00 +a2 +00 +23 +00 +5e +00 +1d +00 +63 +00 +17 +00 +5d +00 +1e +00 +52 +00 +24 +00 +6a +00 +22 +00 +63 +00 +1e +00 +3d +00 +17 +00 +31 +00 +16 +00 +3a +00 +12 +00 +32 +00 +16 +00 +37 +00 +16 +00 +33 +00 +17 +00 +3e +00 +1e +00 +50 +00 +24 +00 +5c +00 +29 +00 +5b +00 +1d +00 +4e +00 +1f +00 +d2 +00 +a1 +00 +b7 +00 +25 +00 +69 +00 +22 +00 +49 +00 +25 +00 +51 +00 +21 +00 +5a +00 +23 +00 +59 +00 +23 +00 +4d +00 +2a +00 +6e +00 +31 +00 +7a +00 +23 +00 +4c +00 +1d +00 +4e +00 +21 +00 +4f +00 +25 +00 +52 +00 +19 +00 +56 +00 +1d +00 +47 +00 +22 +00 +5f +00 +58 +00 +40 +20 +62 +00 +78 +00 +21 +00 +4e +00 +1b +00 +41 +00 +19 +00 +4b +00 +18 +00 +40 +00 +16 +00 +42 +00 +16 +00 +3d +00 +22 +00 +3d +00 +17 +00 +3e +00 +1f +00 +59 +00 +41 +00 +84 +00 +25 +00 +58 +00 +25 +00 +51 +00 +20 +00 +4a +00 +19 +00 +39 +00 +1d +00 +3b +00 +1a +00 +41 +00 +1d +00 +45 +00 +19 +00 +3c +00 +1a +00 +36 +00 +19 +00 +3a +00 +13 +00 +44 +00 +1d +00 +51 +00 +26 +00 +61 +00 +1d +00 +54 +00 +1f +00 +4e +00 +17 +00 +50 +00 +20 +00 +50 +00 +21 +00 +62 +00 +2b +00 +64 +00 +26 +00 +64 +00 +2b +00 +ba +00 +2e +00 +70 +00 +25 +00 +81 +00 +2e +00 +80 +00 +24 +00 +5b +00 +1e +00 +56 +00 +21 +00 +60 +00 +22 +00 +51 +00 +20 +00 +47 +00 +1d +00 +4b +00 +15 +00 +37 +00 +18 +00 +37 +00 +0e +00 +2d +00 +0f +00 +37 +00 +16 +00 +32 +00 +16 +00 +3b +00 +16 +00 +43 +00 +16 +00 +38 +00 +17 +00 +39 +00 +19 +00 +38 +00 +1a +00 +33 +00 +1a +00 +3a +00 +17 +00 +40 +00 +16 +00 +6d +00 +a1 +00 +34 +10 +25 +00 +44 +00 +1e +00 +41 +00 +14 +00 +3b +00 +14 +00 +35 +00 +15 +00 +33 +00 +14 +00 +39 +00 +14 +00 +42 +00 +19 +00 +3f +00 +1c +00 +4d +00 +24 +00 +4a +00 +18 +00 +45 +00 +12 +00 +37 +00 +14 +00 +39 +00 +19 +00 +3b +00 +12 +00 +2a +00 +13 +00 +2f +00 +11 +00 +2c +00 +15 +00 +31 +00 +19 +00 +33 +00 +12 +00 +32 +00 +29 +00 +67 +10 +7d +10 +ac +20 +55 +00 +7b +00 +24 +00 +82 +00 +35 +00 +53 +00 +16 +00 +45 +00 +1f +00 +39 +00 +17 +00 +33 +00 +17 +00 +49 +00 +20 +00 +47 +00 +4c +00 +b5 +00 +29 +00 +51 +00 +2c +00 +66 +00 +23 +00 +42 +00 +1d +00 +3e +00 +18 +00 +53 +00 +27 +00 +64 +00 +2b +00 +b7 +00 +c6 +00 +e2 +10 +65 +00 +95 +00 +23 +00 +52 +00 +16 +00 +3c +00 +17 +00 +41 +00 +2e +00 +6e +00 +27 +00 +53 +00 +26 +00 +5b +00 +25 +00 +73 +00 +29 +00 +7e +00 +35 +00 +5c +00 +23 +00 +b6 +00 +31 +00 +5d +00 +1d +00 +3f +00 +15 +00 +32 +00 +16 +00 +3f +00 +00 +00 +00 +00 +9f +00 +67 +00 +a5 +00 +64 +00 +a5 +00 +69 +00 +99 +00 +6c +00 +9f +00 +6a +00 +a2 +00 +71 +00 +a9 +00 +6f +00 +a9 +00 +6d +00 +a2 +00 +72 +00 +a7 +00 +69 +00 +a3 +00 +6c +00 +a1 +00 +70 +00 +aa +00 +70 +00 +a4 +00 +61 +00 +a1 +00 +79 +00 +d8 +00 +59 +00 +7d +00 +4c +00 +81 +00 +5e +00 +80 +00 +9f +00 +c9 +00 +65 +00 +7b +00 +3c +00 +51 +00 +3b +00 +62 +00 +39 +00 +61 +00 +3e +00 +66 +00 +36 +00 +58 +00 +4f +00 +6f +00 +58 +00 +60 +00 +79 +00 +c7 +00 +9c +00 +f2 +00 +78 +00 +86 +00 +63 +00 +83 +00 +7d +00 +b9 +00 +9e +00 +3a +10 +ee +00 +4c +10 +6c +10 +ba +10 +12 +10 +8f +10 +39 +10 +b7 +10 +fd +10 +4d +50 +34 +20 +cb +10 +bb +00 +c4 +00 +38 +10 +16 +20 +50 +30 +0b +50 +9b +20 +79 +20 +13 +10 +ea +00 +c8 +00 +22 +10 +bb +20 +af +60 +e3 +20 +79 +40 +83 +10 +7c +10 +d6 +00 +fb +00 +bd +00 +14 +10 +a7 +00 +cf +00 +e6 +00 +1d +30 +78 +10 +df +10 +ff +00 +76 +10 +0b +10 +4b +10 +0e +10 +5a +10 +cd +10 +43 +30 +14 +10 +f0 +00 +a5 +00 +c7 +00 +9e +00 +e8 +00 +96 +00 +e2 +00 +cc +00 +84 +10 +09 +20 +af +40 +16 +20 +dc +30 +a8 +10 +65 +20 +e7 +10 +02 +50 +a6 +30 +e3 +50 +79 +20 +11 +20 +b2 +00 +a9 +00 +5a +00 +94 +00 +5e +00 +9c +00 +4c +00 +7b +00 +48 +00 +77 +00 +68 +00 +93 +00 +dd +00 +89 +10 +98 +10 +5b +50 +98 +20 +fc +20 +2d +10 +7a +10 +f8 +00 +30 +10 +fd +00 +8d +10 +fc +00 +48 +10 +c8 +00 +a8 +00 +6f +00 +a8 +00 +8b +00 +e5 +00 +ba +00 +e9 +00 +bb +00 +a9 +00 +67 +00 +88 +00 +68 +00 +92 +00 +5c +00 +7f +00 +5d +00 +81 +00 +89 +00 +96 +00 +1e +10 +ef +00 +86 +10 +42 +10 +af +00 +8d +00 +59 +00 +6e +00 +50 +00 +77 +00 +4d +00 +82 +00 +47 +00 +76 +00 +60 +00 +94 +00 +af +00 +f2 +00 +63 +00 +ce +00 +65 +00 +80 +00 +67 +00 +31 +10 +0c +10 +1b +20 +b4 +10 +6b +30 +30 +20 +dc +40 +50 +30 +0f +60 +3d +40 +f4 +50 +d8 +20 +10 +30 +fc +00 +80 +00 +4e +00 +5e +00 +3f +00 +60 +00 +2f +00 +5a +00 +3f +00 +5d +00 +41 +00 +79 +00 +2e +00 +53 +00 +29 +00 +3c +00 +28 +00 +36 +00 +27 +00 +38 +00 +1d +00 +35 +00 +37 +00 +3b +00 +31 +00 +45 +00 +29 +00 +44 +00 +2a +00 +68 +00 +32 +00 +65 +00 +29 +00 +55 +00 +3a +00 +4f +00 +63 +00 +7b +10 +68 +00 +97 +00 +3f +00 +59 +00 +2d +00 +5a +00 +2b +00 +63 +00 +36 +00 +5a +00 +31 +00 +5d +00 +31 +00 +5d +00 +37 +00 +79 +00 +37 +00 +56 +00 +2f +00 +49 +00 +27 +00 +4b +00 +26 +00 +4c +00 +25 +00 +50 +00 +2c +00 +4f +00 +2b +00 +4b +00 +3d +00 +8a +00 +80 +00 +5c +10 +5e +00 +73 +00 +31 +00 +3b +00 +22 +00 +3f +00 +22 +00 +42 +00 +1f +00 +3b +00 +19 +00 +45 +00 +1f +00 +3a +00 +1d +00 +40 +00 +20 +00 +42 +00 +2b +00 +50 +00 +38 +00 +67 +00 +35 +00 +5b +00 +2c +00 +3c +00 +29 +00 +3f +00 +2d +00 +42 +00 +2d +00 +44 +00 +23 +00 +4b +00 +2b +00 +44 +00 +22 +00 +40 +00 +27 +00 +41 +00 +25 +00 +46 +00 +28 +00 +48 +00 +2d +00 +4e +00 +2c +00 +47 +00 +2f +00 +56 +00 +35 +00 +50 +00 +2b +00 +40 +00 +28 +00 +3f +00 +2c +00 +5c +00 +37 +00 +48 +00 +34 +00 +65 +00 +3e +00 +7e +00 +43 +00 +9a +00 +43 +00 +65 +00 +3f +00 +68 +00 +6d +00 +75 +00 +38 +00 +56 +00 +3b +00 +4f +00 +2f +00 +50 +00 +34 +00 +4f +00 +22 +00 +39 +00 +21 +00 +3c +00 +19 +00 +2b +00 +1d +00 +30 +00 +1f +00 +36 +00 +1f +00 +38 +00 +1c +00 +49 +00 +1d +00 +30 +00 +22 +00 +30 +00 +1f +00 +3c +00 +25 +00 +3c +00 +24 +00 +3a +00 +25 +00 +38 +00 +22 +00 +4b +00 +33 +00 +99 +00 +48 +00 +8c +00 +31 +00 +4c +00 +2a +00 +3d +00 +28 +00 +41 +00 +20 +00 +3a +00 +26 +00 +36 +00 +20 +00 +3c +00 +21 +00 +44 +00 +23 +00 +3e +00 +30 +00 +76 +00 +29 +00 +42 +00 +27 +00 +3c +00 +27 +00 +3d +00 +1f +00 +37 +00 +20 +00 +2f +00 +1f +00 +2b +00 +1b +00 +2c +00 +19 +00 +2a +00 +1d +00 +2f +00 +25 +00 +3c +00 +2f +00 +60 +00 +87 +00 +71 +20 +e6 +00 +0f +10 +c6 +00 +7f +00 +85 +00 +6c +00 +4c +00 +54 +00 +30 +00 +4e +00 +26 +00 +3f +00 +21 +00 +35 +00 +25 +00 +3d +00 +57 +00 +63 +10 +b9 +00 +94 +00 +72 +00 +7e +00 +44 +00 +5b +00 +28 +00 +3b +00 +2d +00 +43 +00 +32 +00 +5d +00 +4b +00 +ba +00 +68 +00 +ba +10 +91 +00 +d2 +00 +4c +00 +71 +00 +30 +00 +37 +00 +21 +00 +3f +00 +27 +00 +5a +00 +2d +00 +4d +00 +26 +00 +4c +00 +30 +00 +5d +00 +31 +00 +62 +00 +40 +00 +5c +00 +2c +00 +54 +00 +38 +00 +93 +00 +31 +00 +4a +00 +27 +00 +30 +00 +20 +00 +41 +00 +25 +00 +00 +00 +00 +00 +3c +00 +9b +00 +30 +00 +a0 +00 +37 +00 +ae +00 +38 +00 +9e +00 +33 +00 +8a +00 +36 +00 +a0 +00 +3a +00 +a0 +00 +36 +00 +a9 +00 +3c +00 +9f +00 +3a +00 +a1 +00 +2e +00 +ad +00 +31 +00 +a8 +00 +36 +00 +b1 +00 +31 +00 +9f +00 +3a +00 +9c +00 +32 +00 +7b +00 +22 +00 +6c +00 +29 +00 +69 +00 +32 +00 +45 +10 +dc +00 +94 +10 +31 +00 +7c +00 +25 +00 +63 +00 +1e +00 +65 +00 +24 +00 +66 +00 +1c +00 +55 +00 +24 +00 +76 +00 +66 +00 +b6 +00 +24 +00 +78 +00 +39 +00 +c5 +00 +31 +00 +7a +00 +28 +00 +73 +00 +2e +00 +86 +00 +37 +00 +dc +00 +3d +00 +bc +00 +e7 +00 +b3 +20 +8e +00 +3d +10 +68 +00 +2c +10 +6d +00 +db +10 +ab +10 +42 +40 +7c +00 +e4 +00 +42 +00 +db +00 +30 +10 +a2 +50 +24 +20 +66 +50 +c3 +00 +40 +10 +53 +00 +d3 +00 +93 +00 +41 +40 +04 +20 +fd +30 +bb +00 +8f +10 +5b +00 +cf +00 +45 +00 +eb +00 +52 +00 +c5 +00 +3c +00 +db +00 +91 +00 +b7 +10 +73 +00 +38 +10 +69 +00 +22 +10 +d4 +00 +1a +20 +81 +00 +37 +20 +c0 +00 +7e +10 +4f +00 +d2 +00 +48 +00 +b5 +00 +45 +00 +c4 +00 +45 +00 +ec +00 +74 +00 +bf +10 +ca +00 +47 +20 +db +00 +0e +20 +80 +00 +36 +20 +86 +10 +3a +50 +33 +20 +d9 +40 +ae +00 +fe +00 +38 +00 +8f +00 +31 +00 +80 +00 +31 +00 +80 +00 +2c +00 +85 +00 +2c +00 +8f +00 +37 +00 +bf +00 +52 +00 +75 +10 +bd +00 +96 +20 +bf +00 +c4 +10 +ae +00 +a4 +10 +59 +00 +09 +10 +50 +00 +13 +10 +3d +00 +b9 +00 +37 +00 +83 +00 +33 +00 +a1 +00 +3f +00 +ae +00 +3d +00 +8e +00 +37 +00 +8e +00 +27 +00 +84 +00 +30 +00 +83 +00 +34 +00 +89 +00 +41 +00 +c9 +00 +9e +00 +9a +20 +66 +10 +c7 +30 +9b +00 +0f +10 +36 +00 +87 +00 +34 +00 +90 +00 +32 +00 +8a +00 +27 +00 +82 +00 +36 +00 +95 +00 +3e +00 +fd +00 +4f +00 +ac +00 +2d +00 +71 +00 +28 +00 +82 +00 +4c +00 +4d +10 +b1 +00 +15 +20 +c0 +00 +ab +20 +ad +10 +92 +60 +e2 +20 +57 +80 +a1 +20 +b2 +50 +bb +00 +71 +10 +31 +00 +74 +00 +27 +00 +5d +00 +20 +00 +63 +00 +25 +00 +5c +00 +20 +00 +71 +00 +26 +00 +5b +00 +1b +00 +50 +00 +17 +00 +3b +00 +12 +00 +40 +00 +1f +00 +35 +00 +18 +00 +73 +00 +3b +00 +59 +00 +1f +00 +4b +00 +27 +00 +3c +00 +25 +00 +73 +00 +27 +00 +55 +00 +26 +00 +66 +00 +26 +00 +73 +00 +3c +00 +a3 +00 +35 +00 +5a +00 +29 +00 +50 +00 +14 +00 +48 +00 +19 +00 +57 +00 +1e +00 +58 +00 +2a +00 +60 +00 +1f +00 +57 +00 +28 +00 +59 +00 +19 +00 +4f +00 +1f +00 +3e +00 +1f +00 +51 +00 +1a +00 +4b +00 +1d +00 +51 +00 +1c +00 +55 +00 +21 +00 +4c +00 +2f +00 +93 +00 +3d +00 +9f +00 +22 +00 +50 +00 +16 +00 +40 +00 +1b +00 +3d +00 +12 +00 +48 +00 +12 +00 +3d +00 +19 +00 +3c +00 +18 +00 +39 +00 +19 +00 +42 +00 +14 +00 +4b +00 +1b +00 +4c +00 +24 +00 +58 +00 +1f +00 +46 +00 +1e +00 +4a +00 +1b +00 +4b +00 +1e +00 +42 +00 +21 +00 +3e +00 +20 +00 +4a +00 +19 +00 +44 +00 +1b +00 +44 +00 +15 +00 +44 +00 +1c +00 +3a +00 +18 +00 +5b +00 +25 +00 +4b +00 +28 +00 +76 +00 +20 +00 +50 +00 +17 +00 +44 +00 +1a +00 +50 +00 +1e +00 +48 +00 +1f +00 +4a +00 +1b +00 +47 +00 +12 +00 +52 +00 +24 +00 +67 +00 +2d +00 +6e +00 +1e +00 +55 +00 +39 +00 +61 +10 +3b +00 +5d +00 +1e +00 +48 +00 +1e +00 +43 +00 +16 +00 +47 +00 +15 +00 +3f +00 +12 +00 +39 +00 +19 +00 +31 +00 +14 +00 +39 +00 +14 +00 +40 +00 +12 +00 +3d +00 +19 +00 +3c +00 +15 +00 +3d +00 +16 +00 +32 +00 +20 +00 +40 +00 +16 +00 +41 +00 +19 +00 +34 +00 +15 +00 +3e +00 +14 +00 +34 +00 +18 +00 +4f +00 +28 +00 +63 +00 +28 +00 +5f +00 +1f +00 +4f +00 +1c +00 +47 +00 +20 +00 +41 +00 +15 +00 +43 +00 +14 +00 +38 +00 +17 +00 +41 +00 +17 +00 +39 +00 +19 +00 +39 +00 +1b +00 +4b +00 +13 +00 +38 +00 +1c +00 +34 +00 +13 +00 +3c +00 +10 +00 +2c +00 +12 +00 +2e +00 +0d +00 +29 +00 +16 +00 +29 +00 +15 +00 +2e +00 +10 +00 +31 +00 +18 +00 +4a +00 +2e +00 +f3 +00 +6a +00 +12 +10 +78 +00 +0b +20 +f9 +00 +3a +10 +43 +00 +8d +00 +38 +00 +6c +00 +1d +00 +40 +00 +16 +00 +47 +00 +1e +00 +4b +00 +29 +00 +7a +00 +88 +00 +61 +10 +51 +00 +5e +10 +98 +00 +a3 +00 +1c +00 +46 +00 +22 +00 +5d +00 +1c +00 +54 +00 +28 +00 +86 +00 +3a +00 +98 +00 +3a +00 +c0 +00 +40 +00 +94 +00 +2e +00 +5c +00 +17 +00 +3e +00 +1c +00 +4d +00 +22 +00 +45 +00 +1e +00 +4d +00 +1a +00 +57 +00 +21 +00 +4d +00 +1f +00 +52 +00 +25 +00 +61 +00 +1b +00 +65 +00 +2d +00 +72 +00 +1c +00 +3b +00 +1c +00 +3a +00 +14 +00 +41 +00 +00 +00 +00 +00 +97 +00 +6f +00 +97 +00 +6c +00 +92 +00 +6f +00 +95 +00 +6b +00 +98 +00 +6a +00 +9c +00 +6e +00 +a8 +00 +71 +00 +a5 +00 +6d +00 +9e +00 +71 +00 +9c +00 +6a +00 +a0 +00 +73 +00 +a1 +00 +6e +00 +94 +00 +6b +00 +a8 +00 +70 +00 +9a +00 +66 +00 +84 +00 +4b +00 +69 +00 +46 +00 +62 +00 +48 +00 +79 +00 +97 +00 +7f +10 +c9 +00 +f1 +00 +4a +00 +67 +00 +49 +00 +67 +00 +70 +00 +04 +10 +45 +00 +62 +00 +42 +00 +53 +00 +4e +00 +7b +00 +5f +00 +8c +00 +5a +00 +90 +00 +61 +00 +7a +00 +4e +00 +76 +00 +51 +00 +6e +00 +4e +00 +8f +00 +81 +00 +9e +00 +98 +00 +50 +10 +48 +10 +21 +20 +f0 +00 +eb +00 +c6 +00 +f3 +00 +2e +10 +6b +20 +4d +10 +c2 +10 +b5 +00 +a4 +00 +f0 +00 +13 +20 +02 +30 +ff +60 +65 +30 +a9 +30 +1a +10 +e3 +00 +a6 +00 +0a +10 +54 +10 +20 +30 +90 +10 +ac +10 +d6 +00 +d8 +00 +86 +00 +bb +00 +89 +00 +be +00 +6e +00 +a3 +00 +7a +00 +d7 +00 +8d +00 +1a +10 +c1 +00 +e1 +00 +aa +00 +fb +00 +b4 +00 +32 +10 +3b +10 +44 +20 +64 +10 +7d +10 +87 +00 +c6 +00 +7a +00 +c1 +00 +94 +00 +cd +00 +a6 +00 +fb +00 +f3 +00 +a4 +10 +95 +10 +7a +10 +da +00 +63 +10 +44 +10 +65 +20 +b7 +20 +9b +40 +9c +10 +ac +10 +9d +00 +a2 +00 +61 +00 +8d +00 +5d +00 +8d +00 +4d +00 +7a +00 +50 +00 +75 +00 +59 +00 +79 +00 +9b +00 +be +00 +d9 +00 +34 +10 +e1 +00 +3b +10 +ca +00 +f3 +00 +97 +00 +02 +10 +b3 +00 +b8 +00 +9a +00 +a3 +00 +70 +00 +87 +00 +57 +00 +95 +00 +72 +00 +92 +00 +60 +00 +9e +00 +5e +00 +80 +00 +5b +00 +8c +00 +65 +00 +88 +00 +62 +00 +85 +00 +c7 +00 +1b +10 +bc +20 +cc +40 +a9 +30 +44 +50 +f9 +20 +b5 +20 +17 +10 +b8 +00 +6d +00 +90 +00 +55 +00 +81 +00 +60 +00 +83 +00 +93 +00 +83 +10 +0c +10 +ee +00 +89 +00 +a6 +00 +63 +00 +87 +00 +53 +00 +7c +00 +73 +00 +90 +00 +8b +00 +df +00 +b2 +00 +2c +10 +80 +10 +7b +30 +54 +40 +0e +80 +fd +40 +fc +70 +b0 +30 +21 +30 +4a +10 +a5 +00 +55 +00 +6f +00 +39 +00 +65 +00 +36 +00 +5f +00 +3b +00 +6c +00 +3c +00 +6f +00 +32 +00 +68 +00 +34 +00 +3c +00 +2b +00 +43 +00 +26 +00 +3a +00 +29 +00 +48 +00 +44 +00 +a0 +00 +41 +00 +58 +00 +2c +00 +48 +00 +2f +00 +66 +00 +2f +00 +6c +00 +32 +00 +6c +00 +72 +00 +83 +00 +72 +00 +87 +00 +4a +00 +91 +00 +39 +00 +61 +00 +31 +00 +4e +00 +33 +00 +51 +00 +38 +00 +5d +00 +37 +00 +67 +00 +34 +00 +55 +00 +32 +00 +65 +00 +34 +00 +48 +00 +38 +00 +7a +00 +24 +00 +4e +00 +2a +00 +51 +00 +24 +00 +4a +00 +26 +00 +4b +00 +29 +00 +50 +00 +35 +00 +62 +00 +38 +00 +67 +00 +37 +00 +5f +00 +2a +00 +44 +00 +20 +00 +42 +00 +1d +00 +46 +00 +22 +00 +47 +00 +21 +00 +43 +00 +1d +00 +35 +00 +23 +00 +3a +00 +1f +00 +47 +00 +25 +00 +3d +00 +26 +00 +4e +00 +2d +00 +49 +00 +24 +00 +43 +00 +2f +00 +55 +00 +84 +00 +6e +00 +4d +00 +55 +00 +3a +00 +4e +00 +27 +00 +4c +00 +28 +00 +46 +00 +23 +00 +37 +00 +2b +00 +4e +00 +2f +00 +46 +00 +38 +00 +46 +00 +3c +00 +5e +00 +4d +00 +76 +00 +30 +00 +48 +00 +26 +00 +47 +00 +32 +00 +48 +00 +3a +00 +64 +00 +36 +00 +4e +00 +2f +00 +53 +00 +2a +00 +59 +00 +37 +00 +5d +00 +33 +00 +65 +00 +37 +00 +6e +00 +42 +00 +8e +00 +39 +00 +56 +00 +2f +00 +46 +00 +30 +00 +43 +00 +24 +00 +4b +00 +24 +00 +3b +00 +28 +00 +35 +00 +24 +00 +31 +00 +1d +00 +40 +00 +22 +00 +42 +00 +20 +00 +46 +00 +1c +00 +3b +00 +1b +00 +2f +00 +22 +00 +40 +00 +22 +00 +43 +00 +25 +00 +38 +00 +22 +00 +38 +00 +20 +00 +40 +00 +26 +00 +3f +00 +29 +00 +57 +00 +31 +00 +5b +00 +3e +00 +75 +00 +3b +00 +68 +00 +48 +00 +90 +00 +45 +00 +44 +00 +24 +00 +36 +00 +1f +00 +3c +00 +16 +00 +39 +00 +21 +00 +38 +00 +24 +00 +3d +00 +27 +00 +50 +00 +4b +00 +5c +00 +30 +00 +41 +00 +23 +00 +34 +00 +1f +00 +36 +00 +24 +00 +36 +00 +1d +00 +2f +00 +1d +00 +2d +00 +19 +00 +39 +00 +25 +00 +3e +00 +35 +00 +5d +00 +5d +00 +be +00 +95 +00 +6c +10 +77 +10 +e8 +20 +af +00 +fd +00 +53 +00 +92 +00 +3d +00 +4b +00 +2b +00 +41 +00 +37 +00 +56 +00 +32 +00 +48 +00 +48 +00 +85 +00 +61 +00 +10 +10 +82 +00 +dc +00 +59 +00 +54 +00 +38 +00 +bd +00 +3c +00 +6d +00 +2c +00 +5c +00 +36 +00 +64 +00 +43 +00 +7f +00 +47 +00 +8e +00 +41 +00 +73 +00 +2c +00 +4f +00 +24 +00 +40 +00 +2c +00 +3a +00 +28 +00 +49 +00 +23 +00 +54 +00 +2e +00 +5b +00 +29 +00 +4d +00 +2e +00 +4f +00 +30 +00 +57 +00 +3e +00 +ba +00 +3f +00 +50 +00 +24 +00 +42 +00 +1e +00 +46 +00 +1f +00 +00 +00 +00 +00 +37 +00 +99 +00 +31 +00 +a2 +00 +3a +00 +9b +00 +39 +00 +a9 +00 +37 +00 +9a +00 +3d +00 +a4 +00 +3a +00 +a9 +00 +3d +00 +a9 +00 +33 +00 +9b +00 +3a +00 +a2 +00 +42 +00 +9b +00 +34 +00 +a4 +00 +3d +00 +a5 +00 +30 +00 +a1 +00 +42 +00 +bc +00 +29 +00 +76 +00 +2d +00 +59 +00 +1b +00 +53 +00 +2d +00 +d8 +00 +8c +00 +a8 +10 +48 +00 +8a +00 +26 +00 +69 +00 +2d +00 +93 +00 +45 +00 +aa +00 +2a +00 +5f +00 +22 +00 +67 +00 +28 +00 +75 +00 +24 +00 +79 +00 +24 +00 +80 +00 +23 +00 +67 +00 +1f +00 +6f +00 +28 +00 +6d +00 +28 +00 +80 +00 +25 +00 +7c +00 +5c +00 +bf +10 +83 +00 +15 +10 +4d +00 +bb +00 +43 +00 +15 +10 +90 +00 +98 +10 +5d +00 +c2 +00 +39 +00 +bd +00 +92 +00 +b9 +20 +04 +20 +9f +50 +0d +10 +b0 +10 +4d +00 +c6 +00 +51 +00 +2c +10 +8f +00 +7c +10 +5e +00 +e0 +00 +33 +00 +98 +00 +33 +00 +b1 +00 +35 +00 +b0 +00 +2e +00 +97 +00 +36 +00 +ec +00 +4d +00 +d3 +00 +4a +00 +d2 +00 +41 +00 +bc +00 +6a +00 +de +10 +fc +00 +2c +20 +7d +00 +f1 +00 +42 +00 +c5 +00 +78 +00 +c0 +10 +60 +00 +ec +00 +46 +00 +21 +10 +d0 +00 +b1 +20 +90 +00 +fb +00 +6e +00 +9a +10 +24 +10 +1b +40 +48 +10 +5f +20 +5b +00 +d5 +00 +39 +00 +86 +00 +31 +00 +95 +00 +25 +00 +7a +00 +28 +00 +7b +00 +2a +00 +78 +00 +34 +00 +8a +00 +39 +00 +cf +00 +4b +00 +11 +10 +4e +00 +d0 +00 +39 +00 +b5 +00 +41 +00 +9e +00 +30 +00 +89 +00 +2c +00 +7b +00 +2a +00 +81 +00 +2e +00 +87 +00 +31 +00 +89 +00 +31 +00 +81 +00 +32 +00 +89 +00 +36 +00 +8e +00 +34 +00 +90 +00 +3f +00 +e5 +00 +4c +10 +b2 +50 +87 +20 +61 +60 +1e +20 +34 +60 +15 +10 +b9 +10 +42 +00 +9e +00 +33 +00 +98 +00 +33 +00 +90 +00 +30 +00 +b0 +00 +e9 +00 +f4 +20 +6d +00 +d5 +00 +3a +00 +a0 +00 +24 +00 +7d +00 +3c +00 +bd +00 +39 +00 +aa +00 +3d +00 +cb +00 +50 +00 +7f +10 +bf +10 +f9 +50 +c8 +20 +7f +80 +25 +30 +8a +70 +fe +00 +75 +10 +34 +00 +80 +00 +1f +00 +6b +00 +23 +00 +59 +00 +21 +00 +57 +00 +22 +00 +5e +00 +24 +00 +5e +00 +20 +00 +46 +00 +14 +00 +47 +00 +18 +00 +35 +00 +18 +00 +42 +00 +22 +00 +82 +00 +2c +00 +60 +00 +1f +00 +51 +00 +21 +00 +49 +00 +28 +00 +5e +00 +2e +00 +6e +00 +40 +00 +0f +10 +e2 +00 +1d +10 +38 +00 +7f +00 +24 +00 +64 +00 +21 +00 +54 +00 +19 +00 +55 +00 +21 +00 +50 +00 +1d +00 +5e +00 +20 +00 +4f +00 +25 +00 +59 +00 +1a +00 +40 +00 +1e +00 +55 +00 +2c +00 +59 +00 +1c +00 +43 +00 +21 +00 +4d +00 +21 +00 +50 +00 +18 +00 +45 +00 +1e +00 +4e +00 +1e +00 +64 +00 +27 +00 +55 +00 +19 +00 +4f +00 +18 +00 +44 +00 +1d +00 +41 +00 +1b +00 +4b +00 +17 +00 +42 +00 +18 +00 +40 +00 +18 +00 +37 +00 +1c +00 +3d +00 +14 +00 +3c +00 +1e +00 +4e +00 +19 +00 +4b +00 +1e +00 +4b +00 +1a +00 +54 +00 +5a +00 +cc +10 +65 +00 +7f +00 +20 +00 +5a +00 +4a +00 +77 +00 +1d +00 +41 +00 +1c +00 +40 +00 +17 +00 +42 +00 +1b +00 +4d +00 +2a +00 +61 +00 +1c +00 +52 +00 +23 +00 +4d +00 +1e +00 +4d +00 +1a +00 +49 +00 +17 +00 +45 +00 +20 +00 +58 +00 +5f +00 +8e +00 +1f +00 +47 +00 +21 +00 +56 +00 +20 +00 +46 +00 +1f +00 +5c +00 +1d +00 +5a +00 +1c +00 +67 +00 +26 +00 +77 +00 +1d +00 +4e +00 +19 +00 +46 +00 +1a +00 +53 +00 +22 +00 +4a +00 +22 +00 +3e +00 +18 +00 +3d +00 +18 +00 +45 +00 +1c +00 +3d +00 +17 +00 +4d +00 +1b +00 +36 +00 +0f +00 +30 +00 +0f +00 +36 +00 +16 +00 +43 +00 +1b +00 +44 +00 +18 +00 +37 +00 +15 +00 +3e +00 +1c +00 +3f +00 +19 +00 +40 +00 +20 +00 +57 +00 +25 +00 +76 +00 +2c +00 +80 +00 +52 +00 +be +00 +64 +00 +85 +00 +1d +00 +4f +00 +18 +00 +39 +00 +12 +00 +33 +00 +11 +00 +3d +00 +19 +00 +37 +00 +1f +00 +30 +00 +22 +00 +a3 +00 +39 +00 +61 +00 +1c +00 +35 +00 +13 +00 +30 +00 +11 +00 +31 +00 +18 +00 +40 +00 +14 +00 +32 +00 +17 +00 +34 +00 +18 +00 +47 +00 +1f +00 +40 +00 +1e +00 +5d +00 +2f +00 +b2 +00 +64 +00 +74 +10 +75 +00 +09 +10 +45 +00 +9e +00 +3d +00 +70 +00 +22 +00 +4f +00 +1e +00 +4a +00 +3f +00 +aa +00 +26 +00 +60 +00 +2d +00 +98 +00 +2f +00 +9e +00 +2e +00 +91 +00 +17 +00 +43 +00 +43 +00 +82 +00 +26 +00 +65 +00 +28 +00 +65 +00 +1c +00 +72 +00 +24 +00 +5c +00 +1c +00 +54 +00 +21 +00 +58 +00 +1c +00 +46 +00 +1b +00 +44 +00 +16 +00 +39 +00 +30 +00 +67 +00 +2a +00 +60 +00 +1d +00 +48 +00 +1d +00 +4e +00 +31 +00 +5c +00 +19 +00 +60 +00 +3f +00 +7c +00 +1b +00 +3d +00 +14 +00 +3e +00 +16 +00 +44 +00 +00 +00 +00 +00 +96 +00 +63 +00 +af +00 +6b +00 +a5 +00 +64 +00 +ab +00 +6a +00 +9e +00 +79 +00 +a4 +00 +74 +00 +a9 +00 +6a +00 +9c +00 +6e +00 +a1 +00 +6e +00 +a5 +00 +7a +00 +94 +00 +76 +00 +a1 +00 +69 +00 +a6 +00 +65 +00 +a5 +00 +6f +00 +98 +00 +65 +00 +90 +00 +3f +00 +56 +00 +3e +00 +50 +00 +49 +00 +5c +00 +58 +00 +bc +00 +a5 +00 +1b +10 +67 +00 +79 +00 +4b +00 +6b +00 +47 +00 +69 +00 +40 +00 +68 +00 +51 +00 +69 +00 +50 +00 +5f +00 +3b +00 +66 +00 +3f +00 +6c +00 +49 +00 +63 +00 +3b +00 +5f +00 +46 +00 +59 +00 +4f +00 +72 +00 +4c +00 +72 +00 +63 +00 +af +00 +25 +10 +87 +20 +be +00 +bb +00 +b2 +00 +dd +00 +d5 +10 +8f +30 +a8 +10 +50 +10 +b2 +00 +a8 +00 +99 +00 +06 +10 +43 +10 +72 +20 +e6 +10 +c7 +20 +4c +10 +09 +10 +a8 +00 +d7 +00 +c0 +00 +29 +10 +f6 +00 +eb +00 +c5 +00 +b5 +00 +7d +00 +9f +00 +65 +00 +91 +00 +68 +00 +98 +00 +5d +00 +b0 +00 +7f +00 +b8 +00 +69 +00 +b4 +00 +62 +00 +b7 +00 +c5 +00 +43 +10 +9f +10 +ac +10 +d4 +00 +f3 +00 +73 +00 +b0 +00 +6e +00 +b8 +00 +bf +00 +5f +10 +6d +10 +d0 +10 +60 +10 +52 +20 +22 +10 +6d +10 +c9 +00 +19 +10 +29 +10 +55 +20 +33 +10 +33 +20 +22 +10 +11 +10 +78 +00 +9f +00 +7e +00 +a7 +00 +90 +00 +a1 +00 +62 +00 +78 +00 +4a +00 +6e +00 +4b +00 +7d +00 +65 +00 +88 +00 +91 +00 +ae +00 +8a +00 +c9 +00 +77 +00 +a1 +00 +6e +00 +8d +00 +60 +00 +92 +00 +5a +00 +72 +00 +5c +00 +72 +00 +4b +00 +76 +00 +4d +00 +77 +00 +64 +00 +8b +00 +60 +00 +88 +00 +80 +00 +b1 +00 +d7 +00 +d0 +00 +b4 +00 +c9 +00 +46 +20 +eb +40 +0e +40 +d9 +60 +88 +40 +22 +70 +09 +40 +0e +50 +cd +10 +f1 +00 +99 +00 +ac +00 +9f +00 +b1 +00 +6e +00 +92 +00 +91 +00 +f5 +00 +91 +00 +54 +10 +bc +00 +a2 +00 +74 +00 +7f +00 +b6 +00 +19 +10 +4d +10 +2c +10 +a4 +00 +b5 +00 +8e +00 +c6 +00 +ae +10 +a7 +40 +c3 +30 +45 +70 +df +40 +14 +80 +8c +30 +66 +30 +67 +10 +b2 +00 +69 +00 +7b +00 +3c +00 +6c +00 +43 +00 +5e +00 +33 +00 +5d +00 +2e +00 +55 +00 +36 +00 +5e +00 +33 +00 +49 +00 +33 +00 +44 +00 +2a +00 +45 +00 +26 +00 +50 +00 +3b +00 +77 +00 +2c +00 +5e +00 +3a +00 +54 +00 +2c +00 +52 +00 +35 +00 +8f +00 +83 +00 +35 +10 +08 +10 +79 +30 +c8 +00 +ce +00 +67 +00 +69 +00 +33 +00 +4f +00 +35 +00 +4b +00 +31 +00 +52 +00 +30 +00 +62 +00 +2d +00 +5f +00 +3a +00 +5a +00 +3e +00 +56 +00 +3e +00 +4e +00 +34 +00 +4f +00 +30 +00 +57 +00 +27 +00 +4c +00 +29 +00 +46 +00 +28 +00 +51 +00 +2a +00 +46 +00 +2d +00 +52 +00 +35 +00 +59 +00 +2e +00 +54 +00 +25 +00 +40 +00 +25 +00 +47 +00 +22 +00 +48 +00 +1d +00 +3f +00 +20 +00 +3b +00 +22 +00 +3c +00 +20 +00 +40 +00 +26 +00 +39 +00 +1e +00 +44 +00 +28 +00 +4a +00 +25 +00 +4e +00 +2b +00 +4b +00 +61 +00 +c9 +00 +7a +10 +95 +10 +7f +00 +79 +00 +3f +00 +70 +00 +2c +00 +56 +00 +29 +00 +48 +00 +22 +00 +44 +00 +27 +00 +50 +00 +71 +00 +be +10 +0c +10 +86 +00 +3b +00 +4b +00 +2b +00 +4f +00 +2f +00 +4f +00 +27 +00 +41 +00 +29 +00 +47 +00 +30 +00 +90 +00 +48 +00 +5f +00 +35 +00 +4d +00 +21 +00 +48 +00 +29 +00 +58 +00 +2b +00 +57 +00 +36 +00 +5f +00 +34 +00 +64 +00 +46 +00 +56 +00 +43 +00 +48 +00 +34 +00 +44 +00 +2c +00 +42 +00 +21 +00 +43 +00 +2a +00 +45 +00 +25 +00 +3e +00 +1a +00 +37 +00 +1d +00 +45 +00 +1c +00 +47 +00 +22 +00 +31 +00 +1a +00 +2d +00 +1c +00 +39 +00 +22 +00 +3f +00 +21 +00 +3d +00 +2a +00 +42 +00 +25 +00 +3f +00 +1f +00 +39 +00 +28 +00 +45 +00 +38 +00 +60 +00 +4b +00 +88 +00 +70 +00 +78 +10 +62 +00 +ab +00 +45 +00 +58 +00 +4b +00 +4b +00 +29 +00 +34 +00 +20 +00 +3a +00 +21 +00 +3d +00 +22 +00 +3c +00 +31 +00 +5f +00 +3d +00 +6b +00 +2e +00 +45 +00 +22 +00 +2d +00 +1c +00 +32 +00 +1d +00 +38 +00 +1f +00 +3b +00 +1f +00 +35 +00 +2e +00 +3e +00 +23 +00 +3b +00 +2c +00 +41 +00 +49 +00 +58 +00 +54 +00 +8b +00 +68 +00 +ce +00 +7e +00 +ab +00 +59 +00 +db +00 +c0 +00 +11 +10 +64 +00 +57 +00 +3a +00 +23 +10 +66 +00 +7a +00 +47 +00 +72 +00 +6c +00 +a6 +00 +52 +00 +70 +00 +33 +00 +53 +00 +31 +00 +62 +00 +3a +00 +85 +00 +33 +00 +5b +00 +29 +00 +53 +00 +29 +00 +4e +00 +32 +00 +4a +00 +32 +00 +4b +00 +2a +00 +52 +00 +2a +00 +46 +00 +20 +00 +3b +00 +2a +00 +74 +00 +27 +00 +71 +00 +28 +00 +41 +00 +28 +00 +4d +00 +2d +00 +1b +10 +4d +00 +5a +00 +32 +00 +4e +00 +27 +00 +4c +00 +2a +00 +34 +00 +22 +00 +31 +00 +1a +00 +00 +00 +00 +00 +37 +00 +99 +00 +30 +00 +8f +00 +31 +00 +a5 +00 +40 +00 +99 +00 +32 +00 +a3 +00 +38 +00 +94 +00 +34 +00 +aa +00 +34 +00 +90 +00 +36 +00 +94 +00 +37 +00 +a3 +00 +34 +00 +a8 +00 +39 +00 +ac +00 +38 +00 +95 +00 +3c +00 +99 +00 +2c +00 +80 +00 +27 +00 +68 +00 +1d +00 +56 +00 +26 +00 +57 +00 +26 +00 +7f +00 +30 +00 +af +00 +6f +00 +dc +00 +28 +00 +6a +00 +24 +00 +78 +00 +25 +00 +59 +00 +25 +00 +73 +00 +1b +00 +6e +00 +2b +00 +68 +00 +20 +00 +61 +00 +29 +00 +67 +00 +29 +00 +68 +00 +28 +00 +6c +00 +28 +00 +66 +00 +28 +00 +6d +00 +25 +00 +77 +00 +45 +00 +a5 +10 +9b +00 +40 +10 +35 +00 +bc +00 +f6 +00 +e2 +30 +0e +20 +8f +40 +84 +00 +de +00 +3c +00 +a0 +00 +48 +00 +51 +10 +98 +00 +23 +20 +c4 +00 +e6 +10 +7c +00 +0b +10 +42 +00 +d8 +00 +50 +00 +f6 +00 +76 +00 +a1 +10 +56 +00 +a1 +00 +2d +00 +81 +00 +31 +00 +97 +00 +2f +00 +8e +00 +3d +00 +ac +00 +3a +00 +a6 +00 +40 +00 +90 +00 +3c +00 +f9 +00 +f7 +00 +ed +30 +a6 +00 +1c +10 +50 +00 +ab +00 +34 +00 +a9 +00 +43 +00 +35 +10 +00 +10 +1c +30 +0a +10 +24 +30 +a5 +00 +59 +10 +5c +00 +ec +00 +5b +00 +05 +10 +95 +00 +8d +10 +87 +00 +3b +10 +47 +00 +af +00 +39 +00 +bb +00 +ae +00 +f8 +10 +5a +00 +a5 +00 +33 +00 +77 +00 +2a +00 +65 +00 +28 +00 +72 +00 +29 +00 +7d +00 +31 +00 +9a +00 +45 +00 +98 +00 +36 +00 +86 +00 +28 +00 +85 +00 +2a +00 +6e +00 +27 +00 +6e +00 +2d +00 +74 +00 +2b +00 +71 +00 +32 +00 +72 +00 +32 +00 +8d +00 +3f +00 +b6 +00 +68 +00 +b9 +10 +cd +00 +5a +10 +a0 +00 +f8 +30 +6c +20 +bb +60 +48 +20 +18 +70 +d9 +20 +54 +70 +4b +10 +71 +20 +5c +00 +cd +00 +83 +00 +a7 +10 +4c +00 +ae +00 +3d +00 +b0 +00 +46 +00 +ce +00 +4b +00 +f3 +00 +3f +00 +b5 +00 +4d +00 +58 +10 +6e +10 +83 +30 +72 +00 +fc +00 +33 +00 +a9 +00 +3d +00 +60 +10 +40 +10 +21 +40 +e6 +10 +73 +60 +0a +20 +2d +60 +06 +10 +91 +10 +3a +00 +7c +00 +2f +00 +68 +00 +2a +00 +69 +00 +20 +00 +51 +00 +1d +00 +4b +00 +1d +00 +4c +00 +22 +00 +48 +00 +1d +00 +4c +00 +16 +00 +46 +00 +1c +00 +4b +00 +25 +00 +61 +00 +29 +00 +74 +00 +2f +00 +61 +00 +20 +00 +51 +00 +17 +00 +50 +00 +38 +00 +b8 +00 +41 +00 +e5 +00 +8e +00 +57 +10 +41 +00 +75 +00 +29 +00 +56 +00 +24 +00 +5d +00 +1e +00 +59 +00 +22 +00 +4b +00 +21 +00 +56 +00 +28 +00 +59 +00 +2c +00 +7e +00 +1e +00 +65 +00 +24 +00 +58 +00 +1c +00 +57 +00 +1f +00 +4d +00 +23 +00 +55 +00 +24 +00 +59 +00 +18 +00 +4a +00 +21 +00 +4c +00 +23 +00 +52 +00 +1b +00 +43 +00 +1b +00 +46 +00 +1b +00 +47 +00 +19 +00 +35 +00 +1a +00 +43 +00 +19 +00 +48 +00 +17 +00 +3c +00 +16 +00 +3e +00 +1d +00 +48 +00 +16 +00 +4a +00 +1d +00 +4f +00 +1a +00 +4a +00 +1b +00 +4f +00 +25 +00 +90 +00 +95 +00 +39 +30 +83 +00 +eb +00 +25 +00 +5d +00 +21 +00 +52 +00 +1e +00 +47 +00 +1c +00 +3b +00 +1c +00 +45 +00 +16 +00 +7d +00 +0c +10 +bd +20 +54 +00 +6e +00 +22 +00 +49 +00 +2e +00 +59 +00 +1b +00 +3e +00 +1d +00 +45 +00 +1a +00 +57 +00 +38 +00 +7a +00 +1c +00 +55 +00 +24 +00 +45 +00 +1a +00 +47 +00 +1f +00 +49 +00 +25 +00 +5b +00 +24 +00 +66 +00 +26 +00 +6d +00 +22 +00 +5e +00 +1b +00 +49 +00 +22 +00 +52 +00 +1d +00 +4c +00 +1e +00 +44 +00 +11 +00 +3c +00 +16 +00 +43 +00 +1b +00 +35 +00 +1e +00 +3b +00 +1b +00 +39 +00 +17 +00 +2e +00 +17 +00 +45 +00 +1b +00 +3f +00 +1a +00 +40 +00 +1e +00 +6e +00 +26 +00 +52 +00 +19 +00 +39 +00 +19 +00 +4b +00 +18 +00 +4c +00 +2d +00 +ad +00 +2d +00 +8d +00 +51 +00 +b7 +00 +28 +00 +71 +00 +4e +00 +dc +00 +26 +00 +58 +00 +19 +00 +30 +00 +1c +00 +3d +00 +17 +00 +39 +00 +1e +00 +5b +00 +25 +00 +5f +00 +1f +00 +54 +00 +15 +00 +39 +00 +11 +00 +30 +00 +11 +00 +32 +00 +17 +00 +31 +00 +18 +00 +39 +00 +1c +00 +3d +00 +1a +00 +3e +00 +11 +00 +42 +00 +1a +00 +4b +00 +21 +00 +59 +00 +31 +00 +b6 +00 +3c +00 +95 +00 +2c +00 +74 +00 +5b +00 +c4 +10 +c3 +00 +d3 +00 +22 +00 +64 +00 +55 +00 +9c +00 +3d +00 +63 +00 +25 +00 +79 +00 +2b +00 +5e +00 +1a +00 +45 +00 +13 +00 +40 +00 +25 +00 +77 +00 +31 +00 +52 +00 +1f +00 +57 +00 +22 +00 +4d +00 +19 +00 +49 +00 +19 +00 +43 +00 +20 +00 +4c +00 +21 +00 +48 +00 +14 +00 +3f +00 +1f +00 +46 +00 +20 +00 +53 +00 +26 +00 +56 +00 +27 +00 +4b +00 +20 +00 +51 +00 +31 +00 +75 +00 +21 +00 +49 +00 +1d +00 +41 +00 +1a +00 +38 +00 +10 +00 +2f +00 +0f +00 +2d +00 +00 +00 +00 +00 +9d +00 +6d +00 +9d +00 +69 +00 +a0 +00 +6a +00 +99 +00 +6e +00 +9a +00 +6f +00 +a7 +00 +75 +00 +a2 +00 +65 +00 +9d +00 +7d +00 +a2 +00 +6e +00 +a2 +00 +6a +00 +9f +00 +6f +00 +ac +00 +71 +00 +a3 +00 +7e +00 +a4 +00 +65 +00 +8b +00 +49 +00 +69 +00 +38 +00 +60 +00 +45 +00 +5a +00 +66 +00 +78 +00 +66 +00 +7d +00 +74 +00 +6c +10 +b9 +00 +9f +00 +48 +00 +54 +00 +43 +00 +66 +00 +63 +00 +a9 +00 +c9 +00 +d9 +00 +54 +00 +6e +00 +5e +00 +66 +00 +43 +00 +66 +00 +40 +00 +65 +00 +39 +00 +64 +00 +44 +00 +67 +00 +45 +00 +75 +00 +4a +00 +6f +00 +5a +00 +93 +00 +94 +00 +22 +10 +88 +00 +b3 +00 +dd +00 +6d +20 +d5 +20 +72 +60 +4a +20 +62 +20 +26 +10 +df +00 +a0 +00 +c4 +00 +de +00 +88 +10 +ec +10 +63 +30 +ca +10 +c4 +20 +c8 +00 +d9 +00 +b7 +00 +e9 +00 +c4 +10 +5e +30 +b5 +10 +0d +20 +96 +00 +84 +00 +63 +00 +9f +00 +90 +00 +ad +00 +6c +00 +91 +00 +5b +00 +ae +00 +66 +00 +94 +00 +ed +00 +1c +10 +a8 +10 +ac +20 +11 +10 +fe +10 +ce +00 +c0 +00 +69 +00 +a5 +00 +73 +00 +b3 +00 +b3 +00 +6d +20 +0c +10 +9b +10 +f7 +00 +5d +10 +9c +00 +dc +00 +90 +00 +ce +00 +f6 +00 +b3 +10 +7b +10 +3a +20 +de +00 +d4 +00 +77 +00 +99 +00 +df +00 +e3 +20 +9f +10 +9e +10 +8d +00 +8c +00 +4d +00 +76 +00 +4d +00 +75 +00 +4d +00 +70 +00 +6b +00 +8a +00 +56 +00 +7f +00 +54 +00 +83 +00 +5c +00 +71 +00 +52 +00 +6d +00 +48 +00 +6c +00 +4d +00 +7a +00 +4b +00 +70 +00 +49 +00 +79 +00 +84 +00 +ad +00 +ea +00 +20 +10 +2c +20 +4c +30 +55 +20 +cf +20 +d8 +10 +af +20 +69 +30 +72 +60 +74 +40 +2a +70 +a4 +50 +76 +80 +9e +40 +ad +60 +b3 +20 +83 +10 +81 +10 +a3 +10 +70 +10 +a4 +10 +ae +00 +ad +00 +7c +00 +c3 +00 +bc +00 +16 +10 +47 +10 +3b +20 +a1 +00 +e0 +00 +65 +10 +bb +40 +0a +20 +24 +20 +d7 +00 +98 +00 +8f +00 +98 +00 +2a +10 +9d +10 +e1 +10 +d7 +20 +71 +20 +2c +40 +84 +20 +30 +30 +4c +10 +cb +00 +63 +00 +70 +00 +3f +00 +6d +00 +38 +00 +62 +00 +34 +00 +5b +00 +33 +00 +4c +00 +33 +00 +51 +00 +33 +00 +49 +00 +33 +00 +41 +00 +2c +00 +52 +00 +28 +00 +5b +00 +43 +00 +71 +00 +40 +00 +6d +00 +41 +00 +79 +00 +3a +00 +59 +00 +32 +00 +62 +00 +44 +00 +81 +00 +64 +00 +bb +00 +6f +00 +cc +00 +58 +00 +65 +00 +36 +00 +54 +00 +29 +00 +69 +00 +34 +00 +6b +00 +3f +00 +53 +00 +31 +00 +5f +00 +c8 +00 +c0 +10 +ec +00 +f4 +00 +47 +00 +51 +00 +35 +00 +50 +00 +2c +00 +46 +00 +2a +00 +5c +00 +2c +00 +4c +00 +2e +00 +52 +00 +2e +00 +56 +00 +34 +00 +4d +00 +2c +00 +4d +00 +27 +00 +48 +00 +1f +00 +42 +00 +2e +00 +47 +00 +22 +00 +44 +00 +29 +00 +39 +00 +28 +00 +3d +00 +1f +00 +36 +00 +25 +00 +3f +00 +25 +00 +45 +00 +2b +00 +5a +00 +20 +00 +52 +00 +27 +00 +43 +00 +31 +00 +5d +00 +9b +00 +d5 +10 +6d +10 +9d +10 +99 +00 +86 +00 +5e +00 +57 +00 +34 +00 +48 +00 +26 +00 +40 +00 +28 +00 +4e +00 +34 +00 +4a +00 +49 +00 +91 +00 +5a +00 +e8 +00 +5e +00 +5c +00 +37 +00 +67 +00 +36 +00 +4f +00 +28 +00 +4d +00 +29 +00 +55 +00 +35 +00 +6a +00 +33 +00 +55 +00 +2c +00 +49 +00 +27 +00 +4a +00 +29 +00 +5b +00 +2b +00 +4d +00 +41 +00 +89 +00 +db +00 +38 +10 +67 +10 +2a +10 +7c +00 +68 +00 +4a +00 +50 +00 +2b +00 +48 +00 +24 +00 +3f +00 +21 +00 +3a +00 +22 +00 +42 +00 +1e +00 +41 +00 +21 +00 +37 +00 +25 +00 +3e +00 +2a +00 +49 +00 +24 +00 +37 +00 +1d +00 +44 +00 +1f +00 +49 +00 +23 +00 +51 +00 +3f +00 +93 +00 +2a +00 +53 +00 +1e +00 +41 +00 +26 +00 +4b +00 +2f +00 +56 +00 +2c +00 +63 +00 +74 +00 +0b +10 +bc +00 +b9 +00 +62 +00 +b2 +00 +5e +00 +e1 +00 +39 +00 +45 +00 +28 +00 +39 +00 +22 +00 +3e +00 +30 +00 +51 +00 +32 +00 +48 +00 +2c +00 +3c +00 +22 +00 +39 +00 +21 +00 +29 +00 +20 +00 +2f +00 +1f +00 +33 +00 +21 +00 +36 +00 +25 +00 +38 +00 +2f +00 +41 +00 +24 +00 +39 +00 +2e +00 +5e +00 +45 +00 +5e +00 +8c +00 +14 +10 +b8 +00 +ff +00 +5d +00 +66 +00 +45 +00 +ab +00 +5f +00 +d9 +00 +4e +00 +6e +00 +4d +00 +10 +10 +48 +00 +af +00 +42 +00 +62 +00 +2f +00 +54 +00 +34 +00 +4a +00 +2e +00 +3c +00 +29 +00 +69 +00 +33 +00 +6a +00 +2c +00 +4a +00 +29 +00 +50 +00 +27 +00 +4b +00 +23 +00 +38 +00 +20 +00 +4a +00 +28 +00 +4c +00 +25 +00 +3b +00 +27 +00 +45 +00 +24 +00 +4e +00 +2a +00 +46 +00 +26 +00 +5a +00 +29 +00 +48 +00 +24 +00 +4e +00 +38 +00 +5f +00 +33 +00 +47 +00 +24 +00 +3d +00 +1b +00 +37 +00 +20 +00 +33 +00 +1d +00 +00 +00 +00 +00 +35 +00 +ad +00 +37 +00 +87 +00 +30 +00 +99 +00 +3b +00 +b0 +00 +32 +00 +99 +00 +31 +00 +a8 +00 +38 +00 +a2 +00 +46 +00 +a3 +00 +40 +00 +aa +00 +32 +00 +ae +00 +33 +00 +a8 +00 +45 +00 +b1 +00 +36 +00 +ab +00 +32 +00 +93 +00 +2b +00 +6e +00 +1e +00 +52 +00 +1b +00 +59 +00 +3a +00 +f7 +00 +34 +00 +84 +00 +28 +00 +84 +00 +63 +00 +24 +10 +38 +00 +78 +00 +21 +00 +68 +00 +20 +00 +72 +00 +67 +00 +e1 +10 +79 +00 +a7 +00 +2c +00 +70 +00 +23 +00 +6b +00 +23 +00 +59 +00 +24 +00 +6d +00 +2a +00 +69 +00 +2e +00 +66 +00 +2a +00 +60 +00 +26 +00 +6a +00 +2a +00 +9e +00 +46 +00 +a9 +00 +35 +00 +d0 +00 +29 +10 +bf +30 +92 +10 +6c +30 +8f +10 +39 +30 +62 +00 +c2 +00 +38 +00 +c1 +00 +8c +00 +99 +20 +24 +10 +e5 +20 +d1 +00 +7c +10 +51 +00 +d8 +00 +6e +00 +81 +20 +b0 +10 +41 +30 +7e +00 +e5 +00 +33 +00 +87 +00 +79 +00 +f8 +10 +4b +00 +af +00 +35 +00 +8e +00 +38 +00 +8d +00 +3f +00 +56 +10 +6f +10 +ee +30 +b3 +00 +7a +10 +62 +00 +05 +10 +3c +00 +ac +00 +3d +00 +9c +00 +38 +00 +ce +00 +57 +00 +0e +10 +4f +00 +ee +00 +4c +00 +d5 +00 +35 +00 +a2 +00 +47 +00 +96 +10 +83 +00 +fa +10 +2e +10 +bb +10 +3d +00 +91 +00 +3c +00 +e7 +00 +56 +10 +ea +30 +8f +00 +c0 +00 +2d +00 +7d +00 +30 +00 +7c +00 +25 +00 +6b +00 +24 +00 +7f +00 +2e +00 +81 +00 +2c +00 +85 +00 +32 +00 +90 +00 +2f +00 +7e +00 +26 +00 +76 +00 +25 +00 +78 +00 +2a +00 +79 +00 +2c +00 +72 +00 +36 +00 +00 +10 +8f +00 +a4 +10 +ec +00 +5c +40 +26 +20 +c9 +40 +db +00 +92 +20 +e9 +10 +78 +50 +0c +20 +fd +60 +e5 +20 +c2 +80 +c5 +20 +84 +60 +3f +20 +d0 +50 +06 +10 +57 +30 +6d +10 +0b +30 +81 +00 +18 +10 +4e +00 +b1 +00 +4c +00 +21 +10 +fe +00 +56 +20 +8e +00 +4e +10 +47 +00 +12 +10 +1a +10 +20 +40 +a8 +00 +0b +10 +33 +00 +87 +00 +63 +00 +b0 +10 +d1 +00 +26 +30 +36 +10 +81 +30 +5f +10 +7a +30 +17 +10 +f7 +10 +3f +00 +88 +00 +2b +00 +62 +00 +21 +00 +62 +00 +1e +00 +63 +00 +1e +00 +51 +00 +1c +00 +54 +00 +1d +00 +51 +00 +1b +00 +51 +00 +1e +00 +49 +00 +1e +00 +55 +00 +27 +00 +5f +00 +25 +00 +9c +00 +61 +00 +d2 +00 +2b +00 +6f +00 +1d +00 +5c +00 +2f +00 +6f +00 +2e +00 +82 +00 +34 +00 +b2 +00 +31 +00 +6e +00 +21 +00 +56 +00 +1c +00 +47 +00 +26 +00 +59 +00 +25 +00 +5e +00 +25 +00 +5a +00 +2d +00 +85 +10 +da +00 +43 +20 +a5 +00 +ad +00 +20 +00 +56 +00 +21 +00 +4d +00 +17 +00 +4e +00 +21 +00 +4e +00 +1e +00 +5f +00 +21 +00 +51 +00 +1f +00 +44 +00 +1c +00 +4b +00 +22 +00 +4d +00 +1e +00 +47 +00 +1f +00 +4f +00 +1b +00 +4b +00 +16 +00 +36 +00 +1b +00 +46 +00 +14 +00 +3d +00 +17 +00 +40 +00 +1b +00 +4b +00 +1e +00 +53 +00 +1b +00 +50 +00 +1b +00 +4b +00 +25 +00 +54 +00 +20 +00 +8b +00 +9d +00 +4e +20 +77 +00 +e2 +00 +28 +00 +6f +00 +1f +00 +50 +00 +1d +00 +44 +00 +19 +00 +46 +00 +1b +00 +48 +00 +1f +00 +63 +00 +24 +00 +8d +00 +32 +00 +88 +00 +1f +00 +4c +00 +1a +00 +52 +00 +1d +00 +43 +00 +1c +00 +4a +00 +1a +00 +5e +00 +23 +00 +51 +00 +1e +00 +4a +00 +1c +00 +44 +00 +1b +00 +43 +00 +20 +00 +48 +00 +17 +00 +5b +00 +67 +00 +f3 +10 +3e +10 +e2 +30 +f9 +00 +05 +10 +43 +00 +97 +00 +20 +00 +44 +00 +1e +00 +43 +00 +20 +00 +40 +00 +17 +00 +43 +00 +18 +00 +44 +00 +1f +00 +3d +00 +10 +00 +3e +00 +34 +00 +65 +00 +22 +00 +4b +00 +18 +00 +46 +00 +10 +00 +41 +00 +1e +00 +41 +00 +21 +00 +5c +00 +3e +00 +76 +00 +1e +00 +48 +00 +1c +00 +46 +00 +16 +00 +40 +00 +1c +00 +4b +00 +22 +00 +91 +00 +fb +00 +b3 +20 +4d +00 +93 +00 +3a +00 +93 +00 +39 +00 +7a +00 +19 +00 +3f +00 +1d +00 +57 +00 +41 +00 +b1 +00 +23 +00 +50 +00 +20 +00 +48 +00 +11 +00 +37 +00 +0e +00 +2d +00 +0f +00 +35 +00 +13 +00 +2d +00 +15 +00 +3a +00 +14 +00 +39 +00 +2b +00 +93 +00 +17 +00 +49 +00 +19 +00 +3f +00 +42 +00 +a3 +00 +20 +00 +83 +00 +e7 +00 +ee +10 +4c +00 +84 +00 +24 +00 +50 +00 +36 +00 +8d +00 +2f +00 +86 +00 +26 +00 +77 +00 +38 +00 +99 +00 +3b +00 +86 +00 +1f +00 +55 +00 +25 +00 +4e +00 +16 +00 +3d +00 +17 +00 +4b +00 +33 +00 +68 +00 +23 +00 +52 +00 +1e +00 +44 +00 +19 +00 +3f +00 +1b +00 +3d +00 +17 +00 +47 +00 +1f +00 +6a +00 +21 +00 +45 +00 +11 +00 +4b +00 +22 +00 +4a +00 +24 +00 +4b +00 +1b +00 +4c +00 +1e +00 +55 +00 +1d +00 +57 +00 +1c +00 +50 +00 +23 +00 +52 +00 +18 +00 +3d +00 +19 +00 +31 +00 +13 +00 +2d +00 +13 +00 +33 +00 +00 +00 +00 +00 +9e +00 +63 +00 +9f +00 +68 +00 +a5 +00 +65 +00 +a1 +00 +6e +00 +9b +00 +6f +00 +a0 +00 +68 +00 +a0 +00 +66 +00 +99 +00 +6b +00 +a2 +00 +5f +00 +ad +00 +70 +00 +b9 +00 +6d +00 +ad +00 +6b +00 +a1 +00 +64 +00 +98 +00 +53 +00 +91 +00 +47 +00 +63 +00 +44 +00 +4f +00 +9e +00 +4b +10 +15 +10 +30 +10 +5a +00 +6f +00 +67 +00 +2e +10 +6c +00 +90 +00 +45 +00 +5c +00 +41 +00 +58 +00 +4f +00 +91 +00 +6b +00 +d8 +00 +d6 +00 +b0 +00 +a3 +00 +79 +00 +4f +00 +61 +00 +49 +00 +70 +00 +44 +00 +62 +00 +3b +00 +70 +00 +3c +00 +61 +00 +43 +00 +67 +00 +49 +00 +71 +00 +5f +00 +96 +00 +66 +00 +84 +00 +8e +00 +32 +10 +1b +10 +38 +20 +3e +20 +3d +50 +f9 +10 +cc +20 +c7 +00 +a4 +00 +92 +00 +d8 +00 +b5 +00 +56 +10 +d0 +00 +1e +10 +d8 +00 +5b +10 +f5 +00 +f5 +00 +d0 +00 +c3 +10 +f7 +00 +36 +10 +85 +00 +93 +00 +6d +00 +f5 +00 +1a +10 +2a +20 +87 +00 +9f +00 +4f +00 +98 +00 +49 +00 +94 +00 +b5 +00 +f7 +20 +95 +10 +f0 +10 +df +00 +f1 +00 +74 +00 +9f +00 +50 +00 +8d +00 +5e +00 +9c +00 +70 +00 +c9 +00 +82 +00 +d9 +00 +8f +00 +c6 +00 +7b +00 +9d +00 +69 +00 +a8 +00 +a2 +00 +6c +10 +03 +10 +85 +20 +cc +00 +f3 +00 +69 +00 +a8 +00 +b1 +00 +dc +10 +33 +10 +43 +20 +b9 +00 +82 +00 +48 +00 +76 +00 +45 +00 +7b +00 +53 +00 +70 +00 +55 +00 +72 +00 +51 +00 +8b +00 +55 +00 +83 +00 +66 +00 +87 +00 +79 +00 +8b +00 +80 +00 +81 +00 +61 +00 +76 +00 +53 +00 +7d +00 +62 +00 +98 +00 +f1 +00 +95 +20 +ec +20 +34 +50 +84 +30 +be +50 +03 +20 +5a +20 +c6 +20 +8c +40 +17 +40 +d2 +60 +6d +50 +b2 +80 +de +50 +a0 +80 +96 +40 +c5 +50 +f5 +30 +71 +50 +98 +30 +4f +50 +bc +10 +b5 +10 +d7 +00 +cb +00 +e9 +00 +4d +10 +3e +10 +80 +20 +0c +10 +6b +10 +a2 +00 +c7 +00 +c5 +00 +43 +10 +d1 +00 +e1 +10 +ef +00 +af +00 +f1 +00 +86 +10 +b1 +10 +1c +40 +27 +20 +5e +30 +1e +20 +c8 +30 +09 +20 +6a +30 +17 +10 +fc +00 +64 +00 +6d +00 +3e +00 +64 +00 +39 +00 +69 +00 +34 +00 +5c +00 +31 +00 +49 +00 +38 +00 +50 +00 +2d +00 +5a +00 +32 +00 +47 +00 +34 +00 +55 +00 +47 +00 +69 +00 +8f +00 +97 +00 +7a +00 +11 +10 +58 +00 +99 +00 +3a +00 +58 +00 +31 +00 +6b +00 +42 +00 +6c +00 +4e +00 +94 +00 +43 +00 +7a +00 +37 +00 +6b +00 +32 +00 +51 +00 +32 +00 +60 +00 +2e +00 +5d +00 +34 +00 +5f +00 +3c +00 +66 +00 +7c +00 +1a +10 +9c +00 +18 +10 +73 +00 +7a +00 +40 +00 +50 +00 +2b +00 +4d +00 +2a +00 +4b +00 +2a +00 +5d +00 +2c +00 +5a +00 +34 +00 +4c +00 +2a +00 +48 +00 +29 +00 +4c +00 +27 +00 +3e +00 +26 +00 +48 +00 +1c +00 +46 +00 +25 +00 +3b +00 +24 +00 +3c +00 +27 +00 +3d +00 +28 +00 +36 +00 +2f +00 +43 +00 +34 +00 +44 +00 +26 +00 +4a +00 +51 +00 +73 +00 +29 +00 +54 +00 +2f +00 +5c +00 +57 +00 +f0 +00 +96 +00 +ee +00 +fc +00 +ab +00 +ae +00 +73 +00 +4b +00 +46 +00 +29 +00 +43 +00 +27 +00 +45 +00 +29 +00 +56 +00 +3d +00 +60 +00 +4f +00 +71 +00 +3e +00 +60 +00 +35 +00 +50 +00 +2b +00 +4d +00 +27 +00 +4f +00 +3a +00 +73 +00 +35 +00 +5c +00 +2b +00 +48 +00 +27 +00 +48 +00 +24 +00 +4d +00 +21 +00 +43 +00 +23 +00 +47 +00 +3c +00 +86 +00 +ef +00 +f4 +30 +38 +10 +9c +10 +ad +00 +04 +10 +5b +00 +69 +00 +32 +00 +47 +00 +25 +00 +46 +00 +25 +00 +43 +00 +20 +00 +4d +00 +20 +00 +39 +00 +1a +00 +3a +00 +34 +00 +4d +00 +3a +00 +5a +00 +29 +00 +41 +00 +1d +00 +3e +00 +21 +00 +44 +00 +2a +00 +4b +00 +2b +00 +70 +00 +2b +00 +53 +00 +23 +00 +43 +00 +25 +00 +46 +00 +21 +00 +42 +00 +30 +00 +52 +00 +5e +00 +52 +10 +ab +00 +24 +10 +6f +00 +92 +00 +41 +00 +76 +00 +32 +00 +4e +00 +2a +00 +44 +00 +30 +00 +97 +00 +51 +00 +7e +00 +32 +00 +42 +00 +18 +00 +32 +00 +1a +00 +2d +00 +19 +00 +30 +00 +21 +00 +3d +00 +21 +00 +2e +00 +25 +00 +3b +00 +28 +00 +45 +00 +21 +00 +60 +00 +2a +00 +40 +00 +21 +00 +4a +00 +45 +00 +dd +00 +52 +00 +9d +00 +60 +00 +b7 +00 +59 +00 +58 +00 +39 +00 +61 +00 +49 +00 +7f +00 +43 +00 +5e +00 +3c +00 +58 +00 +59 +00 +82 +00 +3d +00 +55 +00 +28 +00 +4b +00 +26 +00 +41 +00 +19 +00 +37 +00 +34 +00 +f8 +00 +40 +00 +71 +00 +2d +00 +49 +00 +2a +00 +44 +00 +20 +00 +4d +00 +20 +00 +42 +00 +2e +00 +5e +00 +2b +00 +57 +00 +24 +00 +41 +00 +25 +00 +46 +00 +21 +00 +48 +00 +1c +00 +49 +00 +2b +00 +4f +00 +26 +00 +3e +00 +25 +00 +51 +00 +24 +00 +62 +00 +2f +00 +35 +00 +25 +00 +41 +00 +25 +00 +31 +00 +1c +00 +31 +00 +1b +00 +00 +00 +00 +00 +32 +00 +93 +00 +2f +00 +9a +00 +36 +00 +a1 +00 +3d +00 +a9 +00 +37 +00 +a6 +00 +36 +00 +a6 +00 +3b +00 +a9 +00 +37 +00 +9b +00 +32 +00 +95 +00 +33 +00 +96 +00 +38 +00 +98 +00 +3a +00 +a9 +00 +3f +00 +a7 +00 +39 +00 +a1 +00 +2f +00 +67 +00 +21 +00 +5b +00 +34 +00 +e1 +00 +db +00 +37 +20 +66 +00 +a1 +00 +29 +00 +78 +00 +42 +00 +b0 +00 +26 +00 +67 +00 +25 +00 +5e +00 +24 +00 +63 +00 +2f +00 +9e +00 +45 +00 +f1 +00 +bd +00 +ea +10 +38 +00 +70 +00 +2b +00 +76 +00 +24 +00 +6f +00 +25 +00 +61 +00 +1e +00 +65 +00 +22 +00 +62 +00 +26 +00 +64 +00 +2d +00 +70 +00 +30 +00 +7e +00 +31 +00 +9f +00 +4e +00 +19 +10 +95 +00 +a3 +20 +17 +10 +98 +20 +9b +00 +1c +10 +36 +00 +a0 +00 +44 +00 +e6 +00 +4f +00 +e5 +00 +3a +00 +d7 +00 +1f +10 +3d +30 +63 +00 +fb +00 +5e +00 +29 +10 +4e +00 +a3 +00 +2d +00 +82 +00 +3c +00 +63 +10 +8d +00 +0c +10 +35 +00 +90 +00 +2c +00 +88 +00 +39 +00 +c7 +00 +90 +00 +91 +10 +6c +00 +02 +10 +47 +00 +a3 +00 +3c +00 +8d +00 +35 +00 +8c +00 +35 +00 +97 +00 +3b +00 +c1 +00 +30 +00 +b9 +00 +41 +00 +a1 +00 +2b +00 +8d +00 +3b +00 +b5 +00 +50 +00 +2d +10 +61 +00 +26 +10 +47 +00 +a5 +00 +48 +00 +c7 +00 +87 +00 +bc +10 +65 +00 +f2 +00 +39 +00 +8b +00 +2b +00 +71 +00 +2b +00 +68 +00 +28 +00 +70 +00 +2a +00 +67 +00 +2a +00 +7b +00 +35 +00 +84 +00 +2e +00 +b2 +00 +3f +00 +99 +00 +36 +00 +8b +00 +28 +00 +89 +00 +35 +00 +75 +00 +35 +00 +fb +00 +98 +10 +77 +50 +5b +20 +5c +60 +7e +10 +b8 +20 +00 +10 +cd +30 +18 +20 +e6 +60 +f0 +20 +47 +80 +12 +30 +79 +80 +c3 +20 +5b +70 +e3 +10 +62 +50 +27 +20 +1d +60 +71 +10 +b5 +20 +6f +00 +17 +10 +5a +00 +89 +10 +26 +10 +74 +20 +80 +00 +34 +10 +5e +00 +df +00 +40 +00 +c7 +00 +5b +00 +1d +10 +54 +00 +e9 +00 +6a +00 +fa +10 +cd +00 +8b +20 +d0 +00 +41 +20 +aa +00 +fe +10 +c1 +00 +09 +20 +93 +00 +60 +10 +46 +00 +a3 +00 +2f +00 +74 +00 +2f +00 +77 +00 +29 +00 +57 +00 +1e +00 +55 +00 +1d +00 +48 +00 +1c +00 +49 +00 +22 +00 +5d +00 +23 +00 +60 +00 +33 +00 +8d +00 +6e +00 +82 +10 +54 +00 +b7 +00 +6a +00 +bb +00 +31 +00 +74 +00 +2a +00 +60 +00 +1f +00 +65 +00 +26 +00 +72 +00 +2c +00 +70 +00 +2d +00 +57 +00 +21 +00 +5a +00 +2a +00 +53 +00 +20 +00 +68 +00 +21 +00 +69 +00 +24 +00 +58 +00 +2b +00 +96 +00 +3e +00 +cf +00 +41 +00 +9c +00 +2d +00 +52 +00 +1e +00 +62 +00 +22 +00 +4a +00 +1f +00 +55 +00 +21 +00 +58 +00 +1a +00 +57 +00 +26 +00 +65 +00 +1f +00 +46 +00 +22 +00 +51 +00 +20 +00 +3f +00 +1b +00 +4c +00 +1b +00 +3d +00 +16 +00 +3b +00 +1e +00 +40 +00 +1d +00 +44 +00 +2c +00 +6d +00 +28 +00 +5a +00 +1e +00 +4c +00 +20 +00 +73 +00 +26 +00 +59 +00 +1b +00 +52 +00 +1e +00 +68 +00 +38 +00 +db +00 +95 +00 +0b +20 +d9 +00 +d3 +10 +44 +00 +64 +00 +1b +00 +43 +00 +16 +00 +47 +00 +1b +00 +49 +00 +1f +00 +5c +00 +20 +00 +67 +00 +19 +00 +59 +00 +1e +00 +56 +00 +1b +00 +4e +00 +18 +00 +4a +00 +23 +00 +58 +00 +55 +00 +79 +00 +1a +00 +44 +00 +1e +00 +47 +00 +14 +00 +3f +00 +19 +00 +44 +00 +20 +00 +3c +00 +1f +00 +4e +00 +22 +00 +b2 +00 +6c +00 +74 +10 +5b +00 +f9 +00 +48 +00 +88 +00 +20 +00 +4f +00 +1b +00 +3d +00 +1f +00 +44 +00 +1b +00 +49 +00 +1b +00 +46 +00 +14 +00 +3b +00 +1a +00 +50 +00 +31 +00 +69 +00 +24 +00 +52 +00 +17 +00 +3f +00 +20 +00 +3f +00 +1e +00 +4c +00 +19 +00 +50 +00 +22 +00 +50 +00 +1a +00 +44 +00 +20 +00 +37 +00 +22 +00 +44 +00 +22 +00 +4b +00 +29 +00 +71 +00 +3c +00 +9e +00 +50 +00 +b0 +00 +2b +00 +79 +00 +28 +00 +47 +00 +18 +00 +45 +00 +1f +00 +5a +00 +22 +00 +5c +00 +24 +00 +41 +00 +17 +00 +3e +00 +14 +00 +2e +00 +12 +00 +34 +00 +13 +00 +3c +00 +16 +00 +39 +00 +18 +00 +39 +00 +1d +00 +43 +00 +1d +00 +47 +00 +1c +00 +47 +00 +12 +00 +3f +00 +1a +00 +65 +00 +2b +00 +7f +00 +2c +00 +78 +00 +38 +00 +b4 +00 +21 +00 +55 +00 +2b +00 +7d +00 +2c +00 +57 +00 +15 +00 +5a +00 +35 +00 +78 +00 +2a +00 +64 +00 +22 +00 +48 +00 +18 +00 +40 +00 +1b +00 +3f +00 +1e +00 +79 +00 +57 +00 +ab +00 +2a +00 +61 +00 +1d +00 +47 +00 +16 +00 +4a +00 +1d +00 +4b +00 +12 +00 +38 +00 +1f +00 +58 +00 +23 +00 +47 +00 +1b +00 +46 +00 +18 +00 +40 +00 +21 +00 +51 +00 +22 +00 +52 +00 +22 +00 +54 +00 +19 +00 +3f +00 +1b +00 +58 +00 +27 +00 +48 +00 +14 +00 +44 +00 +18 +00 +3b +00 +12 +00 +35 +00 +17 +00 +39 +00 +00 +00 +00 +00 +9c +00 +6e +00 +aa +00 +69 +00 +95 +00 +6d +00 +97 +00 +76 +00 +a7 +00 +67 +00 +9d +00 +72 +00 +98 +00 +73 +00 +95 +00 +6c +00 +a7 +00 +70 +00 +a1 +00 +6b +00 +a1 +00 +73 +00 +a0 +00 +6c +00 +a1 +00 +6c +00 +99 +00 +67 +00 +94 +00 +75 +00 +9b +00 +6e +00 +b4 +00 +da +00 +7a +20 +b2 +00 +fc +00 +6c +00 +69 +00 +4c +00 +6c +00 +40 +00 +71 +00 +40 +00 +62 +00 +45 +00 +60 +00 +52 +00 +6f +00 +ca +00 +17 +20 +01 +20 +38 +30 +20 +10 +00 +10 +9d +00 +8c +00 +8d +00 +91 +00 +52 +00 +6d +00 +3b +00 +69 +00 +46 +00 +65 +00 +40 +00 +62 +00 +54 +00 +60 +00 +52 +00 +7c +00 +57 +00 +b0 +00 +78 +00 +ae +00 +c1 +00 +17 +10 +dc +00 +41 +10 +c7 +00 +03 +10 +90 +00 +99 +00 +6f +00 +ac +00 +a4 +00 +eb +00 +7d +00 +c0 +00 +9f +00 +cc +10 +20 +10 +c4 +10 +9c +00 +f9 +00 +98 +00 +bc +00 +66 +00 +86 +00 +55 +00 +93 +00 +68 +00 +c8 +00 +6f +00 +85 +00 +4d +00 +87 +00 +57 +00 +88 +00 +a4 +00 +e0 +00 +cc +00 +24 +10 +ca +00 +ae +00 +64 +00 +89 +00 +5a +00 +83 +00 +56 +00 +7e +00 +50 +00 +9e +00 +6e +00 +97 +00 +72 +00 +1f +10 +18 +10 +f9 +00 +6d +00 +9e +00 +5e +00 +b3 +00 +7f +00 +cd +00 +82 +00 +b6 +00 +68 +00 +99 +00 +8a +00 +05 +10 +f5 +00 +28 +10 +88 +00 +92 +00 +4a +00 +70 +00 +79 +00 +7a +00 +ae +00 +80 +00 +72 +00 +86 +00 +52 +00 +7f +00 +5a +00 +93 +00 +ce +00 +94 +10 +ff +10 +f1 +10 +2a +10 +d6 +00 +b3 +00 +95 +00 +6c +00 +8f +00 +5c +00 +96 +00 +cf +00 +d8 +10 +2a +20 +d1 +40 +78 +20 +f1 +20 +51 +20 +ac +30 +77 +30 +23 +60 +7b +40 +0b +70 +bd +40 +07 +70 +22 +50 +e5 +70 +66 +50 +10 +70 +62 +40 +e3 +60 +57 +40 +da +40 +f9 +10 +8e +10 +31 +10 +49 +10 +b5 +10 +c9 +30 +31 +20 +ef +10 +09 +10 +09 +10 +c7 +00 +cd +00 +0e +10 +33 +10 +06 +10 +fc +00 +c9 +00 +05 +10 +b1 +00 +79 +10 +ec +00 +9a +10 +f3 +00 +40 +10 +e7 +00 +3d +10 +c0 +00 +3d +10 +9f +00 +c1 +00 +59 +00 +73 +00 +3a +00 +74 +00 +34 +00 +69 +00 +34 +00 +57 +00 +2f +00 +4e +00 +30 +00 +4f +00 +34 +00 +6a +00 +3e +00 +61 +00 +38 +00 +66 +00 +5a +00 +2c +10 +ac +00 +f0 +00 +7a +00 +bf +00 +4d +00 +89 +00 +3b +00 +54 +00 +33 +00 +50 +00 +35 +00 +65 +00 +3d +00 +5c +00 +33 +00 +5b +00 +2f +00 +58 +00 +2b +00 +45 +00 +33 +00 +4e +00 +2a +00 +68 +00 +45 +00 +64 +00 +53 +00 +66 +00 +64 +00 +7b +00 +58 +00 +96 +00 +4f +00 +75 +00 +2e +00 +51 +00 +29 +00 +4b +00 +2b +00 +51 +00 +2a +00 +48 +00 +33 +00 +4e +00 +29 +00 +56 +00 +35 +00 +47 +00 +2a +00 +4f +00 +25 +00 +4c +00 +2c +00 +48 +00 +2c +00 +3f +00 +1c +00 +46 +00 +24 +00 +46 +00 +34 +00 +87 +00 +56 +00 +ab +00 +e2 +00 +d4 +00 +3f +00 +46 +00 +35 +00 +53 +00 +32 +00 +52 +00 +27 +00 +5d +00 +30 +00 +4d +00 +57 +00 +95 +00 +eb +10 +03 +40 +e4 +20 +2f +40 +62 +10 +58 +10 +73 +00 +59 +00 +3d +00 +4c +00 +2b +00 +43 +00 +27 +00 +52 +00 +34 +00 +47 +00 +3d +00 +5a +00 +32 +00 +5b +00 +2f +00 +53 +00 +26 +00 +3e +00 +34 +00 +5a +00 +35 +00 +5c +00 +32 +00 +54 +00 +27 +00 +53 +00 +28 +00 +49 +00 +26 +00 +4b +00 +24 +00 +33 +00 +29 +00 +46 +00 +47 +00 +67 +00 +6c +00 +b5 +00 +79 +00 +d7 +00 +9a +00 +00 +10 +52 +00 +6a +00 +24 +00 +3e +00 +2c +00 +4c +00 +25 +00 +4a +00 +25 +00 +3f +00 +26 +00 +37 +00 +2e +00 +66 +00 +a4 +00 +4e +10 +7e +00 +74 +00 +2e +00 +3a +00 +26 +00 +3f +00 +26 +00 +4b +00 +25 +00 +48 +00 +21 +00 +54 +00 +30 +00 +36 +00 +1f +00 +3d +00 +1f +00 +3e +00 +23 +00 +47 +00 +2a +00 +5a +00 +3d +00 +73 +00 +47 +00 +81 +00 +55 +00 +64 +00 +38 +00 +56 +00 +25 +00 +4c +00 +28 +00 +50 +00 +2b +00 +51 +00 +2a +00 +48 +00 +2b +00 +37 +00 +28 +00 +28 +00 +23 +00 +2d +00 +24 +00 +2f +00 +23 +00 +3d +00 +21 +00 +38 +00 +24 +00 +48 +00 +2a +00 +40 +00 +28 +00 +4c +00 +29 +00 +39 +00 +27 +00 +4a +00 +34 +00 +54 +00 +46 +00 +78 +00 +40 +00 +68 +00 +45 +00 +5b +00 +59 +00 +df +00 +81 +00 +72 +00 +3e +00 +4f +00 +34 +00 +66 +00 +31 +00 +61 +00 +34 +00 +4f +00 +29 +00 +3e +00 +21 +00 +4e +00 +21 +00 +42 +00 +2a +00 +84 +00 +3f +00 +79 +00 +2c +00 +50 +00 +2c +00 +4d +00 +20 +00 +53 +00 +1f +00 +3b +00 +21 +00 +4d +00 +27 +00 +49 +00 +23 +00 +41 +00 +23 +00 +45 +00 +1b +00 +42 +00 +1f +00 +48 +00 +27 +00 +58 +00 +22 +00 +47 +00 +26 +00 +4a +00 +22 +00 +57 +00 +1a +00 +45 +00 +28 +00 +32 +00 +20 +00 +36 +00 +21 +00 +39 +00 +1c +00 +00 +00 +00 +00 +33 +00 +9a +00 +3c +00 +a7 +00 +36 +00 +aa +00 +37 +00 +9a +00 +38 +00 +a0 +00 +3a +00 +a3 +00 +3a +00 +9a +00 +44 +00 +a1 +00 +31 +00 +a0 +00 +3c +00 +95 +00 +3b +00 +a5 +00 +39 +00 +a7 +00 +3a +00 +9a +00 +32 +00 +a2 +00 +40 +00 +ad +00 +41 +00 +b0 +00 +48 +00 +eb +00 +66 +00 +0e +10 +32 +00 +75 +00 +1f +00 +5b +00 +26 +00 +68 +00 +26 +00 +5f +00 +19 +00 +6b +00 +1f +00 +6b +00 +2f +00 +b4 +00 +4f +10 +8e +40 +a0 +10 +3b +20 +4b +00 +be +00 +81 +00 +c9 +10 +47 +00 +7f +00 +29 +00 +68 +00 +1e +00 +5e +00 +20 +00 +66 +00 +28 +00 +6b +00 +26 +00 +6f +00 +2b +00 +6e +00 +34 +00 +a1 +00 +46 +00 +a7 +00 +36 +00 +c7 +00 +53 +00 +fb +00 +3b +00 +9f +00 +30 +00 +84 +00 +2f +00 +a3 +00 +3f +00 +9b +00 +36 +00 +b0 +00 +72 +00 +5a +10 +66 +00 +e1 +00 +47 +00 +c2 +00 +31 +00 +8a +00 +35 +00 +7b +00 +30 +00 +ac +00 +32 +00 +99 +00 +31 +00 +87 +00 +32 +00 +8c +00 +39 +00 +b6 +00 +78 +00 +94 +10 +58 +00 +da +00 +3a +00 +91 +00 +36 +00 +7b +00 +30 +00 +86 +00 +29 +00 +78 +00 +2b +00 +7f +00 +2c +00 +8e +00 +55 +00 +df +10 +a7 +00 +e7 +00 +2c +00 +8d +00 +36 +00 +a0 +00 +43 +00 +bb +00 +4e +00 +a7 +00 +42 +00 +b6 +00 +5c +00 +ad +10 +55 +00 +ac +00 +2b +00 +7e +00 +36 +00 +8c +00 +68 +00 +92 +10 +6f +00 +b1 +00 +2e +00 +82 +00 +31 +00 +88 +00 +52 +00 +27 +10 +55 +10 +53 +50 +ea +10 +82 +30 +8f +00 +15 +10 +43 +00 +bb +00 +31 +00 +84 +00 +35 +00 +a3 +00 +94 +00 +39 +30 +58 +10 +f2 +20 +e3 +00 +0c +30 +92 +10 +e6 +40 +fc +10 +93 +60 +76 +20 +fb +60 +ac +20 +10 +80 +ec +20 +3b +80 +a0 +20 +04 +60 +99 +20 +ad +70 +89 +10 +c6 +20 +b4 +00 +06 +20 +9a +00 +7f +20 +f5 +10 +59 +50 +e2 +00 +69 +10 +4a +00 +df +00 +9a +00 +c3 +20 +96 +00 +fd +10 +7e +00 +12 +10 +57 +00 +fb +00 +60 +00 +37 +10 +59 +00 +1a +10 +4d +00 +02 +10 +5c +00 +e3 +00 +48 +00 +c3 +00 +35 +00 +7b +00 +28 +00 +6a +00 +2e +00 +74 +00 +1f +00 +4f +00 +1f +00 +4b +00 +19 +00 +52 +00 +25 +00 +67 +00 +32 +00 +7f +00 +30 +00 +6c +00 +2d +00 +8b +00 +47 +00 +da +00 +48 +00 +ac +00 +39 +00 +7a +00 +2c +00 +74 +00 +19 +00 +5f +00 +1e +00 +56 +00 +1f +00 +55 +00 +1d +00 +60 +00 +1f +00 +55 +00 +24 +00 +57 +00 +20 +00 +4c +00 +21 +00 +5c +00 +2d +00 +93 +00 +42 +00 +a6 +00 +2d +00 +70 +00 +38 +00 +78 +00 +27 +00 +6d +00 +23 +00 +61 +00 +1f +00 +54 +00 +1e +00 +4e +00 +1d +00 +4a +00 +1b +00 +48 +00 +18 +00 +4c +00 +1d +00 +4b +00 +19 +00 +49 +00 +1a +00 +49 +00 +1e +00 +45 +00 +1f +00 +4d +00 +1d +00 +3f +00 +1a +00 +42 +00 +1e +00 +41 +00 +22 +00 +79 +00 +4c +00 +26 +10 +57 +00 +80 +00 +1f +00 +4c +00 +1a +00 +4a +00 +1e +00 +4e +00 +18 +00 +4a +00 +1b +00 +60 +00 +54 +00 +59 +30 +37 +20 +a1 +50 +5a +10 +47 +30 +6b +00 +d1 +00 +22 +00 +5a +00 +14 +00 +53 +00 +20 +00 +5d +00 +24 +00 +73 +00 +2a +00 +56 +00 +21 +00 +50 +00 +21 +00 +55 +00 +20 +00 +3e +00 +1e +00 +5e +00 +18 +00 +4a +00 +25 +00 +47 +00 +21 +00 +4e +00 +1c +00 +41 +00 +14 +00 +48 +00 +1b +00 +3f +00 +16 +00 +3c +00 +3b +00 +91 +00 +24 +00 +7f +00 +2d +00 +8d +00 +2a +00 +a6 +00 +2b +00 +79 +00 +22 +00 +45 +00 +1a +00 +47 +00 +2b +00 +51 +00 +14 +00 +37 +00 +16 +00 +39 +00 +18 +00 +3d +00 +39 +00 +62 +10 +cd +00 +39 +10 +2b +00 +56 +00 +16 +00 +3b +00 +1b +00 +57 +00 +17 +00 +4c +00 +19 +00 +4a +00 +1c +00 +4d +00 +13 +00 +34 +00 +1d +00 +4f +00 +1d +00 +48 +00 +1e +00 +4c +00 +20 +00 +56 +00 +19 +00 +62 +00 +25 +00 +6d +00 +26 +00 +68 +00 +1d +00 +4d +00 +16 +00 +50 +00 +24 +00 +4c +00 +1d +00 +4c +00 +11 +00 +39 +00 +17 +00 +23 +00 +08 +00 +29 +00 +10 +00 +31 +00 +17 +00 +2c +00 +18 +00 +38 +00 +1a +00 +42 +00 +23 +00 +41 +00 +13 +00 +48 +00 +20 +00 +3f +00 +1b +00 +43 +00 +22 +00 +5c +00 +21 +00 +79 +00 +1a +00 +56 +00 +1c +00 +55 +00 +20 +00 +aa +00 +c8 +00 +61 +10 +2a +00 +4e +00 +2b +00 +77 +00 +20 +00 +5b +00 +21 +00 +55 +00 +1f +00 +3c +00 +19 +00 +48 +00 +1a +00 +42 +00 +15 +00 +42 +00 +33 +00 +94 +00 +27 +00 +6c +00 +24 +00 +75 +00 +28 +00 +54 +00 +1a +00 +42 +00 +12 +00 +3e +00 +22 +00 +4f +00 +1b +00 +46 +00 +1c +00 +44 +00 +16 +00 +3a +00 +14 +00 +44 +00 +1a +00 +4a +00 +1f +00 +49 +00 +18 +00 +40 +00 +16 +00 +42 +00 +18 +00 +3c +00 +17 +00 +37 +00 +16 +00 +3a +00 +17 +00 +38 +00 +15 +00 +33 +00 +00 +00 +00 +00 +97 +00 +66 +00 +91 +00 +6a +00 +93 +00 +72 +00 +92 +00 +75 +00 +a1 +00 +71 +00 +a5 +00 +6f +00 +ab +00 +6b +00 +9b +00 +6f +00 +9a +00 +6b +00 +a0 +00 +62 +00 +a8 +00 +6d +00 +a3 +00 +65 +00 +b2 +00 +5f +00 +ac +00 +65 +00 +82 +00 +4b +00 +78 +00 +4f +00 +77 +00 +63 +00 +bc +00 +71 +00 +8c +00 +4a +00 +5a +00 +45 +00 +69 +00 +45 +00 +5d +00 +37 +00 +6a +00 +37 +00 +65 +00 +4c +00 +63 +00 +a3 +00 +4c +10 +b9 +10 +b3 +40 +5f +10 +6a +10 +b2 +10 +5b +30 +56 +10 +64 +10 +73 +00 +81 +00 +4d +00 +6c +00 +43 +00 +6e +00 +4e +00 +75 +00 +59 +00 +81 +00 +4d +00 +6d +00 +6a +00 +7a +00 +76 +00 +8d +00 +83 +00 +94 +00 +7d +00 +d4 +00 +85 +00 +9f +00 +62 +00 +7e +00 +56 +00 +85 +00 +6b +00 +90 +00 +5c +00 +77 +00 +6a +00 +c5 +00 +79 +00 +d5 +00 +8f +00 +9d +00 +5f +00 +84 +00 +50 +00 +80 +00 +4c +00 +88 +00 +6a +00 +99 +00 +5d +00 +86 +00 +4e +00 +77 +00 +5f +00 +b2 +00 +c9 +10 +d5 +30 +c0 +20 +53 +20 +d1 +00 +bc +00 +63 +00 +81 +00 +5d +00 +8f +00 +4b +00 +87 +00 +56 +00 +77 +00 +5d +00 +b5 +00 +c7 +00 +02 +10 +e1 +00 +7e +10 +c4 +00 +ab +00 +65 +00 +90 +00 +66 +00 +bb +00 +65 +00 +eb +00 +78 +00 +b2 +00 +69 +00 +d3 +00 +75 +00 +b8 +00 +63 +00 +84 +00 +75 +00 +8e +00 +72 +10 +57 +30 +ad +10 +e7 +10 +94 +00 +8a +00 +60 +00 +a4 +00 +a4 +00 +d5 +00 +2d +10 +e3 +20 +a4 +20 +83 +50 +bd +20 +a4 +20 +90 +10 +69 +20 +c9 +00 +9f +00 +6e +00 +96 +00 +99 +00 +07 +10 +26 +10 +a7 +20 +d0 +10 +e8 +20 +5e +30 +86 +50 +a8 +30 +58 +50 +10 +30 +a0 +40 +0a +30 +06 +50 +ca +40 +4d +80 +a2 +50 +61 +80 +01 +50 +17 +60 +d0 +30 +a2 +50 +e6 +20 +c4 +30 +4b +10 +a3 +10 +d4 +20 +18 +60 +a9 +30 +b7 +40 +c2 +10 +16 +10 +06 +10 +44 +10 +c1 +10 +ec +20 +c6 +20 +18 +30 +81 +10 +25 +10 +b3 +00 +d4 +00 +96 +00 +e7 +00 +92 +00 +b4 +00 +8f +00 +ae +00 +74 +00 +b9 +00 +63 +00 +97 +00 +55 +00 +73 +00 +37 +00 +6a +00 +34 +00 +5a +00 +2f +00 +48 +00 +37 +00 +4d +00 +40 +00 +64 +00 +3c +00 +62 +00 +3b +00 +7a +00 +40 +00 +84 +00 +51 +00 +99 +00 +4d +00 +8c +00 +53 +00 +80 +00 +40 +00 +6d +00 +2f +00 +48 +00 +2c +00 +69 +00 +33 +00 +5d +00 +30 +00 +60 +00 +33 +00 +62 +00 +32 +00 +4f +00 +2e +00 +5d +00 +2f +00 +63 +00 +3c +00 +66 +00 +ac +00 +c0 +10 +6a +00 +7c +00 +71 +00 +8d +10 +6f +00 +80 +00 +3b +00 +5f +00 +35 +00 +66 +00 +2f +00 +52 +00 +2c +00 +5a +00 +2e +00 +4f +00 +2a +00 +46 +00 +32 +00 +55 +00 +2a +00 +48 +00 +22 +00 +49 +00 +2e +00 +4e +00 +2c +00 +56 +00 +27 +00 +4d +00 +29 +00 +3e +00 +28 +00 +48 +00 +25 +00 +47 +00 +31 +00 +72 +00 +3f +00 +a0 +00 +51 +00 +61 +00 +3a +00 +46 +00 +2f +00 +55 +00 +2b +00 +57 +00 +35 +00 +4c +00 +4c +00 +9b +00 +6b +10 +9a +40 +a3 +10 +a3 +20 +13 +20 +68 +20 +e5 +00 +b9 +00 +60 +00 +4b +00 +31 +00 +54 +00 +32 +00 +5e +00 +92 +00 +57 +10 +42 +00 +61 +00 +35 +00 +53 +00 +2e +00 +43 +00 +32 +00 +53 +00 +2a +00 +4e +00 +27 +00 +51 +00 +31 +00 +4b +00 +23 +00 +47 +00 +26 +00 +49 +00 +2a +00 +3f +00 +2a +00 +3e +00 +35 +00 +9c +00 +97 +00 +7d +00 +4d +00 +67 +00 +4e +00 +6d +00 +4e +00 +5c +00 +3b +00 +4b +00 +30 +00 +38 +00 +23 +00 +4b +00 +2c +00 +51 +00 +22 +00 +4c +00 +1c +00 +38 +00 +33 +00 +60 +00 +56 +00 +e0 +00 +5d +00 +ac +00 +3f +00 +44 +00 +2a +00 +42 +00 +31 +00 +56 +00 +3a +00 +57 +00 +35 +00 +40 +00 +24 +00 +39 +00 +27 +00 +4b +00 +1d +00 +4f +00 +27 +00 +3f +00 +21 +00 +49 +00 +2a +00 +50 +00 +30 +00 +52 +00 +2e +00 +73 +00 +2c +00 +4e +00 +24 +00 +4a +00 +28 +00 +4a +00 +2a +00 +3f +00 +25 +00 +36 +00 +2b +00 +37 +00 +20 +00 +2c +00 +1d +00 +34 +00 +1e +00 +3e +00 +21 +00 +41 +00 +25 +00 +44 +00 +23 +00 +39 +00 +29 +00 +35 +00 +2c +00 +47 +00 +2f +00 +56 +00 +3c +00 +78 +00 +bd +00 +97 +00 +56 +00 +5f +00 +42 +00 +52 +00 +40 +00 +5f +00 +87 +00 +da +10 +6a +00 +96 +00 +38 +00 +5a +00 +2b +00 +4f +00 +2e +00 +46 +00 +26 +00 +4e +00 +21 +00 +43 +00 +1f +00 +3b +00 +24 +00 +36 +00 +24 +00 +50 +00 +27 +00 +58 +00 +2c +00 +5d +00 +2e +00 +82 +00 +3d +00 +54 +00 +26 +00 +4c +00 +23 +00 +45 +00 +1b +00 +47 +00 +1d +00 +46 +00 +20 +00 +3e +00 +23 +00 +43 +00 +1d +00 +40 +00 +1f +00 +4f +00 +20 +00 +3c +00 +1a +00 +41 +00 +20 +00 +3b +00 +1c +00 +37 +00 +1c +00 +38 +00 +1e +00 +42 +00 +1f +00 +36 +00 +1c +00 +00 +00 +00 +00 +34 +00 +8f +00 +39 +00 +a1 +00 +3f +00 +a4 +00 +33 +00 +a2 +00 +3f +00 +9c +00 +41 +00 +aa +00 +41 +00 +ae +00 +37 +00 +98 +00 +36 +00 +a7 +00 +42 +00 +96 +00 +36 +00 +a9 +00 +3c +00 +9b +00 +37 +00 +98 +00 +41 +00 +89 +00 +2e +00 +6b +00 +2c +00 +6e +00 +28 +00 +87 +00 +36 +00 +85 +00 +23 +00 +67 +00 +20 +00 +5f +00 +22 +00 +6a +00 +1b +00 +62 +00 +26 +00 +51 +00 +1f +00 +62 +00 +29 +00 +83 +00 +81 +00 +fc +10 +cf +00 +d6 +10 +7e +00 +c0 +20 +6c +10 +a0 +20 +64 +00 +b5 +00 +2d +00 +82 +00 +31 +00 +7e +00 +23 +00 +7b +00 +33 +00 +99 +00 +2d +00 +89 +00 +35 +00 +99 +00 +40 +00 +9f +00 +2c +00 +72 +00 +29 +00 +9e +00 +2d +00 +92 +00 +34 +00 +83 +00 +2b +00 +81 +00 +2b +00 +82 +00 +2c +00 +79 +00 +26 +00 +7f +00 +3d +00 +bc +00 +31 +00 +94 +00 +2e +00 +7e +00 +2f +00 +7f +00 +36 +00 +91 +00 +2c +00 +a1 +00 +31 +00 +71 +00 +30 +00 +86 +00 +30 +00 +8e +00 +73 +00 +10 +30 +e4 +10 +bd +40 +42 +10 +1e +20 +4c +00 +a1 +00 +4b +00 +f3 +00 +39 +00 +89 +00 +29 +00 +72 +00 +2e +00 +77 +00 +58 +00 +af +10 +ba +00 +cd +10 +83 +00 +19 +10 +3f +00 +a6 +00 +39 +00 +97 +00 +3f +00 +b1 +00 +4c +00 +df +00 +3d +00 +a4 +00 +3f +00 +a1 +00 +32 +00 +7f +00 +2c +00 +95 +00 +95 +00 +ec +20 +7e +10 +b1 +20 +77 +00 +e7 +00 +3e +00 +a4 +00 +3e +00 +ed +00 +cc +00 +99 +20 +00 +10 +cb +30 +49 +10 +39 +40 +a2 +10 +b0 +20 +cd +00 +be +10 +37 +00 +95 +00 +46 +00 +ae +00 +51 +00 +47 +10 +8d +00 +04 +20 +1b +10 +cd +30 +e9 +10 +23 +60 +9d +10 +66 +30 +c5 +00 +90 +20 +8a +10 +96 +60 +f2 +20 +db +80 +c9 +20 +76 +70 +5b +20 +89 +50 +8c +10 +16 +50 +35 +10 +0e +20 +ad +00 +df +30 +81 +20 +63 +70 +20 +20 +98 +30 +a2 +00 +ca +10 +94 +00 +87 +20 +f1 +10 +30 +60 +b9 +10 +9d +30 +74 +00 +e6 +00 +37 +00 +b8 +00 +37 +00 +93 +00 +2e +00 +8d +00 +36 +00 +98 +00 +36 +00 +9d +00 +2b +00 +7c +00 +22 +00 +65 +00 +29 +00 +4d +00 +22 +00 +4f +00 +1c +00 +4c +00 +24 +00 +62 +00 +1e +00 +5a +00 +31 +00 +64 +00 +2d +00 +83 +00 +30 +00 +84 +00 +2e +00 +78 +00 +32 +00 +82 +00 +2b +00 +68 +00 +1e +00 +5c +00 +1d +00 +4d +00 +28 +00 +67 +00 +29 +00 +60 +00 +2f +00 +60 +00 +21 +00 +53 +00 +18 +00 +4f +00 +29 +00 +6b +00 +2d +00 +69 +00 +27 +00 +b2 +00 +6f +00 +f9 +00 +28 +00 +6e +00 +61 +00 +b9 +00 +23 +00 +5e +00 +22 +00 +59 +00 +25 +00 +59 +00 +18 +00 +62 +00 +18 +00 +57 +00 +21 +00 +59 +00 +25 +00 +63 +00 +1c +00 +46 +00 +1b +00 +44 +00 +1f +00 +44 +00 +19 +00 +54 +00 +1c +00 +4f +00 +22 +00 +41 +00 +18 +00 +45 +00 +26 +00 +4d +00 +1f +00 +56 +00 +25 +00 +6c +00 +27 +00 +67 +00 +1e +00 +59 +00 +1f +00 +47 +00 +23 +00 +4d +00 +1c +00 +51 +00 +17 +00 +54 +00 +32 +00 +2f +10 +a8 +00 +ce +10 +9c +00 +3d +20 +89 +00 +84 +10 +9b +00 +a1 +00 +28 +00 +5f +00 +28 +00 +52 +00 +29 +00 +e2 +00 +42 +00 +93 +00 +24 +00 +70 +00 +2e +00 +62 +00 +20 +00 +55 +00 +1e +00 +48 +00 +1a +00 +4f +00 +19 +00 +49 +00 +22 +00 +4e +00 +1a +00 +4b +00 +1c +00 +52 +00 +24 +00 +48 +00 +1b +00 +4a +00 +2a +00 +86 +00 +33 +00 +72 +00 +1f +00 +64 +00 +23 +00 +60 +00 +1f +00 +57 +00 +21 +00 +4a +00 +15 +00 +40 +00 +1f +00 +47 +00 +22 +00 +4c +00 +19 +00 +41 +00 +1c +00 +5c +00 +40 +00 +82 +00 +2b +00 +7d +00 +2a +00 +54 +00 +20 +00 +49 +00 +1e +00 +46 +00 +22 +00 +77 +00 +5c +00 +7e +00 +15 +00 +36 +00 +11 +00 +40 +00 +1b +00 +4e +00 +1b +00 +38 +00 +1e +00 +4a +00 +20 +00 +42 +00 +18 +00 +45 +00 +18 +00 +45 +00 +24 +00 +55 +00 +18 +00 +41 +00 +1a +00 +40 +00 +22 +00 +48 +00 +1c +00 +2d +00 +19 +00 +35 +00 +16 +00 +2f +00 +15 +00 +38 +00 +18 +00 +30 +00 +18 +00 +37 +00 +18 +00 +45 +00 +17 +00 +40 +00 +1b +00 +49 +00 +26 +00 +48 +00 +1c +00 +53 +00 +1e +00 +5b +00 +88 +00 +bc +10 +55 +00 +84 +00 +33 +00 +71 +00 +2b +00 +59 +00 +25 +00 +98 +00 +52 +00 +9b +00 +2e +00 +65 +00 +23 +00 +43 +00 +1d +00 +42 +00 +20 +00 +4a +00 +1a +00 +43 +00 +19 +00 +43 +00 +14 +00 +3d +00 +18 +00 +46 +00 +1a +00 +47 +00 +1e +00 +4a +00 +26 +00 +75 +00 +4b +00 +8d +00 +22 +00 +4c +00 +1f +00 +4b +00 +1b +00 +3d +00 +1e +00 +40 +00 +17 +00 +44 +00 +27 +00 +48 +00 +20 +00 +42 +00 +1e +00 +46 +00 +1b +00 +41 +00 +21 +00 +37 +00 +1f +00 +41 +00 +16 +00 +3e +00 +1b +00 +38 +00 +17 +00 +3c +00 +1f +00 +38 +00 +11 +00 +33 +00 +00 +00 +00 +00 +98 +00 +60 +00 +95 +00 +6e +00 +a1 +00 +6f +00 +a1 +00 +64 +00 +9a +00 +60 +00 +95 +00 +5b +00 +9e +00 +71 +00 +a1 +00 +6a +00 +b5 +00 +66 +00 +a4 +00 +66 +00 +8e +00 +71 +00 +94 +00 +6e +00 +9a +00 +6e +00 +9d +00 +59 +00 +79 +00 +3e +00 +5d +00 +3e +00 +63 +00 +57 +00 +80 +00 +65 +00 +81 +00 +38 +00 +58 +00 +3c +00 +62 +00 +50 +00 +68 +00 +43 +00 +6d +00 +3c +00 +5f +00 +3e +00 +67 +00 +70 +00 +de +00 +b1 +00 +39 +10 +b4 +00 +df +00 +c5 +00 +c1 +10 +df +00 +1a +10 +68 +00 +86 +00 +83 +00 +74 +10 +53 +00 +64 +00 +55 +00 +48 +10 +8f +00 +b6 +00 +74 +00 +f9 +00 +35 +10 +b7 +10 +98 +00 +7f +00 +4d +00 +6e +00 +69 +00 +8c +00 +b1 +00 +bf +00 +88 +00 +91 +00 +5e +00 +7c +00 +58 +00 +7b +00 +48 +00 +77 +00 +58 +00 +99 +00 +6d +00 +9b +00 +49 +00 +6f +00 +4a +00 +7b +00 +58 +00 +7c +00 +6b +00 +c6 +00 +80 +00 +88 +00 +57 +00 +6a +00 +4c +00 +90 +00 +77 +00 +d6 +00 +64 +10 +b2 +20 +28 +20 +d1 +30 +31 +10 +17 +10 +72 +00 +b4 +00 +6a +00 +be +00 +60 +00 +7f +00 +56 +00 +6b +00 +50 +00 +95 +00 +b8 +00 +61 +20 +c0 +10 +d7 +20 +46 +10 +56 +10 +95 +00 +b3 +00 +55 +00 +91 +00 +4d +00 +95 +00 +5b +00 +89 +00 +56 +00 +7f +00 +6a +00 +87 +00 +6d +00 +86 +00 +ae +00 +c1 +10 +8b +10 +a9 +20 +fe +00 +2d +10 +a4 +00 +d0 +00 +f7 +00 +56 +10 +36 +20 +8d +40 +58 +20 +6e +20 +e2 +20 +79 +50 +ac +30 +01 +60 +ac +20 +a5 +20 +10 +10 +fd +00 +87 +00 +84 +00 +7c +00 +b3 +00 +ff +00 +93 +10 +41 +30 +71 +50 +ea +30 +06 +50 +50 +30 +15 +40 +5a +20 +20 +20 +28 +30 +6a +40 +fc +30 +37 +80 +17 +50 +15 +80 +f8 +30 +7d +40 +87 +20 +63 +40 +1a +20 +67 +20 +36 +10 +73 +10 +d5 +10 +07 +50 +11 +30 +23 +50 +06 +20 +02 +40 +ca +20 +eb +30 +d1 +30 +72 +60 +e0 +30 +32 +60 +f3 +10 +d4 +10 +c2 +00 +b0 +00 +72 +00 +89 +00 +5c +00 +76 +00 +51 +00 +7f +00 +52 +00 +89 +00 +54 +00 +6f +00 +43 +00 +60 +00 +41 +00 +5b +00 +30 +00 +58 +00 +2e +00 +4e +00 +2a +00 +5a +00 +34 +00 +70 +00 +3a +00 +63 +00 +35 +00 +6f +00 +48 +00 +7b +00 +3e +00 +71 +00 +3c +00 +63 +00 +3f +00 +63 +00 +37 +00 +7c +00 +3d +00 +68 +00 +35 +00 +4c +00 +30 +00 +57 +00 +2c +00 +53 +00 +2b +00 +61 +00 +46 +00 +63 +00 +31 +00 +48 +00 +35 +00 +6a +00 +3b +00 +5f +00 +48 +00 +8a +00 +50 +00 +7f +00 +43 +00 +6b +00 +3c +00 +6c +00 +35 +00 +4a +00 +30 +00 +57 +00 +2b +00 +54 +00 +2a +00 +5a +00 +28 +00 +52 +00 +2a +00 +58 +00 +2b +00 +4f +00 +29 +00 +4a +00 +24 +00 +4b +00 +29 +00 +4c +00 +23 +00 +52 +00 +29 +00 +54 +00 +30 +00 +44 +00 +2a +00 +47 +00 +24 +00 +44 +00 +2d +00 +5b +00 +3e +00 +6f +00 +35 +00 +5e +00 +29 +00 +52 +00 +25 +00 +43 +00 +21 +00 +4e +00 +34 +00 +52 +00 +73 +00 +c8 +00 +ce +00 +03 +10 +b2 +00 +2e +10 +e8 +00 +16 +10 +be +00 +f8 +00 +5f +00 +77 +00 +3f +00 +50 +00 +35 +00 +5b +00 +40 +00 +69 +00 +42 +00 +71 +00 +3e +00 +6a +00 +89 +00 +1d +10 +68 +00 +65 +00 +40 +00 +4b +00 +37 +00 +4c +00 +3b +00 +51 +00 +34 +00 +4b +00 +2b +00 +82 +00 +33 +00 +47 +00 +2c +00 +3d +00 +2b +00 +57 +00 +39 +00 +66 +00 +44 +00 +5d +00 +45 +00 +4f +00 +36 +00 +4c +00 +33 +00 +3d +00 +34 +00 +40 +00 +23 +00 +4f +00 +24 +00 +41 +00 +2a +00 +48 +00 +21 +00 +3d +00 +40 +00 +82 +00 +40 +00 +6d +00 +44 +00 +58 +00 +3c +00 +47 +00 +5f +00 +b0 +00 +2d +00 +59 +00 +5b +00 +af +10 +54 +00 +5c +00 +2f +00 +3d +00 +2a +00 +3d +00 +26 +00 +3f +00 +24 +00 +5a +00 +2b +00 +4f +00 +25 +00 +3e +00 +29 +00 +47 +00 +2a +00 +44 +00 +22 +00 +35 +00 +33 +00 +8d +00 +4d +00 +53 +00 +2d +00 +42 +00 +21 +00 +39 +00 +1e +00 +32 +00 +1e +00 +3b +00 +28 +00 +35 +00 +2c +00 +42 +00 +38 +00 +3e +00 +30 +00 +3f +00 +2a +00 +35 +00 +37 +00 +54 +00 +6b +00 +60 +00 +43 +00 +54 +00 +5b +00 +c0 +10 +25 +10 +1d +10 +6c +00 +c1 +00 +b5 +00 +0c +10 +56 +00 +6f +00 +51 +00 +83 +00 +48 +00 +8a +00 +35 +00 +50 +00 +22 +00 +49 +00 +25 +00 +45 +00 +2d +00 +47 +00 +1e +00 +44 +00 +2a +00 +34 +00 +26 +00 +46 +00 +32 +00 +4a +00 +2f +00 +42 +00 +28 +00 +51 +00 +2b +00 +90 +00 +3b +00 +5a +00 +2d +00 +54 +00 +21 +00 +41 +00 +1f +00 +37 +00 +23 +00 +41 +00 +1f +00 +3e +00 +1c +00 +3d +00 +1d +00 +41 +00 +1e +00 +3c +00 +1a +00 +37 +00 +1c +00 +39 +00 +1e +00 +35 +00 +23 +00 +40 +00 +25 +00 +42 +00 +27 +00 +46 +00 +22 +00 +3d +00 +19 +00 +00 +00 +00 +00 +39 +00 +8e +00 +2d +00 +9b +00 +33 +00 +9d +00 +3c +00 +a1 +00 +37 +00 +a6 +00 +3c +00 +a3 +00 +37 +00 +9a +00 +2f +00 +9d +00 +33 +00 +93 +00 +3c +00 +a0 +00 +43 +00 +9f +00 +38 +00 +9d +00 +35 +00 +a0 +00 +32 +00 +88 +00 +21 +00 +6b +00 +1f +00 +5d +00 +21 +00 +66 +00 +26 +00 +af +00 +40 +00 +74 +00 +24 +00 +56 +00 +23 +00 +66 +00 +1f +00 +67 +00 +20 +00 +62 +00 +26 +00 +5c +00 +22 +00 +72 +00 +30 +00 +e2 +00 +43 +00 +c8 +00 +4d +00 +df +00 +5c +00 +09 +10 +3e +00 +9b +00 +32 +00 +a1 +00 +49 +00 +d0 +00 +2f +00 +7b +00 +3d +00 +b8 +00 +3c +00 +a6 +00 +6f +00 +4f +20 +bc +00 +29 +10 +2b +00 +6d +00 +30 +00 +78 +00 +3a +00 +28 +10 +af +00 +19 +10 +36 +00 +7f +00 +2d +00 +73 +00 +24 +00 +6f +00 +2d +00 +6d +00 +2e +00 +7d +00 +2b +00 +7d +00 +2b +00 +7a +00 +2b +00 +77 +00 +37 +00 +86 +00 +bf +00 +aa +10 +3d +00 +78 +00 +2c +00 +73 +00 +3c +00 +96 +00 +51 +00 +5b +10 +0d +10 +7d +40 +3b +10 +ed +10 +4f +00 +ad +00 +39 +00 +a8 +00 +42 +00 +a3 +00 +34 +00 +93 +00 +29 +00 +7e +00 +3a +00 +b9 +00 +7e +00 +6a +20 +1b +10 +3d +20 +be +00 +34 +10 +4f +00 +99 +00 +33 +00 +82 +00 +36 +00 +80 +00 +28 +00 +7b +00 +24 +00 +a2 +00 +42 +00 +89 +00 +37 +00 +a5 +00 +84 +00 +ca +10 +86 +00 +5c +10 +46 +00 +c6 +00 +af +00 +b0 +20 +6e +10 +92 +40 +9a +10 +34 +30 +68 +10 +a4 +50 +fe +10 +ae +50 +77 +20 +3a +50 +4e +10 +15 +20 +44 +00 +a3 +00 +3a +00 +a9 +00 +45 +00 +da +00 +19 +10 +86 +50 +a2 +20 +71 +70 +62 +20 +a0 +40 +e0 +00 +55 +20 +0c +10 +ce +40 +f4 +10 +f5 +40 +1a +20 +8e +70 +28 +20 +64 +40 +e1 +00 +63 +20 +e8 +00 +00 +30 +9f +00 +74 +10 +78 +00 +aa +10 +3e +10 +b0 +40 +0c +10 +49 +20 +69 +10 +dc +40 +2e +20 +aa +60 +a8 +20 +f5 +60 +60 +10 +9f +20 +64 +00 +f9 +00 +34 +00 +88 +00 +37 +00 +7e +00 +38 +00 +7e +00 +24 +00 +7b +00 +26 +00 +90 +00 +2b +00 +66 +00 +25 +00 +57 +00 +18 +00 +51 +00 +1d +00 +4d +00 +25 +00 +66 +00 +22 +00 +63 +00 +28 +00 +5a +00 +29 +00 +7c +00 +37 +00 +7a +00 +23 +00 +6c +00 +28 +00 +5f +00 +21 +00 +55 +00 +22 +00 +70 +00 +2a +00 +63 +00 +28 +00 +57 +00 +20 +00 +54 +00 +28 +00 +5f +00 +22 +00 +5c +00 +2a +00 +bb +00 +3c +00 +69 +00 +20 +00 +5b +00 +26 +00 +63 +00 +2c +00 +65 +00 +1f +00 +70 +00 +22 +00 +68 +00 +27 +00 +60 +00 +21 +00 +5b +00 +1c +00 +4f +00 +1f +00 +58 +00 +1f +00 +5b +00 +1e +00 +5e +00 +21 +00 +5a +00 +23 +00 +5b +00 +24 +00 +53 +00 +1b +00 +4b +00 +1f +00 +47 +00 +20 +00 +3f +00 +1c +00 +5c +00 +1d +00 +57 +00 +1c +00 +45 +00 +18 +00 +3e +00 +1c +00 +50 +00 +20 +00 +5d +00 +1f +00 +58 +00 +23 +00 +5b +00 +1c +00 +4e +00 +15 +00 +4a +00 +1f +00 +6d +00 +8f +00 +6d +10 +45 +00 +e3 +00 +46 +00 +de +00 +3f +00 +de +00 +c5 +00 +3c +10 +34 +00 +8b +00 +1e +00 +59 +00 +22 +00 +4f +00 +21 +00 +72 +00 +22 +00 +75 +00 +26 +00 +66 +00 +39 +00 +e8 +00 +bd +00 +f3 +00 +2a +00 +68 +00 +29 +00 +61 +00 +2b +00 +63 +00 +2e +00 +61 +00 +1f +00 +3c +00 +25 +00 +4b +00 +18 +00 +4f +00 +16 +00 +47 +00 +21 +00 +5a +00 +1d +00 +57 +00 +1f +00 +84 +00 +26 +00 +6a +00 +21 +00 +4e +00 +18 +00 +4b +00 +1e +00 +46 +00 +1f +00 +44 +00 +1b +00 +41 +00 +16 +00 +34 +00 +1d +00 +41 +00 +26 +00 +63 +00 +24 +00 +58 +00 +1a +00 +43 +00 +1a +00 +76 +00 +40 +00 +6f +00 +21 +00 +77 +00 +3c +00 +87 +00 +28 +00 +4c +00 +19 +00 +38 +00 +1e +00 +43 +00 +1b +00 +47 +00 +22 +00 +58 +00 +1c +00 +48 +00 +18 +00 +4a +00 +1a +00 +4d +00 +1a +00 +48 +00 +22 +00 +41 +00 +51 +00 +ec +00 +24 +00 +4e +00 +17 +00 +27 +00 +10 +00 +3a +00 +14 +00 +33 +00 +11 +00 +46 +00 +1a +00 +56 +00 +30 +00 +6e +00 +26 +00 +55 +00 +1b +00 +3f +00 +26 +00 +57 +00 +43 +00 +78 +10 +47 +00 +70 +00 +18 +00 +63 +00 +72 +00 +3b +10 +4d +00 +a0 +00 +36 +00 +e0 +00 +55 +00 +c1 +00 +2f +00 +77 +00 +26 +00 +98 +00 +27 +00 +58 +00 +19 +00 +45 +00 +1c +00 +3b +00 +1b +00 +50 +00 +16 +00 +3f +00 +1b +00 +41 +00 +11 +00 +3a +00 +1c +00 +65 +00 +2d +00 +49 +00 +1e +00 +4c +00 +21 +00 +6f +00 +32 +00 +78 +00 +27 +00 +51 +00 +20 +00 +53 +00 +1a +00 +42 +00 +1a +00 +38 +00 +1d +00 +41 +00 +19 +00 +38 +00 +1a +00 +39 +00 +1b +00 +38 +00 +1f +00 +43 +00 +14 +00 +3d +00 +19 +00 +41 +00 +16 +00 +3a +00 +1e +00 +54 +00 +1c +00 +46 +00 +16 +00 +37 +00 +15 +00 +36 +00 +00 +00 +00 +00 +8e +00 +5c +00 +97 +00 +70 +00 +9a +00 +6f +00 +9d +00 +6e +00 +ab +00 +6a +00 +97 +00 +6e +00 +a6 +00 +63 +00 +97 +00 +6d +00 +a5 +00 +69 +00 +9a +00 +68 +00 +9a +00 +6a +00 +94 +00 +66 +00 +94 +00 +60 +00 +93 +00 +51 +00 +6c +00 +3a +00 +4e +00 +3b +00 +5d +00 +48 +00 +6d +00 +49 +00 +70 +00 +44 +00 +5b +00 +3f +00 +59 +00 +3b +00 +56 +00 +41 +00 +61 +00 +41 +00 +5c +00 +50 +00 +65 +00 +8e +00 +a1 +00 +b0 +00 +d3 +00 +e4 +00 +cd +00 +d2 +00 +f8 +00 +b8 +00 +9e +00 +63 +00 +77 +00 +55 +00 +7c +00 +4e +00 +79 +00 +5a +00 +7d +00 +5e +00 +93 +00 +7d +00 +b5 +00 +95 +00 +f4 +00 +8f +00 +98 +00 +53 +00 +76 +00 +68 +00 +92 +00 +73 +10 +99 +30 +46 +10 +09 +10 +8e +00 +86 +00 +5a +00 +7e +00 +4a +00 +76 +00 +44 +00 +7e +00 +4b +00 +81 +00 +57 +00 +75 +00 +4f +00 +80 +00 +4e +00 +82 +00 +79 +00 +99 +10 +ba +00 +ed +00 +58 +00 +85 +00 +49 +00 +7b +00 +8f +00 +5c +10 +2f +20 +8e +40 +09 +20 +70 +20 +0d +10 +ef +00 +7a +00 +ad +00 +bf +00 +76 +10 +d9 +00 +ec +00 +4d +00 +61 +00 +52 +00 +8c +00 +70 +00 +ce +00 +9c +00 +26 +10 +bf +00 +f1 +00 +78 +00 +9c +00 +52 +00 +7b +00 +44 +00 +67 +00 +4f +00 +6c +00 +87 +00 +aa +00 +e5 +00 +9d +10 +a8 +00 +92 +00 +c1 +00 +45 +10 +f8 +00 +42 +10 +be +00 +ca +00 +91 +00 +36 +10 +72 +10 +39 +40 +da +20 +a9 +40 +6c +20 +eb +30 +6a +30 +8b +40 +13 +30 +c9 +60 +95 +30 +5b +50 +0a +20 +54 +10 +ae +00 +8e +00 +6d +00 +a1 +00 +c2 +00 +bb +10 +ea +20 +29 +70 +7c +40 +7d +70 +ea +30 +d1 +30 +4a +30 +e3 +30 +51 +20 +a0 +30 +7c +20 +00 +40 +90 +30 +d8 +40 +46 +20 +fb +10 +8f +10 +c6 +10 +23 +10 +83 +10 +f7 +00 +21 +10 +2f +10 +51 +20 +03 +20 +e6 +20 +af +10 +b2 +10 +f7 +10 +07 +60 +87 +30 +4a +60 +83 +20 +24 +30 +6d +10 +42 +10 +a0 +00 +aa +00 +65 +00 +a9 +00 +50 +00 +7c +00 +47 +00 +6d +00 +45 +00 +81 +00 +3e +00 +77 +00 +3f +00 +71 +00 +36 +00 +50 +00 +39 +00 +46 +00 +37 +00 +6b +00 +30 +00 +64 +00 +3b +00 +5e +00 +47 +00 +97 +00 +83 +00 +8f +00 +50 +00 +7b +00 +40 +00 +5e +00 +36 +00 +50 +00 +24 +00 +68 +00 +3d +00 +61 +00 +31 +00 +57 +00 +3b +00 +57 +00 +40 +00 +5d +00 +3c +00 +56 +00 +3a +00 +57 +00 +3c +00 +8f +00 +68 +00 +81 +00 +2c +00 +5a +00 +3f +00 +73 +00 +42 +00 +5f +00 +3d +00 +5a +00 +3f +00 +5e +00 +4d +00 +61 +00 +56 +00 +63 +00 +45 +00 +5d +00 +39 +00 +51 +00 +2e +00 +51 +00 +29 +00 +56 +00 +29 +00 +4c +00 +2b +00 +56 +00 +31 +00 +5b +00 +2c +00 +49 +00 +28 +00 +3f +00 +23 +00 +4c +00 +2a +00 +5b +00 +2e +00 +57 +00 +25 +00 +45 +00 +21 +00 +47 +00 +38 +00 +46 +00 +30 +00 +55 +00 +2a +00 +53 +00 +2d +00 +4b +00 +28 +00 +45 +00 +24 +00 +4c +00 +57 +00 +12 +10 +d0 +00 +62 +10 +a5 +00 +a1 +00 +9f +00 +92 +00 +9a +00 +72 +10 +87 +00 +b6 +00 +52 +00 +6f +00 +34 +00 +5c +00 +63 +00 +c9 +00 +c6 +00 +a7 +00 +5b +00 +58 +00 +4d +00 +d6 +00 +f1 +00 +20 +30 +3e +10 +25 +10 +6f +00 +65 +00 +6e +00 +0e +10 +60 +00 +f5 +00 +43 +00 +5d +00 +2e +00 +4b +00 +40 +00 +53 +00 +3a +00 +47 +00 +37 +00 +5d +00 +55 +00 +53 +00 +54 +00 +63 +00 +bf +00 +e0 +10 +59 +00 +54 +00 +3f +00 +86 +00 +44 +00 +4c +00 +2f +00 +45 +00 +26 +00 +3e +00 +25 +00 +34 +00 +22 +00 +3f +00 +2a +00 +53 +00 +2e +00 +4e +00 +39 +00 +4d +00 +2f +00 +46 +00 +2b +00 +65 +00 +39 +00 +62 +00 +6d +00 +10 +10 +92 +00 +78 +00 +3f +00 +43 +00 +22 +00 +3e +00 +25 +00 +43 +00 +28 +00 +4b +00 +29 +00 +54 +00 +27 +00 +45 +00 +23 +00 +47 +00 +2b +00 +48 +00 +26 +00 +4d +00 +28 +00 +69 +00 +3f +00 +91 +00 +33 +00 +3a +00 +20 +00 +2e +00 +1a +00 +3b +00 +21 +00 +3a +00 +38 +00 +82 +00 +b5 +00 +45 +10 +1b +10 +99 +00 +5b +00 +53 +00 +68 +00 +67 +00 +8f +00 +d8 +10 +3d +10 +25 +10 +6e +00 +5c +00 +5b +00 +a6 +00 +4d +00 +96 +00 +60 +00 +95 +00 +6b +00 +bb +00 +62 +00 +ef +00 +47 +00 +65 +00 +3b +00 +5e +00 +2c +00 +4a +00 +23 +00 +3d +00 +1c +00 +3f +00 +1a +00 +44 +00 +1f +00 +47 +00 +18 +00 +42 +00 +2d +00 +95 +00 +65 +00 +16 +10 +44 +00 +4f +00 +2f +00 +69 +00 +30 +00 +73 +00 +38 +00 +71 +00 +31 +00 +50 +00 +26 +00 +41 +00 +20 +00 +45 +00 +19 +00 +43 +00 +1b +00 +38 +00 +1d +00 +40 +00 +25 +00 +4b +00 +1e +00 +40 +00 +1c +00 +3e +00 +1d +00 +40 +00 +23 +00 +3f +00 +20 +00 +4a +00 +24 +00 +44 +00 +25 +00 +3d +00 +21 +00 +45 +00 +1e +00 +00 +00 +00 +00 +39 +00 +a7 +00 +39 +00 +a8 +00 +41 +00 +9a +00 +3e +00 +97 +00 +2d +00 +a6 +00 +2c +00 +a8 +00 +3a +00 +9a +00 +33 +00 +a8 +00 +3a +00 +9e +00 +35 +00 +9c +00 +37 +00 +94 +00 +3a +00 +92 +00 +36 +00 +87 +00 +32 +00 +77 +00 +22 +00 +4c +00 +1e +00 +60 +00 +26 +00 +5e +00 +25 +00 +79 +00 +27 +00 +5f +00 +19 +00 +51 +00 +22 +00 +5c +00 +1f +00 +64 +00 +29 +00 +70 +00 +21 +00 +62 +00 +2e +00 +a0 +00 +7a +00 +42 +10 +5c +00 +e5 +10 +d4 +00 +7c +10 +5a +00 +ec +00 +31 +00 +84 +00 +2c +00 +78 +00 +37 +00 +7d +00 +28 +00 +6a +00 +31 +00 +89 +00 +7c +00 +6b +10 +45 +00 +ad +00 +40 +00 +aa +00 +28 +00 +7e +00 +33 +00 +77 +00 +4b +00 +a2 +10 +7e +10 +a7 +30 +a8 +00 +06 +10 +3a +00 +83 +00 +2b +00 +6f +00 +2c +00 +6e +00 +28 +00 +80 +00 +2a +00 +79 +00 +30 +00 +8e +00 +2e +00 +6d +00 +2a +00 +8f +00 +5e +00 +da +00 +38 +00 +89 +00 +2e +00 +76 +00 +35 +00 +a3 +00 +b0 +00 +08 +40 +82 +10 +64 +30 +ea +00 +a0 +10 +41 +00 +ab +00 +6e +00 +9b +10 +f6 +00 +e2 +10 +47 +00 +99 +00 +2d +00 +71 +00 +2c +00 +94 +00 +38 +00 +cb +00 +48 +00 +ed +00 +33 +00 +aa +00 +3d +00 +88 +00 +2a +00 +6e +00 +2c +00 +64 +00 +2f +00 +da +00 +b2 +00 +ee +10 +e1 +00 +53 +10 +4a +00 +03 +10 +a3 +00 +ec +10 +5a +00 +dd +00 +35 +00 +b5 +00 +4e +00 +3d +10 +20 +10 +34 +50 +1f +20 +c5 +30 +66 +10 +45 +50 +21 +10 +e3 +20 +03 +20 +76 +60 +00 +20 +3a +40 +70 +00 +d4 +00 +32 +00 +8f +00 +3d +00 +b5 +00 +6e +00 +3e +20 +3d +20 +aa +70 +9c +20 +5e +70 +55 +20 +ec +50 +41 +10 +72 +20 +a2 +00 +41 +20 +45 +10 +bb +40 +22 +10 +7b +20 +79 +00 +61 +10 +99 +00 +b8 +10 +66 +00 +06 +10 +54 +00 +24 +10 +ab +00 +47 +30 +a6 +00 +de +10 +a9 +00 +46 +20 +84 +10 +9f +40 +37 +10 +b1 +30 +ca +00 +bf +10 +56 +00 +c5 +00 +42 +00 +9e +00 +41 +00 +8f +00 +34 +00 +75 +00 +27 +00 +76 +00 +2c +00 +73 +00 +29 +00 +68 +00 +1f +00 +57 +00 +25 +00 +46 +00 +24 +00 +5c +00 +21 +00 +69 +00 +20 +00 +6b +00 +25 +00 +6e +00 +5e +00 +88 +10 +63 +00 +28 +10 +30 +00 +6b +00 +25 +00 +4e +00 +21 +00 +62 +00 +23 +00 +66 +00 +26 +00 +57 +00 +25 +00 +59 +00 +29 +00 +5a +00 +2b +00 +6c +00 +28 +00 +5d +00 +28 +00 +6c +00 +2a +00 +77 +00 +21 +00 +69 +00 +1b +00 +51 +00 +26 +00 +62 +00 +1f +00 +58 +00 +25 +00 +5e +00 +23 +00 +7c +00 +88 +00 +27 +10 +2d +00 +6e +00 +24 +00 +52 +00 +20 +00 +58 +00 +21 +00 +5a +00 +20 +00 +50 +00 +24 +00 +4f +00 +23 +00 +5d +00 +1d +00 +45 +00 +15 +00 +47 +00 +1c +00 +4a +00 +1c +00 +4d +00 +1d +00 +57 +00 +1c +00 +45 +00 +15 +00 +48 +00 +20 +00 +3e +00 +1d +00 +4f +00 +1b +00 +46 +00 +21 +00 +49 +00 +1d +00 +4e +00 +20 +00 +52 +00 +1e +00 +5f +00 +31 +00 +af +00 +58 +00 +fc +00 +7d +00 +c3 +00 +2a +00 +85 +00 +40 +00 +a3 +00 +3a +00 +80 +00 +2c +00 +55 +00 +22 +00 +8f +00 +df +00 +b5 +20 +53 +00 +7d +00 +1f +00 +58 +00 +34 +00 +f8 +00 +ff +00 +05 +30 +cd +00 +eb +00 +29 +00 +71 +00 +31 +00 +9d +00 +40 +00 +75 +00 +23 +00 +4c +00 +1d +00 +79 +00 +4c +00 +5e +00 +1b +00 +59 +00 +33 +00 +a0 +00 +59 +00 +93 +00 +23 +00 +af +00 +6b +00 +bf +00 +24 +00 +58 +00 +24 +00 +5e +00 +23 +00 +41 +00 +15 +00 +52 +00 +1b +00 +43 +00 +12 +00 +34 +00 +1d +00 +4d +00 +28 +00 +56 +00 +1d +00 +48 +00 +22 +00 +43 +00 +15 +00 +53 +00 +1c +00 +5b +00 +20 +00 +88 +00 +b3 +00 +3b +10 +2f +00 +54 +00 +1b +00 +3d +00 +18 +00 +47 +00 +21 +00 +53 +00 +26 +00 +56 +00 +22 +00 +52 +00 +1e +00 +56 +00 +1c +00 +4e +00 +1f +00 +46 +00 +18 +00 +51 +00 +26 +00 +51 +00 +1f +00 +48 +00 +11 +00 +2d +00 +12 +00 +2a +00 +0f +00 +33 +00 +18 +00 +42 +00 +4a +00 +49 +10 +29 +10 +61 +30 +60 +00 +92 +00 +31 +00 +07 +10 +9c +00 +fa +00 +f5 +00 +6f +20 +71 +00 +f7 +00 +29 +00 +60 +00 +2f +00 +87 +00 +30 +00 +8e +00 +38 +00 +9b +00 +37 +00 +9e +00 +25 +00 +62 +00 +22 +00 +4d +00 +24 +00 +58 +00 +1d +00 +48 +00 +1f +00 +44 +00 +11 +00 +37 +00 +12 +00 +43 +00 +1a +00 +43 +00 +19 +00 +3f +00 +2b +00 +91 +00 +3d +00 +7f +00 +26 +00 +6d +00 +29 +00 +68 +00 +34 +00 +8a +00 +2d +00 +60 +00 +20 +00 +57 +00 +1a +00 +3a +00 +16 +00 +39 +00 +16 +00 +40 +00 +19 +00 +35 +00 +19 +00 +4d +00 +1a +00 +3e +00 +1a +00 +36 +00 +18 +00 +3e +00 +14 +00 +39 +00 +19 +00 +40 +00 +16 +00 +4e +00 +20 +00 +41 +00 +18 +00 +46 +00 +1f +00 +51 +00 +00 +00 +00 +00 +89 +00 +6f +00 +a3 +00 +68 +00 +af +00 +6c +00 +9f +00 +60 +00 +9e +00 +6b +00 +a7 +00 +66 +00 +a1 +00 +70 +00 +98 +00 +6d +00 +a7 +00 +7a +00 +b0 +00 +73 +00 +9d +00 +6c +00 +a1 +00 +6e +00 +9f +00 +61 +00 +8e +00 +4d +00 +63 +00 +3a +00 +51 +00 +33 +00 +64 +00 +3c +00 +65 +00 +40 +00 +67 +00 +3b +00 +56 +00 +31 +00 +5a +00 +39 +00 +67 +00 +35 +00 +61 +00 +46 +00 +64 +00 +8b +00 +a0 +00 +1b +10 +da +10 +c2 +00 +e9 +00 +f4 +00 +8b +30 +be +20 +ea +30 +0f +10 +b0 +00 +6d +00 +78 +00 +5f +00 +78 +00 +52 +00 +73 +00 +59 +00 +8b +00 +78 +00 +d4 +00 +ae +00 +5b +10 +89 +00 +b1 +00 +6a +00 +9b +00 +5b +00 +7a +00 +68 +00 +b1 +00 +e9 +00 +7e +20 +c0 +10 +e4 +30 +55 +10 +85 +10 +6b +00 +87 +00 +53 +00 +79 +00 +53 +00 +6d +00 +5c +00 +7c +00 +a9 +00 +fd +00 +82 +00 +a5 +00 +5b +00 +87 +00 +56 +00 +9d +00 +4c +00 +a0 +00 +57 +00 +7c +00 +58 +00 +80 +00 +7f +00 +e5 +00 +e3 +00 +2e +20 +d3 +10 +ad +40 +36 +10 +cd +00 +75 +00 +cc +00 +c2 +00 +8f +10 +9a +00 +d4 +00 +5b +00 +7b +00 +4d +00 +84 +00 +5f +00 +98 +00 +7a +00 +b4 +00 +6d +00 +a6 +00 +54 +00 +86 +00 +42 +00 +6d +00 +3f +00 +5f +00 +3d +00 +6d +00 +ad +00 +aa +10 +6a +10 +89 +20 +dd +00 +48 +10 +ff +00 +4f +10 +9a +00 +eb +00 +87 +00 +9b +00 +66 +00 +b2 +00 +d2 +00 +9f +10 +5b +20 +e6 +50 +3e +30 +72 +30 +cb +20 +7d +30 +33 +20 +5e +30 +66 +30 +ea +50 +2e +30 +bb +20 +ed +00 +a1 +00 +64 +00 +a1 +00 +a3 +00 +f3 +00 +71 +10 +95 +20 +52 +30 +b9 +70 +ed +40 +db +70 +2a +30 +21 +30 +96 +10 +ae +10 +20 +20 +67 +30 +f5 +20 +2a +40 +1f +20 +7e +10 +03 +10 +53 +10 +dd +00 +48 +10 +c3 +00 +e8 +00 +d4 +00 +4f +10 +a3 +10 +29 +30 +cc +10 +36 +30 +87 +10 +02 +20 +86 +10 +60 +20 +ab +10 +98 +20 +1e +10 +0c +10 +9d +00 +ab +00 +5b +00 +82 +00 +47 +00 +8e +00 +48 +00 +74 +00 +5b +00 +6a +00 +44 +00 +73 +00 +3f +00 +6e +00 +39 +00 +57 +00 +39 +00 +5c +00 +44 +00 +5c +00 +42 +00 +64 +00 +4c +00 +6e +00 +45 +00 +7f +00 +54 +00 +cc +00 +6b +00 +b4 +00 +4a +00 +60 +00 +3f +00 +59 +00 +39 +00 +57 +00 +3a +00 +60 +00 +2f +00 +5f +00 +39 +00 +6a +00 +49 +00 +ae +00 +5a +00 +74 +00 +38 +00 +71 +00 +3c +00 +5a +00 +2e +00 +5c +00 +3c +00 +5e +00 +35 +00 +59 +00 +36 +00 +56 +00 +29 +00 +67 +00 +2d +00 +64 +00 +87 +00 +26 +30 +33 +10 +24 +10 +5a +00 +66 +00 +32 +00 +56 +00 +29 +00 +61 +00 +2d +00 +53 +00 +26 +00 +4b +00 +31 +00 +4f +00 +22 +00 +4f +00 +21 +00 +4c +00 +1f +00 +46 +00 +28 +00 +4b +00 +21 +00 +52 +00 +23 +00 +51 +00 +27 +00 +3f +00 +29 +00 +3b +00 +2a +00 +50 +00 +27 +00 +56 +00 +23 +00 +4a +00 +2b +00 +45 +00 +2a +00 +69 +00 +39 +00 +74 +00 +42 +00 +69 +00 +4b +00 +90 +00 +ba +00 +97 +10 +84 +00 +99 +00 +56 +00 +7a +00 +48 +00 +79 +00 +37 +00 +57 +00 +35 +00 +5b +00 +5d +00 +dc +00 +17 +10 +98 +10 +69 +00 +78 +00 +4a +00 +6e +00 +65 +00 +d0 +00 +94 +00 +6a +10 +87 +00 +87 +00 +45 +00 +5d +00 +3e +00 +70 +00 +37 +00 +61 +00 +26 +00 +4e +00 +61 +00 +b8 +00 +43 +00 +47 +00 +57 +00 +df +00 +77 +10 +c1 +20 +a7 +00 +e8 +00 +79 +00 +82 +00 +3e +00 +73 +00 +34 +00 +50 +00 +1f +00 +4b +00 +22 +00 +41 +00 +26 +00 +43 +00 +21 +00 +3e +00 +26 +00 +48 +00 +23 +00 +4d +00 +2c +00 +50 +00 +28 +00 +4e +00 +29 +00 +40 +00 +2e +00 +4e +00 +56 +00 +7c +00 +16 +10 +00 +30 +da +00 +c0 +00 +65 +00 +56 +00 +32 +00 +46 +00 +2b +00 +4e +00 +25 +00 +49 +00 +21 +00 +53 +00 +28 +00 +5c +00 +32 +00 +5c +00 +2c +00 +45 +00 +2a +00 +43 +00 +24 +00 +50 +00 +27 +00 +44 +00 +23 +00 +30 +00 +26 +00 +33 +00 +21 +00 +30 +00 +1f +00 +38 +00 +38 +00 +68 +00 +ab +00 +01 +30 +21 +10 +c4 +10 +cc +00 +57 +10 +39 +10 +89 +20 +e8 +00 +3c +10 +db +00 +11 +20 +0f +10 +ab +00 +74 +00 +8b +00 +61 +00 +41 +10 +57 +00 +72 +00 +3f +00 +74 +00 +40 +00 +6e +00 +2c +00 +57 +00 +2c +00 +4c +00 +30 +00 +42 +00 +21 +00 +4c +00 +26 +00 +43 +00 +1c +00 +4b +00 +26 +00 +42 +00 +1f +00 +3e +00 +1f +00 +45 +00 +2c +00 +6f +00 +33 +00 +5c +00 +31 +00 +64 +00 +2a +00 +6a +00 +30 +00 +7a +00 +2d +00 +4f +00 +21 +00 +38 +00 +20 +00 +37 +00 +24 +00 +36 +00 +22 +00 +39 +00 +1c +00 +48 +00 +22 +00 +43 +00 +1e +00 +3d +00 +21 +00 +3c +00 +1f +00 +3b +00 +25 +00 +31 +00 +20 +00 +4a +00 +21 +00 +48 +00 +1f +00 +3a +00 +2a +00 +4d +00 +26 +00 +00 +00 +00 +00 +3a +00 +a4 +00 +36 +00 +93 +00 +3c +00 +94 +00 +33 +00 +a6 +00 +3f +00 +98 +00 +3a +00 +9d +00 +3a +00 +99 +00 +3e +00 +a8 +00 +42 +00 +a9 +00 +3b +00 +9a +00 +3c +00 +99 +00 +36 +00 +99 +00 +36 +00 +a4 +00 +29 +00 +79 +00 +1d +00 +58 +00 +1f +00 +58 +00 +20 +00 +63 +00 +26 +00 +71 +00 +21 +00 +64 +00 +25 +00 +51 +00 +20 +00 +61 +00 +24 +00 +52 +00 +25 +00 +6e +00 +31 +00 +b9 +00 +a8 +00 +88 +20 +8d +00 +28 +10 +44 +00 +c7 +00 +e6 +00 +6c +40 +b2 +10 +ad +20 +48 +00 +94 +00 +24 +00 +7c +00 +30 +00 +81 +00 +31 +00 +77 +00 +2a +00 +93 +00 +35 +00 +a7 +00 +52 +00 +e8 +00 +5d +00 +e8 +00 +33 +00 +7c +00 +25 +00 +72 +00 +2d +00 +d4 +00 +6f +00 +4a +10 +aa +00 +cb +10 +7a +00 +03 +10 +38 +00 +92 +00 +2a +00 +75 +00 +2a +00 +7c +00 +50 +00 +c1 +10 +b6 +00 +09 +10 +35 +00 +7f +00 +27 +00 +82 +00 +31 +00 +92 +00 +27 +00 +71 +00 +2d +00 +76 +00 +2f +00 +8b +00 +40 +00 +e8 +00 +7e +00 +a6 +10 +ab +00 +c7 +10 +46 +00 +99 +00 +3c +00 +bf +00 +41 +00 +d3 +00 +31 +00 +82 +00 +2a +00 +73 +00 +44 +00 +85 +00 +35 +00 +8d +00 +34 +00 +89 +00 +2c +00 +98 +00 +2a +00 +57 +00 +22 +00 +5e +00 +2c +00 +74 +00 +31 +00 +ce +00 +b0 +00 +54 +20 +9a +00 +29 +10 +4f +00 +fe +00 +5a +00 +d5 +00 +3d +00 +9c +00 +36 +00 +8a +00 +33 +00 +cf +00 +68 +00 +25 +20 +7b +10 +43 +50 +2f +10 +ae +30 +00 +10 +37 +20 +4b +10 +80 +50 +d5 +10 +d7 +50 +f3 +00 +64 +10 +39 +00 +8d +00 +32 +00 +b5 +00 +7c +00 +6a +20 +aa +00 +97 +20 +f2 +10 +9a +70 +ae +20 +3d +50 +c3 +00 +b7 +10 +7b +00 +31 +20 +eb +10 +ab +40 +66 +10 +b5 +30 +9c +00 +23 +10 +54 +00 +f7 +00 +56 +00 +f2 +00 +4b +00 +cb +00 +53 +00 +6d +10 +b4 +00 +ef +10 +7e +00 +ac +10 +78 +00 +7b +10 +77 +00 +90 +10 +80 +00 +73 +10 +d3 +00 +8c +10 +43 +00 +88 +00 +2e +00 +79 +00 +2d +00 +7d +00 +2b +00 +81 +00 +2a +00 +7a +00 +29 +00 +63 +00 +23 +00 +58 +00 +20 +00 +61 +00 +25 +00 +75 +00 +2a +00 +6b +00 +2c +00 +6d +00 +25 +00 +77 +00 +2f +00 +81 +00 +4a +00 +a9 +00 +33 +00 +7a +00 +26 +00 +55 +00 +21 +00 +64 +00 +23 +00 +63 +00 +25 +00 +59 +00 +26 +00 +5f +00 +20 +00 +61 +00 +49 +00 +b0 +00 +31 +00 +6e +00 +1e +00 +5c +00 +25 +00 +62 +00 +27 +00 +5b +00 +26 +00 +61 +00 +1b +00 +57 +00 +1e +00 +50 +00 +22 +00 +5d +00 +27 +00 +88 +00 +a1 +00 +87 +10 +74 +00 +be +00 +37 +00 +64 +00 +22 +00 +5f +00 +32 +00 +55 +00 +20 +00 +4d +00 +1c +00 +4d +00 +1e +00 +53 +00 +18 +00 +4e +00 +16 +00 +47 +00 +14 +00 +46 +00 +22 +00 +46 +00 +28 +00 +4c +00 +1c +00 +40 +00 +20 +00 +5b +00 +1b +00 +48 +00 +20 +00 +5c +00 +21 +00 +55 +00 +18 +00 +4f +00 +1d +00 +4e +00 +1c +00 +4a +00 +20 +00 +4d +00 +24 +00 +65 +00 +2a +00 +be +00 +3c +00 +92 +00 +2c +00 +68 +00 +24 +00 +63 +00 +2c +00 +5b +00 +1f +00 +50 +00 +1a +00 +6d +00 +6e +00 +14 +20 +75 +00 +b5 +00 +2e +00 +59 +00 +22 +00 +6f +00 +35 +00 +ad +00 +3a +00 +ae +00 +24 +00 +61 +00 +26 +00 +56 +00 +24 +00 +60 +00 +22 +00 +56 +00 +1d +00 +94 +00 +3a +00 +76 +00 +23 +00 +62 +00 +52 +00 +d6 +10 +c9 +00 +33 +10 +4f +00 +bc +00 +39 +00 +67 +00 +1c +00 +53 +00 +1c +00 +4c +00 +1e +00 +4d +00 +1b +00 +46 +00 +1a +00 +33 +00 +14 +00 +43 +00 +26 +00 +4c +00 +1b +00 +3a +00 +1f +00 +42 +00 +1a +00 +44 +00 +1b +00 +54 +00 +29 +00 +87 +00 +77 +00 +3f +20 +f6 +00 +8e +10 +44 +00 +91 +00 +2c +00 +51 +00 +25 +00 +8d +00 +26 +00 +56 +00 +22 +00 +57 +00 +2a +00 +58 +00 +23 +00 +5b +00 +3a +00 +72 +00 +13 +00 +42 +00 +23 +00 +38 +00 +12 +00 +35 +00 +15 +00 +35 +00 +14 +00 +34 +00 +13 +00 +2f +00 +13 +00 +2f +00 +14 +00 +45 +00 +21 +00 +cc +00 +95 +00 +42 +10 +5c +00 +3e +10 +34 +10 +1c +20 +6a +00 +13 +10 +56 +00 +6e +10 +51 +10 +67 +20 +4e +00 +ae +00 +2a +00 +7d +00 +43 +00 +9a +00 +32 +00 +6c +00 +1e +00 +62 +00 +26 +00 +4a +00 +21 +00 +53 +00 +1f +00 +4d +00 +1d +00 +48 +00 +21 +00 +41 +00 +1f +00 +40 +00 +17 +00 +4a +00 +1f +00 +3b +00 +1e +00 +3e +00 +1d +00 +5c +00 +31 +00 +75 +00 +2c +00 +5d +00 +21 +00 +5f +00 +27 +00 +62 +00 +27 +00 +5d +00 +22 +00 +4a +00 +1b +00 +48 +00 +18 +00 +47 +00 +17 +00 +3d +00 +1d +00 +41 +00 +1d +00 +3b +00 +1b +00 +43 +00 +1d +00 +38 +00 +16 +00 +33 +00 +18 +00 +39 +00 +14 +00 +3b +00 +20 +00 +41 +00 +1e +00 +44 +00 +1a +00 +3a +00 +1c +00 +48 +00 +00 +00 +00 +00 +9b +00 +64 +00 +9b +00 +71 +00 +9f +00 +69 +00 +98 +00 +5f +00 +96 +00 +68 +00 +ad +00 +60 +00 +95 +00 +76 +00 +9d +00 +5e +00 +ab +00 +6c +00 +a1 +00 +6c +00 +a4 +00 +5f +00 +aa +00 +73 +00 +98 +00 +66 +00 +89 +00 +4b +00 +58 +00 +33 +00 +4c +00 +3d +00 +5d +00 +66 +00 +7d +00 +4f +00 +65 +00 +3f +00 +57 +00 +3d +00 +57 +00 +3a +00 +63 +00 +3b +00 +5f +00 +9a +00 +b2 +00 +5f +10 +61 +20 +9f +10 +80 +20 +c9 +00 +d1 +00 +a3 +00 +0a +10 +32 +10 +77 +30 +4b +10 +15 +10 +7f +00 +89 +00 +75 +00 +87 +00 +70 +00 +86 +00 +d9 +00 +ea +00 +8f +00 +92 +00 +66 +00 +9c +00 +8d +00 +c3 +00 +7b +00 +97 +00 +4b +00 +6e +00 +5a +00 +83 +00 +83 +00 +ed +00 +9e +00 +e8 +00 +9c +00 +d7 +00 +6a +00 +a0 +00 +53 +00 +8a +00 +4a +00 +6a +00 +5d +00 +a0 +00 +c8 +00 +6d +20 +b6 +00 +8f +00 +56 +00 +74 +00 +47 +00 +76 +00 +52 +00 +6f +00 +3f +00 +80 +00 +3f +00 +7c +00 +60 +00 +94 +00 +91 +00 +fb +00 +ba +00 +08 +10 +9b +00 +c6 +00 +5c +00 +81 +00 +62 +00 +a5 +00 +65 +00 +80 +00 +46 +00 +76 +00 +5c +00 +82 +00 +8b +00 +8e +00 +7b +00 +80 +00 +59 +00 +85 +00 +41 +00 +6c +00 +3c +00 +54 +00 +46 +00 +a0 +00 +4f +00 +7b +00 +70 +00 +cd +00 +92 +00 +0e +10 +9f +00 +ae +00 +65 +00 +b0 +00 +7f +00 +9d +00 +5d +00 +8d +00 +58 +00 +85 +00 +a2 +00 +ed +00 +c6 +10 +af +30 +97 +30 +c2 +40 +b1 +20 +da +20 +6f +20 +ac +30 +82 +30 +a3 +40 +4f +20 +e3 +20 +03 +10 +b7 +00 +6d +00 +9b +00 +a9 +00 +ff +00 +80 +20 +cb +40 +ae +20 +af +20 +15 +30 +79 +60 +4b +20 +76 +20 +7f +10 +9a +10 +67 +30 +93 +60 +20 +40 +b3 +50 +9d +20 +a8 +20 +18 +10 +e9 +00 +a0 +00 +c9 +00 +8f +00 +c3 +00 +9a +00 +f4 +00 +1e +10 +ec +10 +f5 +10 +8e +10 +a8 +10 +5a +10 +5d +10 +34 +10 +f1 +00 +0c +10 +b3 +00 +1b +10 +8f +00 +e8 +00 +5b +00 +75 +00 +46 +00 +7f +00 +9a +00 +ec +00 +af +00 +ae +00 +6d +00 +6f +00 +3a +00 +6a +00 +6d +00 +79 +00 +ba +00 +93 +00 +bc +00 +a1 +00 +7f +00 +99 +00 +5b +00 +70 +00 +4c +00 +7e +00 +68 +00 +21 +10 +6f +00 +90 +00 +49 +00 +81 +00 +40 +00 +6e +00 +39 +00 +6a +00 +3c +00 +5c +00 +3e +00 +4b +00 +2e +00 +4d +00 +34 +00 +64 +00 +32 +00 +6f +00 +37 +00 +50 +00 +2f +00 +4f +00 +35 +00 +56 +00 +34 +00 +62 +00 +3c +00 +54 +00 +3c +00 +50 +00 +2d +00 +4e +00 +42 +00 +67 +00 +52 +00 +9a +00 +52 +00 +c1 +00 +5f +00 +86 +00 +39 +00 +5f +00 +31 +00 +67 +00 +35 +00 +57 +00 +31 +00 +4d +00 +2a +00 +43 +00 +31 +00 +4f +00 +1f +00 +43 +00 +30 +00 +4a +00 +28 +00 +46 +00 +23 +00 +44 +00 +2e +00 +45 +00 +2a +00 +6d +00 +68 +00 +60 +00 +2d +00 +48 +00 +2c +00 +5c +00 +2e +00 +4e +00 +2d +00 +45 +00 +28 +00 +4d +00 +27 +00 +4d +00 +33 +00 +56 +00 +43 +00 +6b +00 +4a +00 +73 +00 +3b +00 +61 +00 +36 +00 +4d +00 +37 +00 +51 +00 +30 +00 +52 +00 +2e +00 +56 +00 +55 +00 +06 +10 +80 +00 +d6 +00 +70 +00 +8b +00 +8d +00 +67 +00 +57 +00 +8b +00 +52 +00 +87 +00 +4b +00 +67 +00 +40 +00 +64 +00 +36 +00 +4d +00 +29 +00 +55 +00 +36 +00 +57 +00 +7f +00 +69 +10 +ca +00 +7f +00 +59 +00 +94 +00 +a6 +00 +04 +10 +69 +00 +b5 +00 +5b +00 +78 +00 +3c +00 +5b +00 +33 +00 +44 +00 +27 +00 +48 +00 +27 +00 +46 +00 +27 +00 +34 +00 +1d +00 +3f +00 +28 +00 +56 +00 +2f +00 +4f +00 +29 +00 +44 +00 +31 +00 +50 +00 +30 +00 +52 +00 +40 +00 +76 +00 +12 +10 +12 +20 +3c +10 +3d +20 +e5 +00 +fb +00 +86 +00 +5b +00 +2d +00 +59 +00 +50 +00 +81 +00 +2d +00 +4b +00 +34 +00 +59 +00 +3a +00 +63 +00 +2a +00 +53 +00 +2b +00 +4b +00 +22 +00 +37 +00 +1f +00 +38 +00 +1c +00 +30 +00 +1a +00 +2e +00 +18 +00 +31 +00 +1a +00 +2d +00 +1e +00 +36 +00 +34 +00 +5a +00 +62 +00 +9f +00 +67 +00 +d1 +00 +9b +00 +11 +10 +8d +00 +f3 +00 +89 +00 +c4 +00 +b1 +00 +f8 +10 +e2 +00 +44 +10 +73 +00 +71 +00 +3f +00 +85 +00 +3c +00 +98 +00 +38 +00 +5c +00 +36 +00 +4a +00 +30 +00 +4e +00 +2c +00 +6f +00 +35 +00 +53 +00 +25 +00 +47 +00 +27 +00 +47 +00 +27 +00 +4d +00 +26 +00 +45 +00 +23 +00 +36 +00 +28 +00 +4a +00 +2b +00 +68 +00 +3e +00 +79 +00 +2b +00 +68 +00 +27 +00 +52 +00 +35 +00 +66 +00 +2d +00 +55 +00 +22 +00 +43 +00 +27 +00 +42 +00 +23 +00 +49 +00 +25 +00 +38 +00 +1c +00 +43 +00 +20 +00 +3f +00 +1c +00 +42 +00 +22 +00 +3e +00 +1c +00 +40 +00 +1c +00 +49 +00 +25 +00 +3b +00 +2b +00 +3b +00 +32 +00 +3f +00 +6a +00 +c0 +00 +36 +00 +00 +00 +00 +00 +34 +00 +96 +00 +35 +00 +95 +00 +32 +00 +b3 +00 +3b +00 +9e +00 +3a +00 +9a +00 +38 +00 +a5 +00 +39 +00 +94 +00 +2f +00 +9e +00 +3c +00 +a9 +00 +3e +00 +a9 +00 +3a +00 +95 +00 +39 +00 +a2 +00 +35 +00 +91 +00 +2c +00 +6d +00 +1c +00 +5e +00 +1c +00 +54 +00 +29 +00 +f2 +00 +55 +00 +85 +00 +1f +00 +60 +00 +24 +00 +6a +00 +25 +00 +6a +00 +27 +00 +64 +00 +25 +00 +d6 +00 +f2 +00 +eb +20 +02 +10 +58 +20 +91 +00 +31 +10 +44 +00 +ac +00 +52 +00 +5f +10 +af +00 +c0 +10 +4e +00 +aa +00 +3a +00 +e0 +00 +3f +00 +a2 +00 +5f +00 +e0 +10 +9b +00 +fe +00 +32 +00 +96 +00 +30 +00 +90 +00 +58 +00 +bf +00 +34 +00 +75 +00 +2a +00 +71 +00 +25 +00 +87 +00 +35 +00 +d4 +00 +36 +00 +b4 +00 +38 +00 +91 +00 +40 +00 +aa +00 +2e +00 +68 +00 +2d +00 +6f +00 +2a +00 +bf +00 +57 +00 +fb +00 +3a +00 +6e +00 +2a +00 +72 +00 +26 +00 +75 +00 +22 +00 +6d +00 +27 +00 +7c +00 +2b +00 +82 +00 +28 +00 +a9 +00 +3a +00 +d0 +00 +47 +00 +ae +00 +29 +00 +7d +00 +29 +00 +7f +00 +2f +00 +91 +00 +34 +00 +7e +00 +2f +00 +75 +00 +35 +00 +10 +10 +5b +00 +aa +00 +2f +00 +70 +00 +27 +00 +69 +00 +22 +00 +64 +00 +27 +00 +60 +00 +25 +00 +76 +00 +2b +00 +8f +00 +3f +00 +ba +00 +39 +00 +b8 +00 +2e +00 +8f +00 +35 +00 +98 +00 +31 +00 +8d +00 +2e +00 +8d +00 +30 +00 +aa +00 +55 +00 +ad +10 +98 +10 +35 +60 +c1 +10 +37 +40 +41 +10 +14 +30 +cb +10 +0c +60 +52 +10 +b8 +20 +7c +00 +3d +10 +31 +00 +99 +00 +39 +00 +ac +00 +66 +00 +1f +30 +4a +20 +2a +60 +f2 +00 +d2 +20 +2f +10 +02 +30 +8d +00 +4d +10 +33 +10 +a3 +50 +ca +20 +06 +70 +26 +20 +17 +40 +a5 +00 +57 +10 +53 +00 +e0 +00 +45 +00 +f7 +00 +58 +00 +bd +00 +5e +00 +7c +10 +9a +10 +c1 +40 +5d +10 +b5 +30 +11 +10 +87 +20 +63 +00 +06 +10 +3a +00 +a4 +00 +42 +00 +ba +00 +40 +00 +91 +00 +2b +00 +7a +00 +39 +00 +c8 +00 +30 +10 +9a +20 +5d +00 +8e +00 +2b +00 +61 +00 +25 +00 +8c +00 +b7 +00 +af +20 +65 +00 +5b +10 +dd +00 +3b +10 +41 +00 +88 +00 +2b +00 +6b +00 +2f +00 +a7 +00 +57 +00 +b8 +00 +30 +00 +80 +00 +2c +00 +69 +00 +24 +00 +67 +00 +23 +00 +6d +00 +24 +00 +61 +00 +24 +00 +51 +00 +25 +00 +5b +00 +23 +00 +5e +00 +22 +00 +64 +00 +1b +00 +53 +00 +1c +00 +54 +00 +20 +00 +5e +00 +30 +00 +5a +00 +1d +00 +57 +00 +1b +00 +63 +00 +23 +00 +5b +00 +23 +00 +73 +00 +27 +00 +92 +00 +31 +00 +8f +00 +26 +00 +65 +00 +25 +00 +67 +00 +29 +00 +6c +00 +23 +00 +56 +00 +20 +00 +4d +00 +19 +00 +4f +00 +1e +00 +4a +00 +1c +00 +40 +00 +1d +00 +4f +00 +1b +00 +50 +00 +1e +00 +4a +00 +18 +00 +4d +00 +23 +00 +80 +00 +29 +00 +4b +00 +1c +00 +51 +00 +21 +00 +4d +00 +20 +00 +46 +00 +22 +00 +56 +00 +1e +00 +4d +00 +1d +00 +52 +00 +1a +00 +4b +00 +25 +00 +63 +00 +25 +00 +62 +00 +1e +00 +4e +00 +18 +00 +4b +00 +1c +00 +40 +00 +16 +00 +44 +00 +1b +00 +4e +00 +2e +00 +96 +00 +39 +00 +8b +00 +7e +00 +82 +10 +34 +00 +75 +00 +25 +00 +66 +00 +28 +00 +67 +00 +21 +00 +5a +00 +1e +00 +57 +00 +1f +00 +4e +00 +19 +00 +44 +00 +1b +00 +8b +00 +cf +00 +c3 +20 +37 +00 +64 +00 +2e +00 +cd +00 +74 +00 +bd +00 +29 +00 +78 +00 +1f +00 +53 +00 +13 +00 +43 +00 +1f +00 +42 +00 +1f +00 +4f +00 +1a +00 +3c +00 +14 +00 +49 +00 +1c +00 +43 +00 +28 +00 +59 +00 +1a +00 +51 +00 +1e +00 +4b +00 +20 +00 +45 +00 +21 +00 +4c +00 +2e +00 +5b +10 +9a +00 +03 +30 +71 +10 +f6 +10 +40 +00 +8a +00 +24 +00 +55 +00 +42 +00 +86 +00 +34 +00 +63 +00 +22 +00 +70 +00 +3e +00 +78 +00 +2a +00 +62 +00 +1b +00 +4c +00 +1e +00 +3b +00 +17 +00 +38 +00 +14 +00 +2a +00 +15 +00 +29 +00 +0e +00 +2e +00 +12 +00 +32 +00 +12 +00 +36 +00 +18 +00 +46 +00 +19 +00 +63 +00 +25 +00 +82 +00 +29 +00 +9a +00 +2e +00 +a3 +00 +37 +00 +a5 +00 +3a +00 +0e +10 +ac +00 +6c +10 +66 +00 +cd +00 +32 +00 +79 +00 +29 +00 +86 +00 +37 +00 +78 +00 +2a +00 +53 +00 +22 +00 +5d +00 +1a +00 +5c +00 +24 +00 +5e +00 +1a +00 +55 +00 +26 +00 +52 +00 +1f +00 +50 +00 +1b +00 +49 +00 +18 +00 +3e +00 +15 +00 +49 +00 +2b +00 +4e +00 +2b +00 +88 +00 +38 +00 +7d +00 +27 +00 +5d +00 +24 +00 +53 +00 +1d +00 +4d +00 +1c +00 +4a +00 +1c +00 +44 +00 +1f +00 +4b +00 +16 +00 +44 +00 +19 +00 +3c +00 +1c +00 +39 +00 +17 +00 +41 +00 +17 +00 +3d +00 +17 +00 +3c +00 +15 +00 +42 +00 +18 +00 +3f +00 +16 +00 +3c +00 +18 +00 +4a +00 +2c +00 +03 +10 +53 +00 +84 +00 +00 +00 +00 +00 +85 +00 +5f +00 +9a +00 +68 +00 +95 +00 +6e +00 +9b +00 +64 +00 +97 +00 +63 +00 +a6 +00 +73 +00 +9d +00 +6a +00 +90 +00 +6f +00 +a8 +00 +79 +00 +a3 +00 +6d +00 +a3 +00 +66 +00 +a5 +00 +6b +00 +92 +00 +5c +00 +75 +00 +3e +00 +5d +00 +3a +00 +51 +00 +4d +00 +78 +00 +0a +10 +f9 +10 +74 +00 +76 +00 +34 +00 +5a +00 +38 +00 +6a +00 +41 +00 +66 +00 +44 +00 +64 +00 +af +00 +25 +20 +43 +20 +cb +30 +24 +10 +1f +10 +92 +00 +b2 +00 +80 +00 +ba +00 +f9 +00 +a0 +20 +51 +10 +14 +10 +9d +00 +b0 +00 +10 +10 +5c +10 +3b +10 +74 +10 +70 +20 +41 +30 +1b +10 +a7 +00 +95 +00 +af +00 +df +00 +16 +20 +db +00 +a4 +00 +57 +00 +76 +00 +48 +00 +7e +00 +6e +00 +93 +00 +95 +00 +f9 +00 +8f +00 +a9 +00 +68 +00 +aa +00 +84 +00 +c5 +00 +4d +00 +71 +00 +5c +00 +82 +00 +b8 +00 +25 +10 +95 +00 +94 +00 +4e +00 +68 +00 +50 +00 +79 +00 +51 +00 +84 +00 +40 +00 +67 +00 +51 +00 +72 +00 +55 +00 +8e +00 +70 +00 +ae +00 +77 +00 +ab +00 +60 +00 +6e +00 +44 +00 +8b +00 +59 +00 +8f +00 +45 +00 +69 +00 +77 +00 +87 +00 +bf +00 +c5 +00 +43 +10 +8f +30 +08 +10 +a0 +00 +4a +00 +70 +00 +43 +00 +60 +00 +40 +00 +6e +00 +3b +00 +62 +00 +40 +00 +76 +00 +55 +00 +96 +00 +71 +00 +a6 +00 +5e +00 +7d +00 +5c +00 +90 +00 +6f +00 +9f +00 +64 +00 +93 +00 +58 +00 +84 +00 +7f +00 +d5 +00 +2b +10 +f1 +20 +65 +20 +16 +50 +81 +20 +af +40 +a7 +20 +ea +40 +eb +20 +d6 +30 +ac +10 +61 +10 +d9 +00 +af +00 +70 +00 +8f +00 +9a +00 +dd +00 +2e +20 +ce +50 +7f +40 +c1 +60 +fa +20 +3c +20 +bb +10 +af +10 +23 +20 +6b +40 +4c +30 +28 +70 +c1 +30 +38 +50 +c2 +10 +e8 +10 +e0 +00 +f8 +00 +85 +00 +cd +00 +8b +00 +25 +10 +8d +00 +c7 +00 +15 +10 +81 +30 +e9 +20 +7f +60 +0e +40 +23 +60 +c5 +20 +5c +30 +2d +10 +d3 +00 +94 +00 +ad +00 +5a +00 +8d +00 +51 +00 +84 +00 +57 +00 +98 +00 +32 +10 +f0 +30 +58 +10 +a3 +10 +9b +00 +87 +00 +57 +00 +7b +00 +99 +10 +14 +40 +9d +20 +a7 +20 +dd +10 +de +30 +fc +00 +08 +10 +6a +00 +73 +00 +41 +00 +7c +00 +47 +00 +8c +00 +51 +00 +7e +00 +46 +00 +76 +00 +4d +00 +5d +00 +3a +00 +61 +00 +34 +00 +69 +00 +2a +00 +58 +00 +2c +00 +5f +00 +37 +00 +59 +00 +2f +00 +5e +00 +32 +00 +4f +00 +2a +00 +51 +00 +2c +00 +50 +00 +38 +00 +68 +00 +37 +00 +68 +00 +39 +00 +69 +00 +a8 +00 +bd +00 +a9 +00 +94 +00 +77 +00 +7f +00 +59 +00 +78 +00 +7f +00 +7d +00 +44 +00 +5f +00 +36 +00 +63 +00 +37 +00 +70 +00 +38 +00 +58 +00 +2f +00 +5e +00 +2e +00 +46 +00 +2a +00 +4c +00 +2d +00 +61 +00 +27 +00 +54 +00 +34 +00 +54 +00 +4d +00 +5e +00 +3d +00 +51 +00 +3a +00 +46 +00 +30 +00 +4f +00 +26 +00 +44 +00 +22 +00 +60 +00 +34 +00 +58 +00 +34 +00 +4d +00 +2a +00 +4c +00 +2f +00 +58 +00 +2e +00 +51 +00 +3b +00 +68 +00 +34 +00 +4a +00 +2e +00 +40 +00 +23 +00 +44 +00 +27 +00 +43 +00 +2c +00 +50 +00 +3b +00 +65 +00 +44 +00 +7c +00 +e9 +00 +31 +20 +3c +10 +27 +10 +79 +00 +62 +00 +45 +00 +65 +00 +35 +00 +52 +00 +34 +00 +4e +00 +2f +00 +52 +00 +2e +00 +4e +00 +31 +00 +4e +00 +45 +00 +93 +00 +66 +00 +c1 +00 +55 +00 +68 +00 +4c +00 +8d +00 +5e +00 +94 +00 +4f +00 +5c +00 +34 +00 +53 +00 +2e +00 +44 +00 +2a +00 +4c +00 +29 +00 +40 +00 +28 +00 +41 +00 +2a +00 +4f +00 +2e +00 +63 +00 +5c +00 +6f +00 +4f +00 +50 +00 +6c +00 +ee +00 +4b +00 +57 +00 +49 +00 +77 +00 +86 +00 +f2 +00 +11 +10 +0d +30 +70 +10 +32 +10 +7b +00 +6e +00 +36 +00 +74 +00 +3b +00 +67 +00 +2e +00 +4f +00 +48 +00 +0c +10 +82 +00 +ab +00 +33 +00 +48 +00 +24 +00 +3a +00 +22 +00 +2a +00 +20 +00 +2b +00 +1e +00 +2e +00 +1d +00 +30 +00 +1b +00 +34 +00 +1e +00 +2a +00 +21 +00 +45 +00 +39 +00 +4b +00 +38 +00 +5a +00 +4a +00 +5c +00 +55 +00 +63 +00 +4e +00 +72 +00 +75 +00 +d5 +00 +ca +00 +ef +00 +62 +00 +d0 +00 +6d +00 +9a +00 +5c +00 +6a +00 +3f +00 +7e +00 +31 +00 +7e +00 +36 +00 +91 +00 +3b +00 +55 +00 +41 +00 +58 +00 +2f +00 +50 +00 +2f +00 +72 +00 +2c +00 +60 +00 +2f +00 +5b +00 +30 +00 +40 +00 +27 +00 +37 +00 +2e +00 +4a +00 +2a +00 +68 +00 +31 +00 +7d +00 +30 +00 +66 +00 +2c +00 +62 +00 +2b +00 +51 +00 +30 +00 +54 +00 +28 +00 +3d +00 +21 +00 +48 +00 +21 +00 +42 +00 +1c +00 +37 +00 +1e +00 +3f +00 +1f +00 +35 +00 +26 +00 +3a +00 +2a +00 +41 +00 +23 +00 +40 +00 +24 +00 +3b +00 +25 +00 +40 +00 +25 +00 +44 +00 +4f +00 +a8 +00 +56 +00 +b0 +00 +3c +00 +00 +00 +00 +00 +3a +00 +8e +00 +34 +00 +96 +00 +32 +00 +a3 +00 +2d +00 +8b +00 +3c +00 +a4 +00 +3c +00 +a7 +00 +34 +00 +a1 +00 +32 +00 +a4 +00 +39 +00 +a1 +00 +3a +00 +98 +00 +38 +00 +a0 +00 +30 +00 +8f +00 +3a +00 +87 +00 +23 +00 +6b +00 +23 +00 +6e +00 +1b +00 +57 +00 +3d +00 +94 +10 +a2 +00 +fc +00 +24 +00 +6f +00 +25 +00 +67 +00 +26 +00 +5e +00 +23 +00 +6e +00 +2e +00 +97 +00 +b4 +00 +17 +40 +13 +10 +f1 +10 +41 +00 +b0 +00 +34 +00 +8d +00 +36 +00 +e8 +00 +ef +00 +3e +30 +78 +00 +c9 +00 +6a +00 +08 +20 +9f +00 +c4 +10 +14 +10 +f3 +40 +22 +10 +cb +10 +3a +00 +b8 +00 +7a +00 +b9 +10 +95 +00 +8d +10 +44 +00 +86 +00 +29 +00 +7b +00 +2a +00 +77 +00 +2c +00 +a2 +00 +bf +00 +f0 +10 +4f +00 +80 +00 +3e +00 +fc +00 +37 +00 +9a +00 +2e +00 +72 +00 +33 +00 +4b +10 +7a +00 +11 +10 +2e +00 +80 +00 +26 +00 +81 +00 +2a +00 +a1 +00 +35 +00 +80 +00 +26 +00 +79 +00 +30 +00 +73 +00 +2e +00 +9b +00 +39 +00 +8e +00 +37 +00 +86 +00 +2f +00 +72 +00 +39 +00 +6b +00 +32 +00 +74 +00 +2c +00 +b4 +00 +b7 +00 +df +10 +d4 +00 +5c +20 +e5 +00 +20 +20 +3f +00 +6f +00 +22 +00 +70 +00 +2b +00 +77 +00 +22 +00 +7f +00 +2a +00 +79 +00 +2b +00 +7f +00 +32 +00 +9a +00 +2c +00 +73 +00 +25 +00 +83 +00 +34 +00 +8b +00 +56 +00 +ae +00 +33 +00 +91 +00 +32 +00 +98 +00 +41 +00 +f1 +00 +b3 +00 +35 +20 +ca +00 +59 +20 +73 +10 +07 +40 +4f +10 +75 +30 +c3 +00 +7b +20 +89 +00 +0e +10 +43 +00 +99 +00 +36 +00 +9f +00 +57 +00 +de +10 +33 +20 +c8 +70 +ae +20 +9e +60 +c8 +00 +b5 +10 +d9 +00 +d8 +30 +ad +10 +c2 +30 +5f +10 +21 +40 +1b +10 +1a +20 +77 +00 +21 +10 +50 +00 +dc +00 +54 +00 +de +00 +48 +00 +cc +00 +45 +00 +01 +10 +fd +00 +69 +40 +78 +20 +bf +60 +fe +10 +97 +40 +28 +10 +27 +20 +4d +00 +aa +00 +31 +00 +93 +00 +34 +00 +71 +00 +2d +00 +83 +00 +41 +00 +c9 +10 +04 +10 +e9 +10 +6d +00 +dd +00 +2e +00 +91 +00 +56 +00 +00 +20 +24 +20 +3f +50 +41 +10 +19 +30 +c3 +00 +8c +10 +4b +00 +bc +00 +24 +00 +73 +00 +25 +00 +6e +00 +2a +00 +7f +00 +2f +00 +7b +00 +31 +00 +6b +00 +27 +00 +5e +00 +1e +00 +51 +00 +26 +00 +53 +00 +24 +00 +4f +00 +2a +00 +66 +00 +1f +00 +5a +00 +1b +00 +50 +00 +26 +00 +52 +00 +1f +00 +54 +00 +20 +00 +5a +00 +2d +00 +55 +00 +2a +00 +5f +00 +32 +00 +10 +10 +35 +10 +95 +20 +95 +00 +ca +00 +2e +00 +7d +00 +56 +00 +ec +00 +52 +00 +94 +00 +26 +00 +64 +00 +20 +00 +7c +00 +2a +00 +5d +00 +24 +00 +5a +00 +22 +00 +56 +00 +1b +00 +4b +00 +1e +00 +4d +00 +22 +00 +54 +00 +22 +00 +5c +00 +52 +00 +1a +10 +2e +00 +5e +00 +23 +00 +55 +00 +1c +00 +4a +00 +1c +00 +4a +00 +23 +00 +49 +00 +24 +00 +60 +00 +15 +00 +4d +00 +19 +00 +52 +00 +2f +00 +67 +00 +1f +00 +49 +00 +19 +00 +51 +00 +22 +00 +4d +00 +1a +00 +41 +00 +1d +00 +4a +00 +1b +00 +44 +00 +1a +00 +52 +00 +18 +00 +54 +00 +1d +00 +65 +00 +4b +00 +69 +10 +f5 +00 +55 +20 +dd +00 +1e +10 +2c +00 +64 +00 +1b +00 +46 +00 +1d +00 +53 +00 +18 +00 +51 +00 +1a +00 +4a +00 +1a +00 +43 +00 +1c +00 +5f +00 +31 +00 +73 +00 +26 +00 +73 +00 +27 +00 +60 +00 +27 +00 +62 +00 +26 +00 +52 +00 +24 +00 +57 +00 +1b +00 +48 +00 +1a +00 +44 +00 +1b +00 +4a +00 +16 +00 +3f +00 +1c +00 +49 +00 +24 +00 +5b +00 +53 +00 +ca +10 +68 +00 +8c +00 +29 +00 +9d +00 +9b +00 +a3 +00 +25 +00 +74 +00 +3a +00 +a9 +00 +41 +00 +13 +10 +cd +00 +5d +20 +61 +00 +a6 +00 +20 +00 +51 +00 +27 +00 +93 +00 +24 +00 +5c +00 +1b +00 +6b +00 +3c +00 +e7 +00 +39 +00 +64 +00 +1c +00 +40 +00 +13 +00 +2f +00 +15 +00 +29 +00 +11 +00 +30 +00 +15 +00 +31 +00 +12 +00 +2d +00 +10 +00 +2c +00 +17 +00 +3f +00 +1b +00 +55 +00 +1b +00 +47 +00 +1b +00 +47 +00 +1c +00 +57 +00 +20 +00 +58 +00 +1c +00 +68 +00 +3b +00 +c3 +00 +42 +00 +8c +00 +35 +00 +9a +00 +9c +00 +7d +10 +36 +00 +69 +00 +29 +00 +5f +00 +2c +00 +81 +00 +44 +00 +77 +00 +22 +00 +6e +00 +29 +00 +60 +00 +23 +00 +73 +00 +3f +00 +7c +00 +2c +00 +61 +00 +30 +00 +5d +00 +14 +00 +3b +00 +15 +00 +58 +00 +27 +00 +59 +00 +30 +00 +6a +00 +27 +00 +57 +00 +26 +00 +51 +00 +1e +00 +56 +00 +1a +00 +43 +00 +1c +00 +3b +00 +1b +00 +40 +00 +1c +00 +42 +00 +10 +00 +37 +00 +19 +00 +3c +00 +17 +00 +3a +00 +16 +00 +3e +00 +1e +00 +4e +00 +17 +00 +43 +00 +1b +00 +41 +00 +1c +00 +3b +00 +17 +00 +43 +00 +1c +00 +81 +00 +70 +00 +a1 +00 +23 +00 +6a +00 +00 +00 +00 +00 +8e +00 +6a +00 +95 +00 +73 +00 +9e +00 +68 +00 +a5 +00 +75 +00 +91 +00 +57 +00 +a0 +00 +78 +00 +8b +00 +6e +00 +94 +00 +60 +00 +a4 +00 +77 +00 +9d +00 +6e +00 +a0 +00 +67 +00 +a4 +00 +6b +00 +9a +00 +4f +00 +81 +00 +3d +00 +61 +00 +38 +00 +66 +00 +4d +00 +88 +00 +87 +00 +fb +00 +79 +00 +84 +00 +3d +00 +60 +00 +3a +00 +67 +00 +4a +00 +64 +00 +4c +00 +6a +00 +99 +00 +09 +10 +5b +10 +94 +20 +f5 +00 +e8 +00 +64 +00 +7f +00 +74 +00 +97 +00 +1f +10 +7c +20 +a6 +10 +1a +20 +1f +10 +9e +10 +4f +20 +0e +30 +75 +30 +fb +40 +25 +30 +68 +40 +98 +10 +f8 +00 +7e +10 +26 +20 +3b +20 +fc +10 +c0 +00 +cd +00 +64 +00 +7e +00 +58 +00 +7b +00 +5b +00 +87 +00 +80 +00 +41 +10 +d7 +00 +28 +10 +6a +00 +92 +00 +66 +00 +c7 +00 +69 +00 +73 +00 +6f +00 +ab +00 +ab +10 +71 +30 +f6 +00 +cd +00 +4e +00 +79 +00 +4e +00 +84 +00 +60 +00 +a5 +00 +4d +00 +6e +00 +3d +00 +7b +00 +53 +00 +7d +00 +4f +00 +88 +00 +50 +00 +76 +00 +4e +00 +73 +00 +4b +00 +78 +00 +40 +00 +70 +00 +41 +00 +75 +00 +e1 +00 +d8 +30 +c2 +10 +19 +30 +62 +10 +18 +20 +b2 +00 +bc +00 +53 +00 +73 +00 +40 +00 +73 +00 +35 +00 +71 +00 +61 +00 +7a +00 +6b +00 +9e +00 +5d +00 +84 +00 +5c +00 +7b +00 +4c +00 +69 +00 +47 +00 +6e +00 +6e +00 +6a +10 +7b +00 +9f +00 +59 +00 +9c +00 +72 +00 +b3 +00 +b1 +00 +2f +10 +f6 +00 +f8 +10 +60 +10 +25 +20 +7a +10 +e3 +20 +6a +10 +e8 +10 +25 +20 +2b +30 +0d +10 +d8 +00 +88 +00 +9f +00 +8d +00 +ad +00 +95 +10 +18 +50 +6f +40 +f1 +70 +f1 +40 +e9 +50 +0c +20 +74 +10 +73 +10 +c7 +20 +dc +20 +05 +40 +e3 +10 +19 +20 +3c +10 +47 +10 +c0 +00 +e9 +00 +9d +00 +da +00 +9a +00 +c0 +00 +9e +00 +c3 +00 +d7 +00 +39 +10 +39 +10 +0d +30 +63 +20 +29 +40 +da +20 +4e +30 +4d +20 +c0 +10 +f2 +00 +be +00 +78 +00 +87 +00 +56 +00 +84 +00 +48 +00 +87 +00 +88 +00 +0c +10 +88 +00 +ef +00 +9d +00 +9f +00 +6e +10 +df +20 +32 +30 +cf +50 +c9 +20 +52 +40 +58 +20 +f5 +10 +db +00 +f0 +00 +77 +00 +7f +00 +4a +00 +6a +00 +46 +00 +7a +00 +52 +00 +7e +00 +3e +00 +77 +00 +3d +00 +5d +00 +39 +00 +59 +00 +2b +00 +51 +00 +35 +00 +50 +00 +38 +00 +9f +00 +37 +00 +60 +00 +35 +00 +5e +00 +34 +00 +50 +00 +36 +00 +59 +00 +34 +00 +50 +00 +3d +00 +65 +00 +36 +00 +69 +00 +55 +00 +ca +00 +ee +00 +15 +40 +a4 +10 +59 +20 +b4 +00 +8d +00 +76 +00 +33 +10 +ff +00 +88 +10 +96 +00 +88 +00 +46 +00 +6e +00 +38 +00 +75 +00 +3a +00 +63 +00 +32 +00 +5d +00 +3a +00 +5d +00 +2c +00 +4a +00 +2c +00 +58 +00 +29 +00 +58 +00 +55 +00 +4b +10 +90 +00 +bf +00 +4e +00 +60 +00 +2b +00 +57 +00 +2f +00 +46 +00 +28 +00 +49 +00 +24 +00 +47 +00 +22 +00 +46 +00 +22 +00 +3f +00 +2c +00 +4b +00 +2d +00 +4c +00 +2c +00 +49 +00 +32 +00 +4c +00 +28 +00 +43 +00 +27 +00 +39 +00 +28 +00 +45 +00 +27 +00 +43 +00 +2a +00 +55 +00 +32 +00 +5c +00 +7a +00 +a5 +10 +cc +10 +04 +20 +a3 +00 +06 +10 +79 +00 +9c +00 +3f +00 +42 +00 +28 +00 +47 +00 +1e +00 +45 +00 +30 +00 +49 +00 +32 +00 +43 +00 +3b +00 +57 +00 +3c +00 +65 +00 +40 +00 +5e +00 +40 +00 +54 +00 +38 +00 +56 +00 +3a +00 +54 +00 +35 +00 +5b +00 +37 +00 +53 +00 +33 +00 +50 +00 +2d +00 +50 +00 +2e +00 +49 +00 +2e +00 +49 +00 +2d +00 +48 +00 +53 +00 +d2 +00 +c6 +00 +ba +10 +6a +00 +79 +00 +44 +00 +8b +00 +63 +00 +cb +00 +e7 +00 +76 +10 +c2 +00 +16 +10 +b2 +00 +15 +10 +ff +00 +98 +10 +84 +00 +68 +00 +36 +00 +52 +00 +2a +00 +54 +00 +2e +00 +4a +00 +37 +00 +65 +00 +32 +00 +5b +00 +31 +00 +4d +00 +23 +00 +34 +00 +25 +00 +29 +00 +1a +00 +2a +00 +1c +00 +33 +00 +1b +00 +2e +00 +1b +00 +2a +00 +23 +00 +32 +00 +1d +00 +48 +00 +2d +00 +57 +00 +2b +00 +3c +00 +22 +00 +4e +00 +2d +00 +58 +00 +36 +00 +6c +00 +5f +00 +85 +00 +4f +00 +80 +00 +56 +00 +77 +00 +44 +00 +df +00 +5a +00 +b8 +00 +53 +00 +73 +00 +37 +00 +66 +00 +5a +00 +0a +10 +ac +00 +94 +00 +62 +00 +66 +00 +4a +00 +64 +00 +5b +00 +aa +00 +45 +00 +6e +00 +64 +00 +bc +00 +9d +00 +69 +00 +50 +00 +3e +00 +32 +00 +67 +00 +25 +00 +5b +00 +28 +00 +57 +00 +28 +00 +52 +00 +26 +00 +4f +00 +26 +00 +4d +00 +24 +00 +4b +00 +20 +00 +33 +00 +21 +00 +47 +00 +1d +00 +44 +00 +24 +00 +3e +00 +1e +00 +38 +00 +23 +00 +46 +00 +24 +00 +38 +00 +22 +00 +44 +00 +1e +00 +46 +00 +28 +00 +40 +00 +2d +00 +4b +00 +28 +00 +52 +00 +36 +00 +6a +00 +4a +00 +6b +00 +3a +00 +00 +00 +00 +00 +34 +00 +95 +00 +30 +00 +97 +00 +39 +00 +94 +00 +2f +00 +9d +00 +40 +00 +9a +00 +33 +00 +97 +00 +32 +00 +a5 +00 +2e +00 +97 +00 +32 +00 +9f +00 +33 +00 +a3 +00 +3a +00 +9c +00 +38 +00 +98 +00 +36 +00 +78 +00 +23 +00 +6c +00 +24 +00 +6c +00 +25 +00 +63 +00 +2f +00 +e3 +00 +43 +00 +a4 +00 +2b +00 +5a +00 +26 +00 +62 +00 +23 +00 +6f +00 +28 +00 +76 +00 +27 +00 +8a +00 +5a +00 +ed +10 +9c +00 +2b +10 +2c +00 +86 +00 +2e +00 +87 +00 +35 +00 +f0 +00 +53 +10 +9d +30 +8e +00 +89 +10 +42 +10 +d0 +30 +21 +20 +7a +60 +8e +20 +d1 +50 +6f +10 +93 +20 +8d +00 +c4 +20 +45 +10 +63 +40 +03 +10 +83 +10 +45 +00 +a9 +00 +29 +00 +94 +00 +30 +00 +a0 +00 +3b +00 +92 +00 +48 +00 +c9 +00 +46 +00 +a4 +00 +31 +00 +9a +00 +34 +00 +97 +00 +29 +00 +7f +00 +77 +00 +5d +30 +4c +10 +1a +20 +44 +00 +8d +00 +35 +00 +91 +00 +38 +00 +ad +00 +3a +00 +93 +00 +32 +00 +78 +00 +28 +00 +6f +00 +2f +00 +86 +00 +32 +00 +77 +00 +2c +00 +7a +00 +2d +00 +6c +00 +2a +00 +61 +00 +21 +00 +61 +00 +2b +00 +c6 +00 +e4 +00 +4b +20 +95 +00 +b5 +10 +77 +00 +0e +10 +32 +00 +6b +00 +29 +00 +77 +00 +28 +00 +6f +00 +2f +00 +ba +00 +8e +00 +3d +10 +60 +00 +02 +10 +35 +00 +80 +00 +29 +00 +67 +00 +2a +00 +69 +00 +29 +00 +85 +00 +4c +00 +b9 +00 +3b +00 +94 +00 +3a +00 +0b +10 +4b +00 +ba +00 +58 +00 +31 +10 +88 +00 +9d +10 +58 +00 +40 +10 +7f +00 +9a +10 +aa +00 +f4 +20 +f5 +00 +e0 +10 +53 +00 +eb +00 +45 +00 +a9 +00 +3e +00 +4d +10 +9b +10 +14 +70 +ee +20 +07 +80 +47 +20 +ee +30 +72 +00 +4b +10 +e7 +00 +45 +30 +21 +10 +ba +20 +8f +00 +60 +10 +5a +00 +e1 +00 +5a +00 +ed +00 +5a +00 +f0 +00 +56 +00 +68 +10 +56 +00 +ef +00 +54 +00 +3a +10 +9e +00 +ba +20 +b0 +10 +0a +50 +a1 +10 +0e +50 +fa +00 +93 +10 +4e +00 +94 +00 +2f +00 +78 +00 +28 +00 +79 +00 +2c +00 +a3 +00 +4a +00 +dc +00 +3e +00 +a0 +00 +60 +00 +5f +20 +2b +20 +5f +60 +08 +20 +dd +40 +dd +10 +5f +40 +dd +00 +56 +10 +37 +00 +8e +00 +33 +00 +a7 +00 +31 +00 +87 +00 +33 +00 +8f +00 +2c +00 +8e +00 +2a +00 +73 +00 +23 +00 +53 +00 +21 +00 +5a +00 +25 +00 +54 +00 +23 +00 +61 +00 +3c +00 +7d +00 +29 +00 +6b +00 +27 +00 +62 +00 +32 +00 +70 +00 +32 +00 +85 +00 +29 +00 +6f +00 +23 +00 +61 +00 +2d +00 +70 +00 +2f +00 +f8 +00 +14 +10 +23 +20 +93 +00 +0c +10 +33 +00 +a9 +00 +98 +00 +4f +10 +6f +00 +da +00 +38 +00 +71 +00 +27 +00 +6a +00 +2e +00 +67 +00 +24 +00 +54 +00 +25 +00 +50 +00 +1f +00 +4f +00 +1d +00 +56 +00 +19 +00 +5b +00 +23 +00 +5f +00 +4e +00 +a2 +00 +32 +00 +82 +00 +1e +00 +48 +00 +19 +00 +49 +00 +14 +00 +4f +00 +1c +00 +42 +00 +1d +00 +4d +00 +1d +00 +42 +00 +1a +00 +48 +00 +1b +00 +51 +00 +1d +00 +46 +00 +1a +00 +48 +00 +1b +00 +42 +00 +16 +00 +40 +00 +1b +00 +4a +00 +1c +00 +44 +00 +1d +00 +53 +00 +19 +00 +4b +00 +1e +00 +73 +00 +fc +00 +aa +30 +71 +00 +0f +10 +43 +00 +98 +00 +23 +00 +57 +00 +1f +00 +44 +00 +17 +00 +49 +00 +1d +00 +50 +00 +1e +00 +49 +00 +1f +00 +5f +00 +4b +00 +65 +00 +23 +00 +5c +00 +1b +00 +4b +00 +17 +00 +49 +00 +1e +00 +57 +00 +1e +00 +5f +00 +24 +00 +5f +00 +24 +00 +5f +00 +21 +00 +52 +00 +1e +00 +3d +00 +1f +00 +5a +00 +1f +00 +53 +00 +1d +00 +5a +00 +2e +00 +b2 +00 +49 +00 +b5 +00 +24 +00 +6b +00 +2d +00 +74 +00 +76 +00 +a6 +10 +dd +00 +c1 +10 +47 +00 +b8 +00 +40 +00 +76 +10 +7b +00 +b6 +00 +18 +00 +4d +00 +19 +00 +42 +00 +1a +00 +4b +00 +24 +00 +51 +00 +26 +00 +57 +00 +1f +00 +5c +00 +19 +00 +3a +00 +11 +00 +32 +00 +15 +00 +2e +00 +11 +00 +2d +00 +16 +00 +31 +00 +10 +00 +30 +00 +13 +00 +30 +00 +16 +00 +42 +00 +1e +00 +53 +00 +18 +00 +43 +00 +1e +00 +48 +00 +18 +00 +4c +00 +20 +00 +6e +00 +36 +00 +80 +00 +50 +00 +8c +00 +22 +00 +5f +00 +25 +00 +6e +00 +31 +00 +8a +00 +40 +00 +89 +00 +24 +00 +55 +00 +31 +00 +8b +00 +8d +00 +2c +20 +47 +00 +99 +00 +24 +00 +7a +00 +2e +00 +78 +00 +3d +00 +8a +00 +35 +00 +b9 +00 +bc +00 +ad +10 +34 +00 +5e +00 +19 +00 +4a +00 +25 +00 +59 +00 +21 +00 +55 +00 +28 +00 +5b +00 +17 +00 +4b +00 +20 +00 +51 +00 +1d +00 +40 +00 +1d +00 +41 +00 +13 +00 +45 +00 +1c +00 +49 +00 +18 +00 +3f +00 +1a +00 +3a +00 +18 +00 +47 +00 +20 +00 +40 +00 +17 +00 +37 +00 +1b +00 +48 +00 +1b +00 +41 +00 +16 +00 +38 +00 +18 +00 +43 +00 +1a +00 +5d +00 +19 +00 +66 +00 +20 +00 +65 +00 +00 +00 +00 +00 +93 +00 +6c +00 +96 +00 +5f +00 +8e +00 +69 +00 +87 +00 +64 +00 +96 +00 +7b +00 +94 +00 +6d +00 +9f +00 +67 +00 +a4 +00 +69 +00 +a7 +00 +60 +00 +9b +00 +66 +00 +a5 +00 +67 +00 +9c +00 +62 +00 +8c +00 +4c +00 +6e +00 +3e +00 +69 +00 +3b +00 +62 +00 +63 +00 +9b +00 +e2 +00 +04 +10 +99 +00 +70 +00 +45 +00 +74 +00 +52 +00 +74 +00 +b0 +00 +ce +00 +66 +00 +78 +00 +7d +00 +d5 +00 +ed +00 +63 +10 +9c +00 +99 +00 +50 +00 +7a +00 +6a +00 +a3 +00 +19 +10 +0c +30 +46 +10 +c4 +10 +8b +20 +95 +40 +c0 +30 +db +60 +cf +40 +f7 +70 +42 +40 +0e +50 +ab +20 +21 +30 +63 +30 +47 +50 +9e +20 +ce +20 +1c +10 +d6 +00 +73 +00 +98 +00 +63 +00 +8f +00 +68 +00 +99 +00 +a4 +00 +ec +00 +ba +00 +bf +00 +7d +00 +9a +00 +8e +00 +cb +00 +ab +00 +ba +00 +95 +00 +dd +00 +ca +10 +33 +40 +1d +10 +fd +00 +6b +00 +97 +00 +65 +00 +b8 +00 +67 +00 +8a +00 +53 +00 +89 +00 +4b +00 +77 +00 +47 +00 +71 +00 +46 +00 +85 +00 +4f +00 +75 +00 +44 +00 +69 +00 +35 +00 +68 +00 +39 +00 +68 +00 +46 +00 +62 +00 +87 +00 +2a +10 +5c +10 +55 +20 +01 +10 +21 +10 +7b +00 +74 +00 +4f +00 +68 +00 +43 +00 +77 +00 +39 +00 +72 +00 +6b +00 +43 +10 +af +00 +45 +10 +d7 +00 +70 +10 +95 +00 +a3 +00 +4b +00 +72 +00 +40 +00 +71 +00 +59 +00 +a3 +00 +60 +00 +a7 +00 +67 +00 +8b +00 +6a +00 +a4 +00 +86 +00 +c3 +00 +a1 +00 +ef +00 +d5 +00 +9b +10 +e4 +00 +16 +10 +53 +10 +26 +20 +63 +10 +fa +10 +64 +20 +69 +30 +51 +10 +f2 +00 +9e +00 +b5 +00 +2a +10 +7b +20 +1b +30 +a7 +70 +e5 +40 +19 +70 +52 +20 +cc +10 +d4 +10 +c0 +30 +e4 +20 +eb +30 +5c +20 +f8 +20 +56 +10 +17 +10 +e3 +00 +11 +10 +4a +10 +32 +10 +cf +00 +09 +10 +80 +10 +54 +20 +de +00 +e0 +00 +dd +00 +3e +10 +1f +10 +fe +10 +db +10 +1d +40 +c5 +30 +f2 +50 +52 +20 +5c +10 +b0 +00 +9b +00 +52 +00 +83 +00 +54 +00 +6a +00 +5a +00 +9d +00 +6d +00 +9c +00 +c8 +00 +93 +10 +6c +20 +4c +50 +94 +20 +f3 +40 +08 +40 +b6 +60 +96 +20 +b5 +30 +40 +10 +d5 +00 +7b +00 +83 +00 +46 +00 +86 +00 +69 +00 +df +00 +58 +00 +87 +00 +45 +00 +72 +00 +49 +00 +63 +00 +3c +00 +64 +00 +37 +00 +58 +00 +47 +00 +b6 +00 +4d +00 +89 +00 +39 +00 +76 +00 +39 +00 +66 +00 +30 +00 +5b +00 +3d +00 +79 +00 +56 +00 +8c +00 +4d +00 +74 +00 +38 +00 +5c +00 +49 +00 +62 +00 +86 +00 +ff +00 +81 +00 +33 +10 +8a +00 +a1 +00 +b4 +00 +55 +10 +8f +00 +cc +00 +88 +00 +8a +00 +53 +00 +6b +00 +3b +00 +69 +00 +2a +00 +59 +00 +3b +00 +60 +00 +2f +00 +57 +00 +2f +00 +57 +00 +2f +00 +67 +00 +34 +00 +55 +00 +38 +00 +6e +00 +3e +00 +83 +00 +3b +00 +57 +00 +2a +00 +48 +00 +2a +00 +4c +00 +28 +00 +40 +00 +26 +00 +50 +00 +28 +00 +43 +00 +26 +00 +3c +00 +30 +00 +4b +00 +2a +00 +45 +00 +24 +00 +46 +00 +2b +00 +3c +00 +29 +00 +49 +00 +2a +00 +46 +00 +25 +00 +47 +00 +22 +00 +45 +00 +2d +00 +49 +00 +2b +00 +48 +00 +5b +00 +f9 +00 +8b +00 +18 +10 +8d +00 +bf +00 +50 +00 +61 +00 +3a +00 +44 +00 +25 +00 +4f +00 +28 +00 +4c +00 +27 +00 +5b +00 +2d +00 +4c +00 +41 +00 +e9 +00 +65 +00 +5d +00 +37 +00 +50 +00 +30 +00 +48 +00 +2b +00 +49 +00 +2b +00 +4e +00 +4d +00 +d0 +00 +a4 +00 +86 +00 +66 +00 +6a +00 +3e +00 +4b +00 +32 +00 +58 +00 +38 +00 +5f +00 +7a +00 +72 +00 +66 +00 +74 +00 +5c +00 +7f +00 +47 +00 +62 +00 +40 +00 +63 +00 +42 +00 +93 +00 +78 +00 +d1 +10 +a7 +00 +f5 +00 +7c +00 +91 +00 +60 +00 +8d +00 +52 +00 +69 +00 +2f +00 +48 +00 +27 +00 +48 +00 +25 +00 +55 +00 +34 +00 +5b +00 +3e +00 +97 +00 +3b +00 +4a +00 +25 +00 +2d +00 +24 +00 +2f +00 +16 +00 +2e +00 +18 +00 +2b +00 +16 +00 +2b +00 +20 +00 +35 +00 +1b +00 +34 +00 +25 +00 +44 +00 +25 +00 +50 +00 +24 +00 +4c +00 +2e +00 +4c +00 +2e +00 +5b +00 +31 +00 +55 +00 +4b +00 +b9 +00 +51 +00 +63 +00 +39 +00 +5d +00 +36 +00 +80 +00 +40 +00 +73 +00 +3e +00 +65 +00 +72 +00 +b8 +00 +8b +00 +7f +10 +9a +10 +46 +20 +c5 +00 +88 +00 +a2 +00 +e0 +10 +92 +00 +85 +00 +78 +00 +a9 +00 +95 +10 +21 +40 +98 +10 +31 +10 +6e +00 +52 +00 +44 +00 +49 +00 +30 +00 +5d +00 +42 +00 +f1 +00 +36 +00 +56 +00 +3a +00 +45 +00 +2e +00 +45 +00 +28 +00 +42 +00 +22 +00 +45 +00 +20 +00 +4b +00 +24 +00 +3f +00 +21 +00 +3e +00 +24 +00 +3c +00 +1e +00 +43 +00 +20 +00 +46 +00 +20 +00 +44 +00 +24 +00 +3f +00 +1d +00 +50 +00 +2e +00 +3d +00 +29 +00 +4a +00 +2d +00 +55 +00 +2e +00 +3f +00 +3a +00 +00 +00 +00 +00 +2f +00 +89 +00 +37 +00 +91 +00 +31 +00 +9b +00 +36 +00 +95 +00 +3c +00 +99 +00 +31 +00 +96 +00 +3e +00 +9a +00 +35 +00 +a8 +00 +2f +00 +a1 +00 +31 +00 +a8 +00 +35 +00 +a5 +00 +34 +00 +92 +00 +2b +00 +77 +00 +2c +00 +6c +00 +24 +00 +68 +00 +28 +00 +72 +00 +70 +00 +ba +10 +6f +00 +eb +00 +2c +00 +6c +00 +22 +00 +70 +00 +57 +00 +4c +20 +9f +00 +e3 +00 +27 +00 +85 +00 +42 +00 +f6 +00 +51 +00 +a9 +00 +2e +00 +7d +00 +35 +00 +80 +00 +38 +00 +e5 +00 +ce +00 +ed +10 +bb +00 +04 +40 +ff +10 +be +50 +c9 +20 +38 +80 +18 +30 +ea +70 +8c +10 +6a +30 +0b +20 +9a +60 +2f +20 +e6 +40 +e5 +00 +8b +10 +42 +00 +9e +00 +3a +00 +9f +00 +38 +00 +a4 +00 +40 +00 +e6 +00 +8e +00 +68 +10 +4a +00 +af +00 +45 +00 +21 +10 +8e +00 +27 +20 +58 +00 +9b +00 +4b +00 +91 +10 +d4 +00 +e1 +10 +4a +00 +a1 +00 +2f +00 +c0 +00 +55 +00 +c6 +00 +3d +00 +89 +00 +33 +00 +74 +00 +28 +00 +6b +00 +24 +00 +7b +00 +39 +00 +75 +00 +2b +00 +73 +00 +28 +00 +65 +00 +27 +00 +61 +00 +2a +00 +5d +00 +2a +00 +85 +00 +4e +00 +3a +10 +92 +00 +6f +10 +47 +00 +b5 +00 +29 +00 +6c +00 +24 +00 +70 +00 +2a +00 +7c +00 +2b +00 +8d +00 +42 +00 +b1 +00 +39 +00 +01 +10 +dd +00 +fb +10 +35 +00 +72 +00 +29 +00 +75 +00 +30 +00 +94 +00 +87 +00 +15 +10 +3c +00 +93 +00 +2a +00 +93 +00 +37 +00 +91 +00 +41 +00 +c8 +00 +5f +00 +12 +10 +62 +00 +1a +10 +51 +00 +35 +10 +8b +00 +a4 +10 +07 +10 +8e +40 +fe +10 +85 +30 +61 +00 +ba +00 +40 +00 +e0 +00 +19 +10 +0f +50 +08 +20 +68 +70 +03 +20 +62 +30 +71 +00 +bf +10 +a8 +10 +d1 +40 +52 +10 +89 +30 +60 +10 +4a +20 +6d +00 +fd +00 +17 +10 +f7 +30 +b2 +00 +34 +10 +63 +00 +4e +20 +b2 +00 +69 +10 +4b +00 +d1 +00 +50 +00 +1b +10 +69 +00 +8f +10 +37 +10 +9d +50 +a2 +20 +e2 +50 +ac +00 +0b +10 +2f +00 +79 +00 +2d +00 +76 +00 +30 +00 +87 +00 +2d +00 +80 +00 +2d +00 +db +00 +e3 +00 +87 +30 +4b +10 +70 +30 +9b +10 +d5 +50 +ae +10 +b7 +30 +b9 +00 +74 +10 +44 +00 +94 +00 +32 +00 +94 +00 +35 +00 +ad +00 +6c +00 +b6 +00 +2f +00 +77 +00 +32 +00 +72 +00 +23 +00 +6f +00 +26 +00 +6d +00 +28 +00 +6c +00 +57 +00 +be +00 +31 +00 +7d +00 +2a +00 +68 +00 +25 +00 +69 +00 +2e +00 +62 +00 +3a +00 +de +00 +58 +00 +92 +00 +2b +00 +61 +00 +25 +00 +61 +00 +27 +00 +84 +00 +49 +00 +c3 +00 +3d +00 +b3 +00 +3b +00 +17 +10 +8d +00 +fc +00 +3f +00 +32 +10 +49 +00 +74 +00 +29 +00 +60 +00 +21 +00 +54 +00 +25 +00 +64 +00 +22 +00 +64 +00 +2a +00 +56 +00 +28 +00 +4f +00 +28 +00 +5d +00 +25 +00 +6c +00 +2c +00 +66 +00 +28 +00 +5c +00 +22 +00 +58 +00 +16 +00 +47 +00 +1a +00 +4e +00 +1c +00 +46 +00 +18 +00 +40 +00 +1e +00 +3d +00 +12 +00 +4c +00 +24 +00 +4d +00 +1c +00 +46 +00 +1d +00 +3a +00 +17 +00 +40 +00 +1c +00 +45 +00 +1b +00 +4c +00 +17 +00 +4a +00 +1a +00 +44 +00 +22 +00 +49 +00 +1b +00 +57 +00 +3a +00 +a7 +00 +44 +00 +b1 +00 +28 +00 +5d +00 +15 +00 +4d +00 +12 +00 +43 +00 +18 +00 +53 +00 +1c +00 +59 +00 +1f +00 +53 +00 +1a +00 +5c +00 +47 +00 +ec +00 +28 +00 +57 +00 +1c +00 +4f +00 +1b +00 +41 +00 +1e +00 +44 +00 +1e +00 +70 +00 +8e +00 +e7 +10 +73 +00 +35 +10 +3c +00 +69 +00 +21 +00 +5e +00 +2b +00 +6c +00 +3a +00 +98 +10 +9a +00 +08 +10 +33 +00 +7c +00 +1f +00 +70 +00 +24 +00 +55 +00 +23 +00 +57 +00 +24 +00 +8c +00 +46 +00 +b8 +00 +4b +00 +a2 +00 +2d +00 +75 +00 +29 +00 +7b +00 +21 +00 +52 +00 +19 +00 +3c +00 +19 +00 +49 +00 +20 +00 +4d +00 +25 +00 +4f +00 +1e +00 +41 +00 +18 +00 +39 +00 +17 +00 +33 +00 +11 +00 +30 +00 +0f +00 +30 +00 +10 +00 +2c +00 +17 +00 +31 +00 +15 +00 +39 +00 +1a +00 +3a +00 +1d +00 +49 +00 +24 +00 +4f +00 +1b +00 +4f +00 +2a +00 +63 +00 +28 +00 +67 +00 +1d +00 +68 +00 +2e +00 +71 +00 +29 +00 +5e +00 +25 +00 +63 +00 +25 +00 +72 +00 +25 +00 +5c +00 +26 +00 +e6 +00 +8d +00 +f6 +00 +6f +00 +0f +30 +69 +10 +b2 +10 +72 +00 +98 +10 +a9 +00 +84 +10 +48 +00 +9d +00 +ed +00 +a3 +30 +b7 +10 +f3 +20 +5f +00 +aa +00 +35 +00 +61 +00 +1f +00 +4a +00 +1e +00 +69 +00 +4c +00 +98 +00 +27 +00 +51 +00 +27 +00 +75 +00 +2e +00 +4b +00 +1b +00 +37 +00 +14 +00 +4c +00 +19 +00 +37 +00 +18 +00 +3b +00 +1a +00 +40 +00 +17 +00 +41 +00 +19 +00 +41 +00 +15 +00 +45 +00 +15 +00 +47 +00 +1d +00 +35 +00 +1a +00 +49 +00 +1e +00 +48 +00 +19 +00 +3e +00 +1f +00 +3e +00 +14 +00 +51 +00 +00 +00 +00 +00 +9a +00 +67 +00 +8e +00 +67 +00 +90 +00 +6f +00 +9b +00 +77 +00 +95 +00 +6c +00 +9a +00 +77 +00 +a4 +00 +63 +00 +9f +00 +6e +00 +a9 +00 +67 +00 +a9 +00 +6a +00 +95 +00 +75 +00 +9a +00 +61 +00 +73 +00 +51 +00 +75 +00 +47 +00 +71 +00 +4f +00 +74 +00 +99 +00 +33 +10 +13 +20 +8f +30 +01 +10 +a4 +00 +5a +00 +6b +00 +57 +00 +a0 +00 +c0 +00 +58 +20 +af +00 +82 +00 +65 +00 +8c +00 +7d +00 +be +00 +62 +00 +82 +00 +61 +00 +90 +00 +c2 +00 +c7 +00 +db +00 +28 +10 +83 +10 +ed +20 +6f +30 +d3 +50 +7a +40 +6a +70 +74 +50 +be +80 +25 +40 +63 +40 +c7 +30 +49 +60 +56 +40 +ac +70 +19 +30 +50 +30 +18 +10 +d3 +00 +a6 +00 +c5 +00 +89 +00 +9b +00 +92 +00 +dd +00 +28 +20 +51 +40 +cc +10 +59 +10 +96 +00 +aa +00 +73 +00 +de +00 +9c +00 +66 +10 +8f +00 +b2 +00 +eb +00 +a4 +10 +c8 +00 +d1 +00 +5d +00 +8e +00 +e9 +00 +c7 +20 +dc +00 +d0 +00 +5e +00 +7b +00 +47 +00 +77 +00 +4a +00 +6d +00 +63 +00 +8c +00 +53 +00 +77 +00 +3f +00 +6a +00 +44 +00 +5f +00 +39 +00 +63 +00 +42 +00 +61 +00 +5f +00 +9a +00 +96 +00 +07 +10 +8b +00 +a2 +00 +54 +00 +66 +00 +45 +00 +6a +00 +39 +00 +7b +00 +36 +00 +73 +00 +54 +00 +94 +00 +ae +00 +ee +00 +14 +10 +bf +10 +a8 +00 +f5 +00 +4d +00 +71 +00 +4b +00 +8a +00 +53 +00 +cd +00 +6c +00 +d2 +00 +50 +00 +8c +00 +42 +00 +95 +00 +5d +00 +96 +00 +81 +00 +55 +10 +e3 +00 +0e +10 +26 +10 +2a +10 +ed +10 +f7 +20 +54 +20 +71 +30 +cd +20 +c2 +50 +1f +20 +cd +10 +b9 +00 +aa +00 +f3 +00 +1a +20 +a0 +30 +06 +70 +f0 +30 +96 +40 +c9 +20 +a5 +20 +b5 +10 +12 +40 +c9 +20 +ef +40 +f4 +10 +7f +20 +0c +10 +5b +10 +b3 +10 +09 +40 +5e +20 +51 +30 +38 +10 +0a +10 +c0 +00 +1d +10 +c4 +00 +e5 +00 +a7 +00 +c0 +00 +b7 +00 +f8 +00 +19 +10 +19 +20 +f4 +10 +fe +40 +1b +20 +00 +30 +fe +00 +aa +00 +5a +00 +6a +00 +48 +00 +73 +00 +4d +00 +77 +00 +85 +00 +a8 +00 +ad +10 +53 +30 +b4 +10 +42 +20 +8d +10 +16 +30 +54 +20 +9f +30 +26 +20 +1a +20 +06 +10 +e8 +00 +87 +00 +9e +00 +59 +00 +96 +00 +4e +00 +9d +00 +55 +00 +96 +00 +4b +00 +6e +00 +53 +00 +71 +00 +49 +00 +69 +00 +3a +00 +76 +00 +3b +00 +74 +00 +3c +00 +7c +00 +3d +00 +6e +00 +36 +00 +6a +00 +3b +00 +6d +00 +3a +00 +80 +00 +46 +00 +b0 +00 +50 +00 +7e +00 +44 +00 +65 +00 +44 +00 +56 +00 +5b +00 +8c +00 +6d +00 +97 +00 +7e +00 +8f +00 +19 +10 +c8 +20 +d4 +00 +d6 +00 +6d +00 +9f +00 +71 +00 +86 +00 +44 +00 +5e +00 +35 +00 +62 +00 +35 +00 +62 +00 +30 +00 +65 +00 +31 +00 +5c +00 +2c +00 +58 +00 +2e +00 +5d +00 +4a +00 +6c +00 +3d +00 +59 +00 +33 +00 +4a +00 +2d +00 +50 +00 +2b +00 +4d +00 +2c +00 +48 +00 +25 +00 +48 +00 +27 +00 +48 +00 +23 +00 +3d +00 +24 +00 +4c +00 +28 +00 +45 +00 +20 +00 +4c +00 +26 +00 +37 +00 +22 +00 +41 +00 +3c +00 +61 +00 +3a +00 +4d +00 +21 +00 +3e +00 +35 +00 +5c +00 +2b +00 +4a +00 +44 +00 +6e +00 +5a +00 +8b +00 +56 +00 +68 +00 +3f +00 +5a +00 +2b +00 +4d +00 +2c +00 +4c +00 +2e +00 +51 +00 +32 +00 +53 +00 +45 +00 +49 +00 +30 +00 +79 +00 +35 +00 +6c +00 +36 +00 +4d +00 +25 +00 +5b +00 +25 +00 +4e +00 +2c +00 +59 +00 +44 +00 +89 +00 +b1 +00 +9e +20 +58 +10 +20 +10 +6c +00 +62 +00 +46 +00 +71 +00 +69 +00 +a9 +00 +53 +10 +a9 +30 +10 +10 +c9 +00 +68 +00 +64 +00 +3d +00 +4b +00 +2e +00 +50 +00 +3a +00 +56 +00 +49 +00 +82 +00 +4c +00 +8b +00 +4b +00 +6f +00 +4b +00 +69 +00 +2e +00 +52 +00 +29 +00 +44 +00 +26 +00 +43 +00 +28 +00 +63 +00 +31 +00 +a4 +00 +3b +00 +48 +00 +26 +00 +47 +00 +1d +00 +32 +00 +17 +00 +31 +00 +1b +00 +2e +00 +18 +00 +2e +00 +1c +00 +2f +00 +1e +00 +34 +00 +23 +00 +34 +00 +28 +00 +4b +00 +22 +00 +59 +00 +21 +00 +40 +00 +21 +00 +4e +00 +33 +00 +4f +00 +2b +00 +5b +00 +2d +00 +5d +00 +2b +00 +4e +00 +36 +00 +52 +00 +27 +00 +5e +00 +33 +00 +5e +00 +36 +00 +7c +00 +65 +00 +52 +10 +aa +00 +09 +10 +db +00 +e6 +20 +45 +10 +20 +10 +35 +10 +7d +30 +1f +10 +37 +10 +bf +00 +ba +10 +44 +10 +50 +20 +d9 +00 +2f +10 +cd +00 +42 +20 +ea +00 +90 +00 +47 +00 +4a +00 +2d +00 +53 +00 +2e +00 +56 +00 +2f +00 +4d +00 +49 +00 +e1 +00 +31 +00 +4c +00 +25 +00 +44 +00 +1c +00 +37 +00 +25 +00 +3c +00 +1d +00 +4f +00 +22 +00 +44 +00 +22 +00 +3a +00 +23 +00 +46 +00 +21 +00 +3d +00 +20 +00 +47 +00 +33 +00 +42 +00 +24 +00 +3a +00 +22 +00 +43 +00 +27 +00 +3e +00 +21 +00 +3f +00 +2e +00 +00 +00 +00 +00 +3b +00 +92 +00 +34 +00 +a9 +00 +3a +00 +a0 +00 +37 +00 +99 +00 +37 +00 +97 +00 +38 +00 +a2 +00 +38 +00 +98 +00 +36 +00 +a3 +00 +35 +00 +9f +00 +38 +00 +9c +00 +3a +00 +ae +00 +35 +00 +91 +00 +2d +00 +71 +00 +3a +00 +7c +00 +2f +00 +73 +00 +2d +00 +88 +00 +c4 +00 +aa +30 +72 +10 +9e +20 +4b +00 +8b +00 +22 +00 +74 +00 +3c +00 +b6 +00 +61 +00 +ff +00 +34 +00 +75 +00 +27 +00 +91 +00 +36 +00 +85 +00 +2c +00 +83 +00 +4f +00 +73 +10 +c9 +00 +ca +10 +63 +00 +af +10 +c9 +10 +71 +60 +05 +20 +6e +60 +e7 +20 +f2 +80 +da +20 +ea +50 +68 +10 +7e +40 +72 +20 +62 +70 +cf +20 +01 +60 +d1 +00 +6f +10 +57 +00 +4d +10 +8a +00 +fd +00 +3d +00 +cf +00 +ac +00 +28 +40 +4e +20 +16 +50 +8e +00 +f2 +00 +3a +00 +95 +00 +2e +00 +c7 +00 +4f +00 +bb +00 +42 +00 +0d +20 +c3 +00 +38 +10 +3c +00 +91 +00 +32 +00 +09 +10 +25 +10 +f7 +10 +4a +00 +9a +00 +2e +00 +7b +00 +32 +00 +76 +00 +2b +00 +ca +00 +9d +00 +0e +10 +24 +00 +69 +00 +23 +00 +68 +00 +25 +00 +67 +00 +24 +00 +5f +00 +23 +00 +63 +00 +3a +00 +aa +00 +42 +00 +ad +00 +22 +00 +7a +00 +25 +00 +6f +00 +2b +00 +60 +00 +23 +00 +66 +00 +2a +00 +7b +00 +4d +00 +93 +10 +aa +00 +0a +20 +93 +00 +3d +10 +43 +00 +92 +00 +2e +00 +76 +00 +31 +00 +75 +00 +30 +00 +8c +00 +3c +00 +88 +00 +34 +00 +86 +00 +32 +00 +91 +00 +3a +00 +94 +00 +85 +00 +37 +10 +9d +00 +04 +20 +0e +10 +7d +40 +d3 +10 +6e +40 +da +10 +df +40 +27 +10 +b1 +20 +78 +00 +d4 +00 +42 +00 +be +00 +c8 +00 +22 +50 +c9 +20 +98 +70 +a1 +10 +5a +30 +c5 +00 +0a +20 +27 +10 +87 +30 +e1 +00 +fa +10 +7e +00 +6a +10 +95 +00 +ee +10 +f3 +10 +8f +40 +c4 +00 +90 +10 +49 +00 +f9 +00 +50 +00 +f5 +00 +40 +00 +b7 +00 +44 +00 +bc +00 +4c +00 +16 +10 +0d +10 +8e +20 +c2 +00 +1f +20 +a6 +00 +97 +10 +39 +00 +8c +00 +2d +00 +6d +00 +26 +00 +6e +00 +2b +00 +7b +00 +d7 +00 +a1 +30 +48 +10 +67 +20 +84 +00 +8b +10 +99 +00 +7a +20 +24 +10 +1f +30 +89 +00 +7a +10 +c8 +00 +4b +10 +3f +00 +8f +00 +34 +00 +93 +00 +3b +00 +90 +00 +46 +00 +9a +00 +41 +00 +c8 +00 +34 +00 +88 +00 +29 +00 +6e +00 +28 +00 +65 +00 +26 +00 +6f +00 +23 +00 +6d +00 +20 +00 +62 +00 +26 +00 +5f +00 +26 +00 +6a +00 +27 +00 +78 +00 +37 +00 +7e +00 +2d +00 +65 +00 +29 +00 +6c +00 +2b +00 +66 +00 +3e +00 +ad +00 +33 +00 +7b +00 +79 +00 +ed +10 +70 +00 +3d +10 +43 +00 +87 +00 +25 +00 +87 +00 +2c +00 +76 +00 +1d +00 +63 +00 +23 +00 +66 +00 +2b +00 +66 +00 +1f +00 +5f +00 +26 +00 +62 +00 +26 +00 +61 +00 +1f +00 +8e +00 +2a +00 +5d +00 +27 +00 +4b +00 +2d +00 +5a +00 +1a +00 +4c +00 +1b +00 +4d +00 +1c +00 +3e +00 +24 +00 +4c +00 +17 +00 +4c +00 +1c +00 +3d +00 +18 +00 +3d +00 +18 +00 +49 +00 +1e +00 +4b +00 +1d +00 +4c +00 +25 +00 +7c +00 +66 +00 +84 +00 +1c +00 +46 +00 +20 +00 +68 +00 +28 +00 +53 +00 +14 +00 +4e +00 +1b +00 +68 +00 +20 +00 +60 +00 +26 +00 +4a +00 +19 +00 +4e +00 +20 +00 +53 +00 +23 +00 +53 +00 +23 +00 +5c +00 +1e +00 +64 +00 +1d +00 +4d +00 +21 +00 +5b +00 +20 +00 +59 +00 +1d +00 +47 +00 +17 +00 +58 +00 +22 +00 +4d +00 +22 +00 +56 +00 +2f +00 +ab +00 +e3 +00 +3b +30 +5f +00 +a8 +00 +2c +00 +6f +00 +33 +00 +a2 +00 +4f +00 +87 +10 +b8 +10 +72 +20 +46 +00 +94 +00 +26 +00 +5a +00 +21 +00 +56 +00 +1d +00 +4d +00 +22 +00 +62 +00 +23 +00 +72 +00 +2b +00 +62 +00 +21 +00 +64 +00 +1b +00 +59 +00 +1c +00 +4e +00 +1b +00 +50 +00 +24 +00 +4e +00 +28 +00 +7f +00 +22 +00 +5a +00 +18 +00 +3b +00 +1a +00 +32 +00 +16 +00 +2f +00 +17 +00 +27 +00 +14 +00 +30 +00 +18 +00 +2f +00 +18 +00 +39 +00 +13 +00 +42 +00 +1d +00 +37 +00 +21 +00 +4e +00 +1c +00 +54 +00 +23 +00 +49 +00 +20 +00 +4d +00 +1b +00 +4d +00 +1e +00 +57 +00 +25 +00 +4b +00 +1c +00 +51 +00 +1c +00 +52 +00 +1f +00 +48 +00 +1e +00 +51 +00 +2b +00 +83 +00 +6b +00 +49 +10 +3c +00 +f9 +00 +74 +00 +74 +10 +76 +00 +6c +10 +08 +10 +a1 +10 +55 +00 +d9 +00 +56 +00 +40 +10 +8c +00 +4c +10 +37 +00 +bd +00 +b5 +00 +f4 +10 +39 +00 +5a +00 +24 +00 +46 +00 +19 +00 +56 +00 +23 +00 +4d +00 +21 +00 +74 +00 +23 +00 +61 +00 +16 +00 +39 +00 +17 +00 +42 +00 +15 +00 +37 +00 +21 +00 +40 +00 +1b +00 +3e +00 +21 +00 +37 +00 +19 +00 +3d +00 +1c +00 +45 +00 +20 +00 +3c +00 +20 +00 +46 +00 +53 +00 +56 +00 +12 +00 +48 +00 +18 +00 +4b +00 +20 +00 +3f +00 +19 +00 +53 +00 +00 +00 +00 +00 +89 +00 +6c +00 +a3 +00 +62 +00 +99 +00 +63 +00 +98 +00 +6a +00 +91 +00 +6f +00 +96 +00 +62 +00 +a8 +00 +63 +00 +a4 +00 +71 +00 +a3 +00 +5a +00 +9b +00 +72 +00 +9a +00 +64 +00 +9e +00 +60 +00 +81 +00 +4c +00 +8e +00 +58 +00 +7d +00 +4e +00 +72 +00 +b6 +00 +ca +10 +71 +20 +94 +40 +ad +10 +6b +10 +81 +00 +80 +00 +5e +00 +8a +00 +61 +00 +b8 +00 +65 +00 +95 +00 +6a +00 +7f +00 +7a +00 +82 +00 +5a +00 +82 +00 +d8 +00 +05 +10 +fa +10 +01 +40 +70 +10 +80 +10 +be +10 +9a +40 +79 +30 +b7 +50 +7c +40 +b7 +70 +ec +40 +36 +70 +e6 +30 +95 +50 +25 +30 +d5 +30 +aa +20 +36 +50 +66 +20 +e8 +20 +00 +10 +fc +00 +8e +10 +e2 +20 +f5 +00 +d6 +00 +0a +10 +a8 +20 +3e +30 +d8 +60 +bb +20 +6a +30 +de +00 +a2 +00 +66 +00 +9f +00 +5b +00 +9f +00 +7b +00 +a9 +00 +c4 +00 +ca +10 +c0 +00 +a9 +00 +6e +00 +a4 +00 +f5 +00 +be +20 +41 +10 +20 +10 +75 +00 +9b +00 +40 +00 +75 +00 +45 +00 +73 +00 +5c +00 +34 +10 +7a +00 +a7 +00 +34 +00 +5a +00 +45 +00 +6a +00 +44 +00 +6a +00 +3f +00 +5f +00 +4d +00 +63 +00 +62 +00 +80 +00 +66 +00 +7b +00 +4b +00 +6e +00 +40 +00 +65 +00 +3a +00 +5d +00 +56 +00 +6e +00 +a1 +00 +ba +00 +11 +10 +ce +10 +49 +10 +a5 +10 +8f +00 +b2 +00 +54 +00 +70 +00 +3a +00 +78 +00 +56 +00 +7e +00 +4f +00 +95 +00 +51 +00 +85 +00 +5f +00 +85 +00 +5b +00 +94 +00 +7c +00 +be +00 +50 +10 +e2 +10 +4b +20 +b3 +30 +7f +30 +43 +60 +11 +40 +b6 +60 +d8 +30 +de +30 +a6 +10 +5d +10 +cf +00 +9f +00 +d6 +00 +38 +10 +8a +20 +3f +60 +72 +30 +ae +40 +4c +20 +3b +20 +6e +10 +b4 +10 +10 +10 +d7 +10 +1b +10 +59 +10 +33 +10 +ca +20 +3b +30 +e4 +50 +02 +20 +70 +20 +21 +10 +03 +10 +aa +00 +db +00 +ab +00 +c0 +00 +a6 +00 +b8 +00 +a4 +00 +ae +00 +cd +00 +cf +10 +2a +10 +cf +10 +e2 +00 +1b +10 +ba +00 +12 +10 +91 +00 +88 +00 +48 +00 +76 +00 +4f +00 +70 +00 +e4 +00 +8a +20 +19 +20 +d8 +20 +43 +10 +6d +10 +1d +10 +4e +10 +b9 +10 +89 +30 +41 +10 +7c +10 +e3 +00 +f6 +10 +b4 +00 +fd +00 +78 +00 +8b +00 +5f +00 +93 +00 +54 +00 +c8 +00 +56 +00 +9e +00 +6b +00 +b5 +00 +51 +00 +77 +00 +3e +00 +69 +00 +3a +00 +62 +00 +45 +00 +6c +00 +3b +00 +6c +00 +35 +00 +64 +00 +32 +00 +61 +00 +39 +00 +61 +00 +42 +00 +7a +00 +47 +00 +83 +00 +a9 +00 +e8 +00 +51 +00 +6f +00 +5b +00 +9f +00 +7c +00 +8f +00 +6d +00 +06 +10 +79 +00 +d6 +00 +6f +00 +ab +00 +59 +00 +6b +00 +3d +00 +67 +00 +44 +00 +68 +00 +37 +00 +66 +00 +39 +00 +67 +00 +2d +00 +58 +00 +37 +00 +57 +00 +4a +00 +6c +00 +3d +00 +61 +00 +3a +00 +6b +00 +3f +00 +55 +00 +31 +00 +47 +00 +31 +00 +4a +00 +2c +00 +51 +00 +2c +00 +49 +00 +2f +00 +54 +00 +23 +00 +42 +00 +24 +00 +46 +00 +26 +00 +3d +00 +2a +00 +3c +00 +27 +00 +47 +00 +24 +00 +4c +00 +40 +00 +76 +00 +89 +00 +9a +00 +4e +00 +5f +00 +2f +00 +52 +00 +36 +00 +58 +00 +2e +00 +48 +00 +2b +00 +4d +00 +42 +00 +55 +00 +36 +00 +4b +00 +2c +00 +40 +00 +30 +00 +43 +00 +2a +00 +52 +00 +4a +00 +62 +00 +6d +00 +75 +00 +61 +00 +51 +00 +32 +00 +4a +00 +26 +00 +45 +00 +31 +00 +55 +00 +28 +00 +41 +00 +2d +00 +4f +00 +31 +00 +4b +00 +39 +00 +6e +00 +78 +00 +79 +10 +d7 +00 +2a +10 +74 +00 +88 +00 +64 +00 +c7 +00 +c9 +00 +4d +10 +07 +10 +6c +30 +9d +10 +60 +10 +94 +00 +6f +00 +3f +00 +4f +00 +2f +00 +53 +00 +38 +00 +4f +00 +38 +00 +52 +00 +41 +00 +58 +00 +3b +00 +4e +00 +2e +00 +54 +00 +27 +00 +43 +00 +27 +00 +4f +00 +23 +00 +46 +00 +2f +00 +93 +00 +4e +00 +58 +00 +28 +00 +3a +00 +22 +00 +3a +00 +24 +00 +30 +00 +1f +00 +2e +00 +21 +00 +32 +00 +1c +00 +3c +00 +1a +00 +37 +00 +1f +00 +3c +00 +23 +00 +49 +00 +28 +00 +48 +00 +21 +00 +55 +00 +24 +00 +4d +00 +2c +00 +43 +00 +21 +00 +50 +00 +2a +00 +57 +00 +2c +00 +55 +00 +28 +00 +42 +00 +26 +00 +4f +00 +2c +00 +51 +00 +2b +00 +53 +00 +31 +00 +55 +00 +4a +00 +87 +00 +63 +00 +a9 +00 +8c +00 +c7 +00 +a3 +00 +d9 +00 +a7 +00 +ee +00 +b0 +00 +34 +10 +d1 +00 +e1 +00 +bb +00 +15 +10 +a2 +00 +a5 +00 +7b +00 +a5 +00 +5b +00 +ae +00 +53 +00 +62 +00 +2f +00 +4e +00 +2a +00 +5b +00 +24 +00 +4e +00 +2a +00 +54 +00 +2e +00 +4c +00 +23 +00 +41 +00 +25 +00 +42 +00 +19 +00 +3c +00 +24 +00 +48 +00 +1f +00 +43 +00 +1e +00 +46 +00 +22 +00 +43 +00 +24 +00 +42 +00 +25 +00 +40 +00 +2d +00 +64 +00 +2d +00 +4d +00 +24 +00 +47 +00 +25 +00 +42 +00 +23 +00 +46 +00 +41 +00 +00 +00 +00 +00 +34 +00 +9d +00 +3c +00 +9b +00 +35 +00 +98 +00 +35 +00 +94 +00 +2f +00 +8b +00 +35 +00 +9b +00 +37 +00 +95 +00 +3e +00 +b7 +00 +3b +00 +9d +00 +3d +00 +9d +00 +3b +00 +9d +00 +36 +00 +93 +00 +28 +00 +79 +00 +2c +00 +8c +00 +39 +00 +88 +00 +28 +00 +8f +00 +be +00 +08 +40 +b7 +10 +41 +30 +7b +00 +e5 +00 +33 +00 +6f +00 +2b +00 +9b +00 +2f +00 +88 +00 +2f +00 +80 +00 +32 +00 +a9 +00 +35 +00 +79 +00 +3f +00 +34 +10 +2e +10 +19 +40 +57 +10 +54 +20 +67 +00 +5f +10 +e6 +00 +a4 +30 +a1 +10 +47 +60 +b1 +20 +fc +70 +db +10 +e8 +40 +bf +10 +e2 +40 +3c +10 +4a +30 +e1 +00 +51 +20 +92 +00 +1d +10 +7c +00 +ac +20 +d1 +00 +ab +10 +4f +00 +ef +00 +83 +10 +85 +50 +2a +20 +5d +40 +de +00 +54 +10 +40 +00 +93 +00 +2b +00 +9b +00 +2c +00 +8d +00 +35 +00 +cf +00 +50 +00 +ca +00 +33 +00 +91 +00 +39 +00 +0a +10 +f5 +00 +21 +30 +75 +00 +c9 +00 +2f +00 +78 +00 +23 +00 +72 +00 +26 +00 +84 +00 +30 +00 +a0 +00 +34 +00 +62 +00 +2b +00 +6f +00 +30 +00 +7f +00 +2b +00 +7a +00 +26 +00 +5c +00 +1f +00 +64 +00 +22 +00 +6c +00 +31 +00 +d6 +00 +31 +00 +5b +00 +27 +00 +67 +00 +2b +00 +81 +00 +48 +00 +2c +10 +9b +00 +a5 +10 +c2 +00 +1d +20 +70 +00 +e7 +00 +38 +00 +81 +00 +2c +00 +74 +00 +2e +00 +7f +00 +2c +00 +84 +00 +33 +00 +81 +00 +39 +00 +89 +00 +3b +00 +91 +00 +35 +00 +a5 +00 +83 +00 +0b +30 +fc +00 +66 +30 +cc +10 +36 +50 +19 +20 +2e +60 +7d +20 +76 +70 +a1 +10 +d1 +20 +68 +00 +d8 +00 +37 +00 +ae +00 +8c +00 +a1 +30 +e0 +10 +f2 +40 +32 +10 +40 +30 +15 +10 +0c +20 +6e +00 +5f +10 +7b +00 +6b +10 +67 +00 +9b +10 +61 +10 +9a +50 +90 +20 +9b +40 +b2 +00 +47 +10 +60 +00 +ff +00 +4e +00 +f5 +00 +4e +00 +0d +10 +4d +00 +e3 +00 +40 +00 +b1 +00 +4f +00 +3a +10 +6b +00 +12 +10 +50 +00 +d3 +00 +50 +00 +e5 +00 +3d +00 +93 +00 +35 +00 +73 +00 +2e +00 +ca +00 +2c +10 +71 +30 +b6 +00 +9b +10 +40 +00 +e1 +00 +55 +00 +c0 +10 +10 +10 +16 +20 +66 +00 +e1 +00 +4a +00 +dc +00 +7c +00 +59 +10 +47 +00 +92 +00 +34 +00 +87 +00 +37 +00 +8e +00 +38 +00 +84 +00 +33 +00 +92 +00 +23 +00 +72 +00 +26 +00 +6e +00 +27 +00 +68 +00 +27 +00 +77 +00 +29 +00 +65 +00 +22 +00 +5f +00 +20 +00 +70 +00 +34 +00 +70 +00 +27 +00 +73 +00 +2d +00 +d9 +00 +61 +00 +bd +00 +2d +00 +b2 +00 +3c +00 +7f +00 +40 +00 +89 +00 +3d +00 +9e +00 +3f +00 +9d +00 +2e +00 +73 +00 +25 +00 +68 +00 +27 +00 +67 +00 +26 +00 +5b +00 +24 +00 +59 +00 +2c +00 +5f +00 +23 +00 +6a +00 +23 +00 +9d +00 +58 +00 +76 +00 +23 +00 +61 +00 +40 +00 +7a +00 +21 +00 +58 +00 +1c +00 +51 +00 +18 +00 +50 +00 +1c +00 +55 +00 +1d +00 +49 +00 +26 +00 +48 +00 +1f +00 +4d +00 +18 +00 +46 +00 +1b +00 +48 +00 +18 +00 +5d +00 +23 +00 +5a +00 +25 +00 +59 +00 +9d +00 +cd +20 +55 +00 +7f +00 +1f +00 +50 +00 +1b +00 +57 +00 +28 +00 +5b +00 +1f +00 +48 +00 +1a +00 +52 +00 +27 +00 +60 +00 +1a +00 +51 +00 +20 +00 +4a +00 +16 +00 +4e +00 +23 +00 +7d +00 +6f +00 +26 +10 +6c +00 +a0 +00 +20 +00 +4b +00 +20 +00 +54 +00 +1a +00 +4c +00 +1f +00 +4d +00 +1f +00 +3f +00 +1e +00 +52 +00 +1f +00 +4f +00 +1f +00 +7c +00 +4b +00 +d6 +00 +4b +00 +c4 +00 +28 +00 +7f +00 +51 +00 +8d +10 +8e +00 +2e +10 +f6 +00 +70 +30 +93 +00 +eb +00 +1f +00 +4d +00 +22 +00 +57 +00 +20 +00 +55 +00 +21 +00 +54 +00 +25 +00 +4e +00 +1f +00 +51 +00 +21 +00 +45 +00 +1b +00 +49 +00 +1d +00 +49 +00 +1c +00 +4d +00 +1b +00 +4f +00 +25 +00 +62 +00 +1c +00 +42 +00 +1f +00 +41 +00 +14 +00 +2d +00 +14 +00 +32 +00 +10 +00 +33 +00 +0e +00 +34 +00 +13 +00 +3b +00 +13 +00 +33 +00 +15 +00 +3f +00 +1c +00 +4c +00 +1b +00 +51 +00 +19 +00 +3f +00 +19 +00 +42 +00 +1a +00 +43 +00 +1f +00 +4c +00 +1f +00 +53 +00 +1f +00 +50 +00 +1e +00 +52 +00 +1e +00 +4c +00 +22 +00 +53 +00 +27 +00 +55 +00 +24 +00 +6a +00 +23 +00 +7a +00 +2c +00 +97 +00 +83 +00 +cb +00 +2c +00 +b7 +00 +42 +00 +d4 +00 +80 +00 +69 +10 +97 +00 +49 +10 +31 +00 +8e +00 +29 +00 +83 +00 +30 +00 +82 +00 +30 +00 +83 +00 +64 +00 +7d +00 +1c +00 +42 +00 +1f +00 +4f +00 +16 +00 +4c +00 +1a +00 +4d +00 +1a +00 +44 +00 +1b +00 +38 +00 +1d +00 +43 +00 +1b +00 +43 +00 +1c +00 +4e +00 +15 +00 +48 +00 +20 +00 +3d +00 +1f +00 +4c +00 +1d +00 +40 +00 +17 +00 +51 +00 +21 +00 +43 +00 +18 +00 +47 +00 +1e +00 +43 +00 +1d +00 +44 +00 +19 +00 +66 +00 +00 +00 +00 +00 +9c +00 +6e +00 +93 +00 +63 +00 +92 +00 +5f +00 +96 +00 +69 +00 +8d +00 +75 +00 +9f +00 +6b +00 +95 +00 +6e +00 +9d +00 +64 +00 +b4 +00 +74 +00 +9e +00 +79 +00 +96 +00 +68 +00 +8e +00 +50 +00 +7d +00 +48 +00 +6f +00 +73 +00 +31 +10 +69 +00 +6a +00 +a4 +00 +34 +10 +24 +10 +d4 +20 +40 +10 +9e +20 +15 +10 +d1 +00 +6b +00 +8c +00 +5c +00 +8b +00 +5a +00 +7a +00 +ee +00 +be +10 +39 +10 +d2 +00 +82 +00 +a8 +00 +18 +10 +19 +30 +ff +10 +9b +30 +1e +10 +3b +10 +e8 +00 +6e +10 +7e +10 +8e +20 +18 +30 +bf +50 +82 +30 +a1 +40 +c6 +30 +91 +40 +23 +30 +a1 +40 +d2 +20 +d7 +30 +54 +10 +49 +10 +c8 +00 +42 +10 +d7 +10 +43 +30 +fa +00 +da +00 +d6 +00 +fc +10 +96 +10 +a2 +30 +6b +10 +a4 +10 +a2 +00 +ab +00 +5e +00 +80 +00 +61 +00 +8b +00 +7d +00 +d6 +00 +95 +00 +c1 +00 +6b +00 +98 +00 +82 +00 +f5 +00 +d9 +10 +42 +40 +1a +20 +35 +20 +a6 +00 +88 +00 +4e +00 +73 +00 +48 +00 +6e +00 +48 +00 +81 +00 +45 +00 +73 +00 +47 +00 +6c +00 +4e +00 +97 +00 +7d +00 +a1 +00 +54 +00 +73 +00 +43 +00 +68 +00 +4b +00 +69 +00 +41 +00 +67 +00 +38 +00 +78 +00 +3d +00 +69 +00 +48 +00 +76 +00 +9a +00 +ac +10 +04 +20 +28 +40 +4a +10 +f5 +10 +ac +00 +12 +10 +82 +00 +93 +00 +44 +00 +73 +00 +40 +00 +76 +00 +50 +00 +80 +00 +53 +00 +8b +00 +52 +00 +90 +00 +61 +00 +99 +00 +67 +00 +91 +00 +12 +10 +c5 +20 +44 +20 +ae +30 +0e +20 +31 +50 +d0 +20 +4e +50 +2e +30 +b9 +60 +45 +40 +53 +70 +3f +30 +ee +10 +eb +00 +ae +00 +b5 +00 +0a +10 +a9 +10 +04 +40 +92 +20 +d8 +30 +28 +20 +1b +30 +87 +10 +8a +10 +0f +10 +5a +10 +2a +10 +df +10 +13 +10 +00 +20 +9e +10 +03 +40 +ae +10 +65 +20 +e1 +10 +62 +10 +89 +10 +44 +20 +e5 +10 +27 +20 +79 +10 +28 +20 +d9 +00 +cc +00 +ab +00 +c9 +00 +96 +00 +dd +00 +a0 +00 +cc +00 +68 +00 +b9 +00 +5c +00 +af +00 +6a +00 +81 +00 +5e +00 +88 +00 +50 +10 +d5 +30 +b7 +10 +47 +20 +0e +10 +e2 +00 +af +00 +c6 +00 +c2 +00 +40 +10 +b3 +00 +03 +10 +87 +00 +a2 +00 +77 +00 +22 +10 +56 +10 +7d +10 +73 +00 +83 +00 +53 +00 +7b +00 +45 +00 +75 +00 +46 +00 +76 +00 +4e +00 +71 +00 +42 +00 +75 +00 +42 +00 +6c +00 +3f +00 +63 +00 +3a +00 +65 +00 +35 +00 +67 +00 +39 +00 +6b +00 +38 +00 +71 +00 +42 +00 +6c +00 +48 +00 +69 +00 +46 +00 +79 +00 +4f +00 +85 +00 +5f +00 +06 +10 +6c +00 +8f +00 +5d +00 +a3 +00 +52 +00 +8b +00 +56 +00 +76 +00 +6b +00 +a1 +00 +6b +00 +70 +00 +75 +00 +6f +00 +54 +00 +6a +00 +40 +00 +64 +00 +41 +00 +68 +00 +54 +00 +8d +00 +50 +00 +a8 +00 +44 +00 +68 +00 +31 +00 +7a +00 +3c +00 +5d +00 +2a +00 +53 +00 +35 +00 +51 +00 +28 +00 +4a +00 +2b +00 +50 +00 +2b +00 +4e +00 +29 +00 +50 +00 +30 +00 +44 +00 +29 +00 +53 +00 +37 +00 +89 +00 +7e +00 +76 +00 +37 +00 +52 +00 +3c +00 +a2 +00 +6e +00 +81 +10 +61 +00 +6e +00 +3b +00 +4f +00 +2d +00 +5b +00 +2e +00 +63 +00 +64 +00 +6e +00 +3b +00 +84 +00 +7e +00 +ad +00 +3c +00 +4a +00 +26 +00 +44 +00 +31 +00 +5d +00 +8b +00 +b4 +20 +a1 +10 +aa +20 +a3 +00 +75 +00 +3a +00 +4b +00 +34 +00 +44 +00 +28 +00 +3f +00 +30 +00 +4f +00 +35 +00 +46 +00 +2e +00 +4f +00 +3d +00 +5f +00 +5a +00 +90 +00 +61 +00 +9a +00 +69 +00 +83 +00 +bf +00 +c9 +00 +60 +10 +52 +20 +ea +00 +ad +10 +00 +10 +6a +10 +a9 +00 +71 +00 +43 +00 +55 +00 +30 +00 +58 +00 +2c +00 +4d +00 +2f +00 +55 +00 +2d +00 +5f +00 +32 +00 +78 +00 +2b +00 +4c +00 +2a +00 +49 +00 +26 +00 +4d +00 +26 +00 +44 +00 +24 +00 +47 +00 +2d +00 +43 +00 +1d +00 +3d +00 +1e +00 +36 +00 +1d +00 +34 +00 +1d +00 +35 +00 +1c +00 +32 +00 +1e +00 +2e +00 +24 +00 +33 +00 +27 +00 +4c +00 +31 +00 +73 +00 +2a +00 +47 +00 +29 +00 +51 +00 +22 +00 +4b +00 +27 +00 +50 +00 +1d +00 +45 +00 +26 +00 +5e +00 +28 +00 +4e +00 +25 +00 +3e +00 +22 +00 +55 +00 +21 +00 +4c +00 +29 +00 +65 +00 +38 +00 +63 +00 +36 +00 +66 +00 +4d +00 +61 +00 +6b +00 +bb +10 +c4 +00 +e9 +00 +b2 +00 +b6 +00 +8d +00 +a5 +00 +1e +10 +b3 +20 +22 +10 +d5 +00 +89 +00 +71 +00 +76 +00 +79 +00 +54 +00 +6c +00 +46 +00 +8c +00 +37 +00 +6c +00 +2f +00 +46 +00 +28 +00 +4f +00 +24 +00 +4e +00 +28 +00 +3e +00 +27 +00 +3f +00 +1e +00 +49 +00 +1e +00 +3a +00 +20 +00 +45 +00 +25 +00 +3d +00 +20 +00 +40 +00 +1d +00 +41 +00 +20 +00 +3e +00 +23 +00 +48 +00 +22 +00 +4e +00 +33 +00 +91 +00 +30 +00 +45 +00 +2d +00 +48 +00 +28 +00 +48 +00 +37 +00 +00 +00 +00 +00 +30 +00 +90 +00 +3c +00 +9d +00 +31 +00 +98 +00 +2f +00 +9d +00 +33 +00 +9f +00 +38 +00 +9c +00 +3a +00 +ab +00 +3c +00 +a1 +00 +3e +00 +a7 +00 +3b +00 +ab +00 +36 +00 +93 +00 +38 +00 +8d +00 +26 +00 +6c +00 +26 +00 +91 +00 +43 +00 +9c +00 +29 +00 +80 +00 +91 +00 +3f +20 +88 +00 +5b +10 +bb +00 +7d +20 +76 +00 +99 +00 +2d +00 +7f +00 +2c +00 +82 +00 +42 +00 +9e +10 +52 +10 +8d +30 +72 +00 +a4 +00 +46 +00 +72 +10 +db +00 +df +20 +c9 +00 +82 +10 +43 +00 +d9 +00 +5c +00 +7a +10 +ac +00 +9c +30 +a0 +10 +ed +30 +ce +10 +c5 +50 +37 +20 +d0 +50 +45 +10 +01 +30 +d5 +00 +29 +20 +57 +00 +d7 +00 +7a +00 +c6 +20 +dd +00 +97 +10 +3e +00 +bf +00 +64 +00 +96 +10 +79 +00 +96 +10 +55 +00 +bc +00 +41 +00 +8d +00 +25 +00 +7b +00 +2a +00 +83 +00 +a7 +00 +d2 +10 +45 +00 +8d +00 +2a +00 +7e +00 +8e +00 +f4 +20 +01 +20 +6a +40 +92 +00 +e4 +00 +2f +00 +7d +00 +29 +00 +68 +00 +28 +00 +6e +00 +2e +00 +76 +00 +23 +00 +6d +00 +1f +00 +79 +00 +45 +00 +99 +00 +40 +00 +84 +00 +23 +00 +63 +00 +23 +00 +58 +00 +1e +00 +5e +00 +30 +00 +74 +00 +2e +00 +81 +00 +2e +00 +7a +00 +2e +00 +a0 +00 +d0 +00 +97 +30 +00 +10 +f6 +10 +6b +00 +0a +10 +4a +00 +a3 +00 +31 +00 +73 +00 +2a +00 +69 +00 +2f +00 +82 +00 +2a +00 +74 +00 +2c +00 +89 +00 +33 +00 +ad +00 +36 +00 +a0 +00 +3d +00 +72 +10 +7e +10 +a4 +30 +e9 +00 +40 +20 +11 +10 +9b +30 +17 +10 +e6 +20 +80 +10 +7c +50 +07 +20 +eb +40 +de +00 +37 +10 +3d +00 +bd +00 +4b +00 +af +10 +fd +00 +45 +30 +f8 +00 +3c +20 +db +00 +e9 +10 +7b +00 +91 +10 +61 +00 +41 +10 +69 +00 +29 +10 +68 +00 +90 +10 +9c +00 +15 +20 +b8 +00 +97 +30 +32 +10 +a2 +20 +a8 +10 +4f +40 +0d +10 +1d +20 +8a +00 +4f +10 +60 +00 +c8 +00 +3f +00 +b6 +00 +4c +00 +c6 +00 +43 +00 +93 +00 +40 +00 +b1 +00 +3b +00 +85 +00 +35 +00 +83 +00 +67 +00 +9f +20 +66 +10 +c0 +20 +84 +00 +44 +10 +39 +00 +9a +00 +33 +00 +af +00 +46 +00 +c8 +00 +3f +00 +96 +00 +3b +00 +a9 +00 +73 +00 +0f +20 +83 +00 +e3 +00 +29 +00 +80 +00 +34 +00 +89 +00 +2b +00 +73 +00 +2b +00 +85 +00 +2d +00 +6f +00 +28 +00 +67 +00 +22 +00 +62 +00 +2b +00 +5c +00 +29 +00 +6a +00 +26 +00 +65 +00 +32 +00 +72 +00 +31 +00 +73 +00 +2a +00 +7d +00 +30 +00 +75 +00 +1f +00 +73 +00 +31 +00 +7b +00 +30 +00 +af +00 +3d +00 +85 +00 +31 +00 +85 +00 +32 +00 +73 +00 +30 +00 +a5 +00 +5a +00 +c1 +00 +4b +00 +a0 +00 +31 +00 +6b +00 +25 +00 +6e +00 +30 +00 +69 +00 +2b +00 +d2 +00 +53 +00 +a0 +00 +2e +00 +6d +00 +22 +00 +6a +00 +26 +00 +54 +00 +1f +00 +53 +00 +23 +00 +52 +00 +1b +00 +4e +00 +19 +00 +4f +00 +1c +00 +4a +00 +1c +00 +51 +00 +1b +00 +4b +00 +1d +00 +50 +00 +22 +00 +5a +00 +40 +00 +a1 +00 +38 +00 +5c +00 +23 +00 +5e +00 +28 +00 +85 +00 +42 +00 +97 +00 +23 +00 +5a +00 +24 +00 +54 +00 +1c +00 +55 +00 +2a +00 +b1 +00 +36 +00 +5f +00 +37 +00 +bb +00 +5c +00 +9c +00 +1f +00 +49 +00 +1d +00 +58 +00 +38 +00 +c9 +00 +f9 +00 +30 +20 +82 +00 +00 +10 +3c +00 +5c +00 +20 +00 +46 +00 +1b +00 +41 +00 +25 +00 +5a +00 +25 +00 +53 +00 +1b +00 +4e +00 +1f +00 +54 +00 +2c +00 +74 +00 +23 +00 +72 +00 +2e +00 +6e +00 +46 +00 +f9 +10 +3b +10 +35 +30 +f8 +00 +71 +10 +50 +00 +ee +00 +61 +00 +21 +10 +4f +00 +80 +00 +20 +00 +4e +00 +20 +00 +4d +00 +1d +00 +44 +00 +1b +00 +5b +00 +30 +00 +6c +00 +29 +00 +5d +00 +19 +00 +4e +00 +18 +00 +55 +00 +1f +00 +3b +00 +18 +00 +4a +00 +1b +00 +40 +00 +12 +00 +3b +00 +15 +00 +34 +00 +11 +00 +35 +00 +16 +00 +37 +00 +11 +00 +31 +00 +18 +00 +2e +00 +16 +00 +3b +00 +23 +00 +53 +00 +49 +00 +83 +00 +25 +00 +4d +00 +1e +00 +56 +00 +24 +00 +47 +00 +16 +00 +3c +00 +1a +00 +47 +00 +18 +00 +50 +00 +25 +00 +5d +00 +20 +00 +53 +00 +1d +00 +52 +00 +21 +00 +54 +00 +1f +00 +55 +00 +19 +00 +5e +00 +29 +00 +5a +00 +26 +00 +5d +00 +1f +00 +83 +00 +5e +00 +f4 +00 +6b +00 +b5 +10 +5f +00 +9e +00 +3f +00 +a5 +10 +6e +10 +b3 +20 +42 +00 +7d +00 +6b +00 +c9 +00 +33 +00 +7c +00 +22 +00 +61 +00 +19 +00 +58 +00 +1e +00 +4b +00 +1a +00 +45 +00 +21 +00 +45 +00 +1a +00 +44 +00 +17 +00 +50 +00 +1a +00 +49 +00 +23 +00 +45 +00 +1d +00 +3e +00 +18 +00 +44 +00 +1e +00 +48 +00 +17 +00 +43 +00 +16 +00 +3f +00 +1c +00 +50 +00 +1b +00 +43 +00 +1e +00 +55 +00 +59 +00 +6d +00 +23 +00 +48 +00 +16 +00 +40 +00 +1c +00 +4a +00 +00 +00 +00 +00 +98 +00 +6d +00 +a1 +00 +63 +00 +9c +00 +6c +00 +9b +00 +68 +00 +a4 +00 +56 +00 +99 +00 +6c +00 +99 +00 +76 +00 +aa +00 +5e +00 +ad +00 +70 +00 +af +00 +69 +00 +ae +00 +6f +00 +8b +00 +53 +00 +72 +00 +40 +00 +6e +00 +45 +00 +63 +00 +51 +00 +6c +00 +68 +00 +b5 +00 +b0 +00 +24 +10 +a9 +00 +d3 +00 +90 +00 +50 +10 +92 +00 +89 +00 +66 +00 +77 +00 +80 +00 +b5 +00 +c5 +10 +58 +30 +7d +10 +a5 +10 +cd +00 +d9 +00 +34 +10 +66 +20 +08 +10 +5e +10 +ca +00 +cf +00 +b8 +00 +f7 +00 +2f +10 +51 +10 +51 +10 +36 +20 +02 +20 +d5 +30 +ee +20 +01 +50 +14 +20 +7d +20 +47 +10 +7d +10 +cc +00 +e6 +00 +a3 +00 +e1 +00 +50 +10 +0c +20 +ce +00 +b6 +00 +a4 +00 +ec +00 +f6 +00 +62 +10 +c5 +00 +e3 +00 +64 +00 +98 +00 +4c +00 +77 +00 +41 +00 +6c +00 +53 +00 +a5 +00 +67 +00 +dd +00 +54 +00 +7b +00 +6d +00 +b0 +00 +4f +10 +ee +20 +81 +10 +dd +10 +9d +00 +84 +00 +44 +00 +6a +00 +3e +00 +78 +00 +4a +00 +68 +00 +49 +00 +6f +00 +38 +00 +73 +00 +7f +00 +e9 +00 +77 +00 +9c +00 +51 +00 +75 +00 +47 +00 +66 +00 +39 +00 +43 +00 +3a +00 +67 +00 +37 +00 +6a +00 +5d +00 +bf +00 +13 +10 +30 +10 +d7 +00 +69 +10 +bf +00 +9b +10 +13 +10 +2a +10 +8c +00 +b1 +00 +49 +00 +81 +00 +3f +00 +69 +00 +48 +00 +76 +00 +49 +00 +8b +00 +4a +00 +74 +00 +59 +00 +9b +00 +83 +00 +1a +10 +89 +00 +a1 +00 +e8 +00 +ee +10 +1c +10 +c0 +10 +3a +10 +86 +10 +3f +10 +d7 +10 +95 +10 +f8 +10 +bf +20 +24 +40 +41 +30 +6d +30 +77 +10 +f8 +00 +a9 +00 +d3 +00 +8b +10 +97 +30 +7e +30 +35 +50 +8e +20 +e8 +30 +0c +20 +dd +10 +4d +10 +51 +10 +38 +10 +6d +10 +df +00 +22 +10 +2b +10 +b0 +10 +0d +20 +16 +40 +b8 +20 +bb +30 +b0 +20 +0b +50 +99 +10 +04 +20 +f5 +00 +25 +10 +fb +00 +8a +20 +c8 +00 +d3 +00 +87 +00 +a6 +00 +71 +00 +90 +00 +5e +00 +9c +00 +61 +00 +8d +00 +64 +00 +8c +00 +97 +00 +b9 +00 +eb +00 +b0 +10 +f1 +00 +93 +10 +f5 +00 +ca +00 +8e +00 +98 +00 +86 +00 +ae +00 +79 +00 +ba +00 +81 +00 +8e +00 +6e +00 +fe +00 +9c +00 +ef +00 +7d +00 +92 +00 +50 +00 +7e +00 +42 +00 +7b +00 +47 +00 +7a +00 +47 +00 +6c +00 +41 +00 +70 +00 +40 +00 +63 +00 +36 +00 +62 +00 +3f +00 +6c +00 +39 +00 +6e +00 +3b +00 +65 +00 +43 +00 +78 +00 +40 +00 +79 +00 +4d +00 +79 +00 +3c +00 +74 +00 +41 +00 +7a +00 +3a +00 +8d +00 +51 +00 +90 +00 +54 +00 +7e +00 +5f +00 +7d +00 +62 +00 +ca +00 +fa +00 +a8 +10 +20 +20 +7b +30 +99 +10 +1b +10 +89 +00 +80 +00 +71 +00 +74 +00 +79 +00 +7d +00 +69 +00 +c9 +00 +50 +00 +77 +00 +35 +00 +55 +00 +39 +00 +59 +00 +3d +00 +63 +00 +34 +00 +4c +00 +31 +00 +5c +00 +2d +00 +52 +00 +31 +00 +48 +00 +2e +00 +4c +00 +27 +00 +48 +00 +28 +00 +58 +00 +3e +00 +61 +00 +3a +00 +62 +00 +33 +00 +64 +00 +4c +00 +8e +00 +37 +00 +66 +00 +40 +00 +71 +00 +39 +00 +59 +00 +35 +00 +50 +00 +28 +00 +5a +00 +33 +00 +58 +00 +34 +00 +59 +00 +34 +00 +6b +00 +36 +00 +6a +00 +39 +00 +71 +00 +2e +00 +54 +00 +51 +00 +a5 +00 +cc +10 +94 +20 +e8 +00 +19 +10 +77 +00 +a6 +00 +56 +00 +51 +00 +2a +00 +3f +00 +20 +00 +4b +00 +28 +00 +62 +00 +2c +00 +53 +00 +2e +00 +5b +00 +7e +00 +b0 +00 +6b +00 +70 +00 +57 +00 +65 +00 +4b +00 +8c +00 +db +00 +e2 +20 +fe +00 +8a +10 +e4 +00 +50 +10 +b9 +00 +09 +10 +bd +00 +e0 +00 +57 +00 +54 +00 +3b +00 +4a +00 +2c +00 +41 +00 +27 +00 +54 +00 +33 +00 +65 +00 +30 +00 +5c +00 +2d +00 +53 +00 +23 +00 +4a +00 +24 +00 +3b +00 +28 +00 +45 +00 +28 +00 +42 +00 +29 +00 +40 +00 +20 +00 +3a +00 +1e +00 +2e +00 +1a +00 +2d +00 +1d +00 +34 +00 +22 +00 +35 +00 +1a +00 +2c +00 +26 +00 +41 +00 +2d +00 +60 +00 +30 +00 +5e +00 +28 +00 +53 +00 +27 +00 +51 +00 +21 +00 +4f +00 +1f +00 +43 +00 +2b +00 +4f +00 +2f +00 +4f +00 +2c +00 +55 +00 +2f +00 +4a +00 +29 +00 +55 +00 +22 +00 +4b +00 +2c +00 +51 +00 +27 +00 +60 +00 +28 +00 +4c +00 +38 +00 +5d +00 +51 +00 +96 +00 +57 +00 +bd +00 +7e +00 +f5 +00 +6f +00 +8e +00 +95 +00 +89 +10 +9c +00 +f1 +00 +77 +00 +3a +10 +a6 +00 +a9 +00 +42 +00 +5e +00 +38 +00 +47 +00 +2d +00 +55 +00 +2b +00 +40 +00 +23 +00 +42 +00 +29 +00 +41 +00 +23 +00 +59 +00 +29 +00 +4b +00 +25 +00 +39 +00 +1d +00 +48 +00 +1f +00 +42 +00 +22 +00 +3a +00 +1c +00 +3d +00 +1e +00 +3a +00 +25 +00 +3a +00 +21 +00 +45 +00 +27 +00 +46 +00 +2c +00 +5e +00 +32 +00 +60 +00 +49 +00 +48 +00 +37 +00 +44 +00 +32 +00 +00 +00 +00 +00 +31 +00 +9e +00 +3a +00 +8b +00 +36 +00 +95 +00 +39 +00 +8d +00 +3a +00 +a1 +00 +37 +00 +a6 +00 +38 +00 +b2 +00 +39 +00 +a1 +00 +3a +00 +a4 +00 +33 +00 +9d +00 +32 +00 +a5 +00 +30 +00 +83 +00 +2b +00 +61 +00 +23 +00 +6c +00 +30 +00 +5e +00 +26 +00 +68 +00 +35 +00 +b9 +00 +39 +00 +b7 +00 +3d +00 +b3 +00 +3b +00 +ec +00 +4e +00 +a1 +00 +31 +00 +8a +00 +87 +00 +8a +30 +ad +10 +7d +30 +7a +00 +09 +10 +a7 +00 +41 +20 +a0 +00 +83 +10 +59 +00 +cb +00 +3f +00 +d9 +00 +69 +00 +18 +10 +3e +00 +2d +10 +75 +00 +99 +10 +ab +00 +dc +20 +da +00 +1e +20 +76 +00 +53 +10 +53 +00 +e0 +00 +45 +00 +a2 +00 +55 +00 +2b +20 +b4 +00 +2f +10 +40 +00 +95 +00 +49 +00 +0e +10 +45 +00 +c1 +00 +37 +00 +95 +00 +38 +00 +7e +00 +28 +00 +63 +00 +29 +00 +75 +00 +2d +00 +8f +00 +1f +00 +7d +00 +26 +00 +68 +00 +38 +00 +00 +10 +e4 +00 +42 +30 +6a +00 +e7 +00 +2c +00 +7f +00 +26 +00 +81 +00 +26 +00 +6d +00 +25 +00 +63 +00 +22 +00 +65 +00 +28 +00 +c2 +00 +54 +00 +b1 +00 +2d +00 +83 +00 +5c +00 +9b +00 +2a +00 +55 +00 +1f +00 +56 +00 +29 +00 +75 +00 +2b +00 +68 +00 +6d +00 +0f +20 +cb +00 +81 +10 +4f +00 +18 +10 +7b +00 +d1 +10 +56 +00 +c6 +00 +2c +00 +7a +00 +2e +00 +6e +00 +26 +00 +63 +00 +34 +00 +8e +00 +36 +00 +7f +00 +36 +00 +95 +00 +46 +00 +ca +00 +4a +00 +c8 +00 +37 +00 +c6 +00 +70 +00 +44 +10 +6d +00 +3a +10 +5a +00 +45 +10 +6d +00 +6d +10 +a5 +00 +d1 +30 +4e +20 +d5 +60 +8f +10 +7f +20 +4c +00 +c7 +00 +41 +00 +36 +10 +3d +10 +a4 +50 +e3 +10 +6f +40 +d3 +10 +e6 +30 +b3 +00 +59 +10 +69 +00 +d0 +10 +74 +00 +49 +10 +5d +00 +40 +10 +22 +10 +e7 +30 +2e +10 +ff +30 +47 +10 +65 +40 +63 +10 +71 +20 +78 +00 +1e +10 +61 +00 +38 +10 +8b +00 +45 +10 +48 +00 +b3 +00 +3c +00 +99 +00 +30 +00 +91 +00 +30 +00 +90 +00 +36 +00 +85 +00 +6f +00 +5a +10 +4b +00 +e1 +00 +67 +00 +2d +10 +60 +00 +fd +00 +40 +00 +ab +00 +32 +00 +98 +00 +3d +00 +99 +00 +30 +00 +8e +00 +38 +00 +80 +00 +4a +00 +e7 +00 +46 +00 +b2 +00 +33 +00 +78 +00 +2b +00 +79 +00 +28 +00 +68 +00 +28 +00 +6c +00 +2a +00 +6f +00 +20 +00 +71 +00 +28 +00 +5d +00 +27 +00 +6b +00 +25 +00 +62 +00 +2e +00 +7a +00 +28 +00 +73 +00 +2d +00 +7e +00 +34 +00 +79 +00 +34 +00 +77 +00 +2a +00 +62 +00 +28 +00 +7d +00 +2a +00 +93 +00 +56 +00 +ac +00 +2d +00 +83 +00 +38 +00 +7a +00 +ad +00 +fa +20 +0f +10 +20 +40 +9d +10 +3d +30 +8c +00 +fb +00 +3f +00 +a3 +00 +59 +00 +34 +10 +32 +00 +89 +00 +2f +00 +7a +00 +29 +00 +63 +00 +23 +00 +58 +00 +22 +00 +6b +00 +23 +00 +5d +00 +26 +00 +54 +00 +23 +00 +59 +00 +21 +00 +51 +00 +1b +00 +50 +00 +21 +00 +55 +00 +24 +00 +54 +00 +20 +00 +6d +00 +36 +00 +72 +00 +24 +00 +5b +00 +2a +00 +92 +00 +35 +00 +64 +00 +20 +00 +67 +00 +23 +00 +5d +00 +22 +00 +51 +00 +1c +00 +4a +00 +18 +00 +54 +00 +1c +00 +5c +00 +22 +00 +60 +00 +23 +00 +6b +00 +1e +00 +61 +00 +20 +00 +58 +00 +21 +00 +70 +00 +61 +00 +a5 +30 +15 +10 +80 +10 +4e +00 +c3 +00 +2c +00 +6f +00 +19 +00 +46 +00 +1a +00 +48 +00 +1a +00 +59 +00 +24 +00 +61 +00 +20 +00 +5a +00 +2d +00 +ef +00 +05 +10 +15 +10 +2d +00 +6a +00 +22 +00 +66 +00 +2d +00 +ba +00 +65 +00 +33 +10 +58 +00 +06 +10 +41 +00 +b2 +00 +39 +00 +af +00 +39 +00 +86 +00 +2b +00 +53 +00 +21 +00 +44 +00 +1a +00 +45 +00 +30 +00 +9f +00 +26 +00 +70 +00 +1f +00 +54 +00 +22 +00 +58 +00 +22 +00 +37 +00 +12 +00 +39 +00 +1b +00 +36 +00 +1b +00 +40 +00 +16 +00 +34 +00 +17 +00 +33 +00 +19 +00 +3a +00 +16 +00 +27 +00 +11 +00 +2f +00 +17 +00 +2d +00 +14 +00 +3e +00 +1c +00 +4b +00 +23 +00 +58 +00 +1f +00 +4a +00 +16 +00 +47 +00 +1e +00 +41 +00 +17 +00 +41 +00 +1e +00 +4a +00 +20 +00 +59 +00 +1c +00 +53 +00 +16 +00 +45 +00 +1c +00 +48 +00 +1d +00 +50 +00 +26 +00 +4c +00 +1d +00 +5c +00 +23 +00 +51 +00 +20 +00 +5f +00 +2e +00 +6c +00 +2c +00 +8e +00 +35 +00 +94 +00 +3a +00 +98 +00 +2d +00 +a9 +00 +43 +00 +c5 +00 +35 +00 +80 +00 +39 +00 +9d +00 +38 +00 +69 +00 +22 +00 +56 +00 +1f +00 +4c +00 +1f +00 +3e +00 +15 +00 +4e +00 +23 +00 +3f +00 +1b +00 +43 +00 +1c +00 +53 +00 +1c +00 +46 +00 +1c +00 +46 +00 +17 +00 +43 +00 +1e +00 +43 +00 +1c +00 +3c +00 +19 +00 +3e +00 +17 +00 +3c +00 +1e +00 +3f +00 +1d +00 +53 +00 +17 +00 +51 +00 +1c +00 +52 +00 +26 +00 +f5 +00 +2e +00 +60 +00 +23 +00 +60 +00 +00 +00 +00 +00 +a2 +00 +66 +00 +9c +00 +66 +00 +98 +00 +67 +00 +98 +00 +68 +00 +aa +00 +74 +00 +92 +00 +5d +00 +92 +00 +6a +00 +97 +00 +73 +00 +a1 +00 +69 +00 +9f +00 +69 +00 +9f +00 +61 +00 +90 +00 +5b +00 +69 +00 +3d +00 +5a +00 +4e +00 +6d +00 +40 +00 +5a +00 +57 +00 +73 +00 +78 +00 +93 +00 +63 +00 +8d +00 +5d +00 +94 +00 +5e +00 +ec +00 +6f +00 +80 +00 +b3 +00 +06 +20 +b0 +10 +49 +30 +25 +10 +85 +10 +2f +10 +7a +20 +08 +10 +46 +10 +af +00 +e0 +00 +7e +00 +b6 +00 +a3 +10 +96 +30 +46 +10 +ee +00 +e2 +00 +02 +10 +f5 +00 +21 +10 +fb +00 +95 +10 +0e +10 +4d +10 +cc +00 +e5 +00 +a4 +00 +ae +00 +94 +00 +b8 +00 +d0 +00 +80 +10 +c9 +00 +d9 +00 +f6 +00 +d4 +00 +c4 +00 +bf +00 +85 +00 +87 +00 +60 +00 +9a +00 +51 +00 +79 +00 +4f +00 +70 +00 +4a +00 +80 +00 +4c +00 +7b +00 +44 +00 +60 +00 +58 +00 +80 +00 +be +00 +17 +20 +38 +10 +e8 +10 +84 +00 +9e +00 +4e +00 +70 +00 +39 +00 +7b +00 +3b +00 +77 +00 +42 +00 +63 +00 +3d +00 +65 +00 +4c +00 +88 +00 +5e +00 +7a +00 +5b +00 +9b +00 +4e +00 +64 +00 +51 +00 +66 +00 +62 +00 +80 +00 +45 +00 +6a +00 +4a +00 +84 +00 +8c +00 +e0 +10 +cf +00 +bf +00 +b7 +00 +c8 +10 +ef +00 +3d +10 +73 +00 +7a +00 +40 +00 +63 +00 +40 +00 +60 +00 +42 +00 +7b +00 +63 +00 +8e +00 +5b +00 +92 +00 +58 +00 +a0 +00 +85 +00 +aa +00 +0b +10 +e5 +00 +b9 +10 +74 +10 +61 +10 +3e +10 +1c +10 +f0 +00 +22 +10 +26 +10 +46 +10 +67 +10 +54 +30 +ab +60 +45 +40 +b5 +50 +e2 +10 +47 +10 +bf +00 +be +00 +62 +10 +c4 +20 +88 +20 +be +40 +d4 +30 +e7 +50 +4d +30 +dc +30 +6b +10 +4c +10 +5f +10 +b9 +10 +45 +10 +24 +10 +01 +20 +32 +40 +2e +30 +9e +30 +d0 +10 +1e +20 +93 +10 +fc +20 +3d +10 +74 +10 +d7 +00 +e6 +00 +cd +00 +ec +00 +cd +00 +f2 +00 +af +00 +a7 +00 +6c +00 +94 +00 +5b +00 +87 +00 +53 +00 +80 +00 +d3 +00 +37 +20 +05 +10 +32 +10 +ae +00 +eb +00 +e7 +00 +3f +10 +9e +10 +b3 +10 +e0 +00 +aa +00 +85 +00 +9b +00 +dd +00 +dd +00 +b5 +00 +a3 +00 +84 +00 +3f +10 +c7 +00 +cd +00 +7d +00 +7f +00 +52 +00 +74 +00 +4f +00 +7a +00 +5c +00 +79 +00 +47 +00 +6d +00 +45 +00 +67 +00 +41 +00 +71 +00 +4a +00 +76 +00 +3e +00 +71 +00 +3f +00 +8a +00 +5d +00 +72 +00 +49 +00 +88 +00 +43 +00 +86 +00 +48 +00 +8a +00 +49 +00 +71 +00 +41 +00 +75 +00 +46 +00 +75 +00 +48 +00 +a8 +00 +5c +00 +8c +00 +44 +00 +78 +00 +6b +00 +56 +10 +65 +10 +26 +30 +6d +10 +37 +20 +27 +10 +ce +20 +f5 +10 +5d +10 +c7 +10 +2a +30 +63 +10 +f7 +00 +6f +00 +7a +00 +41 +00 +68 +00 +3c +00 +57 +00 +2f +00 +5c +00 +2c +00 +4f +00 +30 +00 +57 +00 +2c +00 +60 +00 +2b +00 +54 +00 +30 +00 +4a +00 +31 +00 +48 +00 +27 +00 +53 +00 +2a +00 +56 +00 +32 +00 +5f +00 +33 +00 +63 +00 +2f +00 +59 +00 +37 +00 +69 +00 +3c +00 +51 +00 +38 +00 +5b +00 +30 +00 +54 +00 +2c +00 +56 +00 +29 +00 +49 +00 +30 +00 +90 +00 +2c +00 +50 +00 +3a +00 +5d +00 +34 +00 +51 +00 +32 +00 +57 +00 +32 +00 +65 +00 +a4 +00 +80 +10 +0e +20 +05 +30 +64 +10 +0c +10 +91 +00 +6f +00 +41 +00 +54 +00 +28 +00 +42 +00 +2a +00 +47 +00 +2b +00 +4f +00 +2e +00 +5e +00 +3a +00 +6a +00 +bd +00 +77 +30 +35 +10 +c5 +00 +65 +00 +78 +00 +46 +00 +70 +00 +7a +00 +c8 +00 +7c +00 +ef +00 +f4 +00 +f8 +10 +d9 +00 +ad +00 +5c +00 +7a +00 +43 +00 +68 +00 +32 +00 +42 +00 +28 +00 +4a +00 +3b +00 +a0 +00 +45 +00 +7d +00 +2f +00 +63 +00 +28 +00 +45 +00 +27 +00 +45 +00 +22 +00 +40 +00 +23 +00 +40 +00 +1d +00 +39 +00 +21 +00 +32 +00 +1f +00 +33 +00 +19 +00 +28 +00 +15 +00 +2e +00 +1b +00 +34 +00 +1c +00 +30 +00 +20 +00 +34 +00 +24 +00 +47 +00 +34 +00 +4e +00 +24 +00 +4d +00 +26 +00 +40 +00 +22 +00 +4a +00 +25 +00 +49 +00 +2a +00 +4f +00 +29 +00 +52 +00 +25 +00 +5a +00 +27 +00 +55 +00 +28 +00 +4b +00 +25 +00 +3c +00 +25 +00 +4c +00 +23 +00 +60 +00 +32 +00 +4f +00 +2c +00 +52 +00 +55 +00 +e4 +00 +62 +00 +80 +00 +51 +00 +7a +00 +50 +00 +8f +00 +4a +00 +82 +00 +55 +00 +83 +00 +4d +00 +7f +00 +58 +00 +69 +00 +3f +00 +79 +00 +48 +00 +52 +00 +45 +00 +5d +00 +39 +00 +45 +00 +2f +00 +3e +00 +25 +00 +4f +00 +22 +00 +46 +00 +21 +00 +4a +00 +29 +00 +43 +00 +1f +00 +49 +00 +24 +00 +43 +00 +29 +00 +43 +00 +19 +00 +4d +00 +1f +00 +3e +00 +29 +00 +41 +00 +1e +00 +3e +00 +26 +00 +57 +00 +6a +00 +64 +00 +2a +00 +43 +00 +2d +00 +54 +00 +6e +00 +03 +10 +6d +00 +5e +10 +7f +00 +00 +00 +00 +00 +3d +00 +a2 +00 +3b +00 +8b +00 +36 +00 +a4 +00 +36 +00 +a0 +00 +31 +00 +9e +00 +40 +00 +a1 +00 +35 +00 +8f +00 +2e +00 +a6 +00 +3e +00 +a0 +00 +35 +00 +8c +00 +3a +00 +9c +00 +30 +00 +78 +00 +1f +00 +60 +00 +25 +00 +59 +00 +20 +00 +5c +00 +1f +00 +5d +00 +2b +00 +78 +00 +24 +00 +7b +00 +24 +00 +91 +00 +35 +00 +90 +00 +36 +00 +8b +00 +2c +00 +9b +00 +9a +00 +38 +20 +b0 +00 +6a +10 +60 +00 +9a +10 +96 +00 +52 +10 +51 +00 +c5 +00 +32 +00 +8c +00 +52 +00 +d5 +10 +35 +10 +23 +20 +54 +00 +d2 +00 +43 +00 +b3 +00 +4f +00 +06 +10 +5c +00 +1f +10 +47 +00 +c0 +00 +3c +00 +bc +00 +3d +00 +b0 +00 +3b +00 +d4 +00 +57 +00 +dd +00 +9d +00 +f3 +20 +a8 +00 +d5 +00 +3e +00 +9e +00 +2a +00 +80 +00 +29 +00 +84 +00 +22 +00 +66 +00 +28 +00 +6b +00 +24 +00 +7e +00 +2f +00 +67 +00 +24 +00 +5b +00 +2d +00 +c5 +00 +6a +00 +1b +10 +55 +00 +c3 +00 +46 +00 +82 +00 +2d +00 +75 +00 +29 +00 +72 +00 +2e +00 +68 +00 +27 +00 +61 +00 +22 +00 +6e +00 +26 +00 +7b +00 +25 +00 +72 +00 +33 +00 +77 +00 +22 +00 +5e +00 +7f +00 +84 +10 +3c +00 +72 +00 +28 +00 +64 +00 +2d +00 +9e +00 +54 +00 +de +00 +3b +00 +ad +00 +7b +00 +4e +10 +59 +00 +a6 +00 +1f +00 +6b +00 +2a +00 +70 +00 +29 +00 +6a +00 +2a +00 +98 +00 +6a +00 +c5 +00 +3d +00 +85 +00 +34 +00 +a3 +00 +88 +00 +36 +20 +1c +10 +a8 +30 +7d +10 +94 +20 +6f +00 +09 +10 +78 +00 +96 +10 +66 +00 +13 +10 +ca +00 +c7 +40 +95 +20 +8e +70 +ac +10 +f4 +20 +66 +00 +eb +00 +47 +00 +4f +10 +84 +10 +c7 +30 +e5 +10 +b8 +60 +87 +20 +67 +60 +14 +10 +f3 +10 +94 +00 +22 +20 +a6 +00 +58 +20 +cd +00 +6f +20 +0b +20 +1f +60 +50 +10 +a2 +20 +91 +00 +b9 +10 +9b +00 +9d +10 +6a +00 +e9 +00 +49 +00 +ec +00 +84 +00 +fc +10 +5f +00 +de +00 +41 +00 +9d +00 +2d +00 +82 +00 +31 +00 +7b +00 +3b +00 +19 +10 +16 +10 +d0 +10 +61 +00 +ed +00 +4f +00 +19 +10 +5e +10 +a0 +40 +da +00 +81 +10 +3f +00 +bd +00 +86 +00 +d2 +10 +b0 +00 +2d +10 +41 +00 +a4 +00 +76 +00 +4e +10 +4d +00 +b9 +00 +38 +00 +8d +00 +33 +00 +88 +00 +37 +00 +86 +00 +26 +00 +7d +00 +2d +00 +7c +00 +2b +00 +73 +00 +37 +00 +9d +00 +23 +00 +6c +00 +27 +00 +69 +00 +4d +00 +3e +10 +3d +00 +7b +00 +35 +00 +83 +00 +2d +00 +85 +00 +36 +00 +85 +00 +31 +00 +76 +00 +29 +00 +88 +00 +2e +00 +8f +00 +37 +00 +80 +00 +32 +00 +7a +00 +2e +00 +8a +00 +49 +00 +6b +10 +23 +10 +37 +20 +82 +00 +7e +10 +ea +00 +b0 +40 +03 +20 +23 +50 +8c +10 +f3 +20 +56 +00 +ba +00 +2b +00 +6c +00 +28 +00 +64 +00 +28 +00 +56 +00 +21 +00 +52 +00 +26 +00 +59 +00 +1c +00 +5c +00 +22 +00 +53 +00 +1e +00 +54 +00 +22 +00 +4e +00 +1a +00 +4c +00 +28 +00 +51 +00 +1d +00 +50 +00 +1d +00 +58 +00 +20 +00 +5f +00 +25 +00 +68 +00 +24 +00 +58 +00 +1f +00 +52 +00 +20 +00 +58 +00 +21 +00 +4e +00 +1c +00 +49 +00 +1a +00 +4d +00 +32 +00 +71 +00 +1e +00 +50 +00 +18 +00 +5a +00 +20 +00 +62 +00 +1c +00 +4c +00 +1f +00 +8e +00 +ee +00 +a7 +30 +b7 +10 +f7 +30 +88 +00 +c5 +00 +1f +00 +5e +00 +22 +00 +4e +00 +1f +00 +48 +00 +1a +00 +4c +00 +1c +00 +64 +00 +24 +00 +6c +00 +3b +00 +d8 +00 +f5 +00 +b2 +20 +57 +00 +94 +00 +23 +00 +71 +00 +31 +00 +f4 +00 +5d +00 +b3 +00 +3f +00 +17 +10 +56 +10 +4e +20 +41 +00 +96 +00 +28 +00 +66 +00 +21 +00 +4c +00 +1f +00 +4b +00 +17 +00 +54 +00 +3d +00 +e8 +00 +2b +00 +64 +00 +25 +00 +4b +00 +23 +00 +52 +00 +1d +00 +4c +00 +1b +00 +3c +00 +1b +00 +37 +00 +16 +00 +34 +00 +16 +00 +34 +00 +15 +00 +2f +00 +14 +00 +29 +00 +15 +00 +31 +00 +1e +00 +32 +00 +16 +00 +38 +00 +1a +00 +3b +00 +15 +00 +43 +00 +1b +00 +3e +00 +20 +00 +43 +00 +1a +00 +4a +00 +1f +00 +4e +00 +18 +00 +4c +00 +20 +00 +5a +00 +1f +00 +59 +00 +23 +00 +51 +00 +1e +00 +4f +00 +1d +00 +47 +00 +1d +00 +50 +00 +1b +00 +4f +00 +2b +00 +70 +00 +25 +00 +48 +00 +28 +00 +7d +00 +cc +00 +fe +00 +32 +00 +71 +00 +27 +00 +75 +00 +31 +00 +75 +00 +2f +00 +61 +00 +19 +00 +61 +00 +16 +00 +4d +00 +22 +00 +6c +00 +25 +00 +68 +00 +68 +00 +d3 +00 +24 +00 +54 +00 +1b +00 +46 +00 +1a +00 +3f +00 +20 +00 +44 +00 +1c +00 +44 +00 +20 +00 +47 +00 +22 +00 +47 +00 +16 +00 +3f +00 +18 +00 +40 +00 +1d +00 +48 +00 +16 +00 +48 +00 +18 +00 +40 +00 +20 +00 +3e +00 +1c +00 +57 +00 +29 +00 +bd +00 +35 +00 +4c +00 +1b +00 +56 +00 +19 +00 +a2 +00 +6d +00 +cb +00 +53 +00 +b5 +00 +00 +00 +00 +00 +91 +00 +69 +00 +99 +00 +65 +00 +a7 +00 +60 +00 +a1 +00 +6d +00 +aa +00 +69 +00 +94 +00 +5a +00 +85 +00 +5d +00 +a5 +00 +64 +00 +99 +00 +64 +00 +ad +00 +60 +00 +93 +00 +5c +00 +7c +00 +4b +00 +59 +00 +43 +00 +57 +00 +36 +00 +56 +00 +36 +00 +5d +00 +43 +00 +61 +00 +4b +00 +69 +00 +4e +00 +69 +00 +5d +00 +95 +00 +8f +00 +c2 +00 +63 +00 +77 +00 +88 +00 +cf +00 +ae +00 +44 +10 +c7 +00 +3a +10 +f2 +00 +83 +10 +a1 +00 +bd +00 +75 +00 +92 +00 +83 +00 +ce +00 +64 +10 +13 +30 +89 +10 +4f +10 +c1 +00 +b1 +00 +7f +00 +b5 +00 +aa +00 +b5 +00 +9a +00 +ea +00 +95 +00 +c1 +00 +4b +10 +0b +20 +c3 +00 +ad +00 +7a +00 +ac +00 +89 +00 +e1 +00 +fe +00 +4e +20 +12 +10 +9f +10 +d7 +00 +a7 +00 +51 +00 +6f +00 +4e +00 +79 +00 +3f +00 +6d +00 +40 +00 +77 +00 +42 +00 +72 +00 +45 +00 +76 +00 +4d +00 +78 +00 +7b +00 +cc +00 +7a +00 +b2 +00 +60 +00 +7b +00 +75 +00 +ad +00 +54 +00 +84 +00 +44 +00 +66 +00 +3a +00 +5a +00 +39 +00 +59 +00 +4c +00 +86 +00 +46 +00 +70 +00 +3f +00 +6d +00 +31 +00 +56 +00 +51 +00 +1e +10 +6c +00 +e0 +00 +4b +00 +66 +00 +5c +00 +90 +00 +5a +00 +a2 +00 +5b +00 +8b +00 +78 +00 +a1 +00 +5f +00 +c1 +00 +63 +00 +69 +00 +3c +00 +75 +00 +36 +00 +63 +00 +44 +00 +88 +00 +64 +00 +b1 +10 +82 +00 +ac +00 +61 +00 +93 +00 +5b +10 +fc +30 +2c +30 +24 +60 +12 +40 +e8 +60 +cb +30 +83 +30 +1d +20 +11 +30 +d9 +20 +e1 +20 +1e +20 +67 +20 +cc +30 +8c +70 +c0 +40 +62 +60 +1f +20 +71 +10 +cb +00 +d5 +00 +e3 +10 +15 +50 +be +30 +4e +60 +d1 +40 +e9 +70 +39 +30 +33 +30 +78 +10 +3c +10 +a1 +10 +1e +30 +be +30 +00 +60 +15 +40 +78 +60 +15 +40 +e1 +40 +5e +20 +b5 +10 +28 +10 +5e +10 +3a +10 +78 +10 +cc +10 +48 +10 +d6 +10 +1f +30 +20 +30 +1f +30 +51 +10 +f4 +00 +a1 +00 +9c +00 +5d +00 +7c +00 +6d +00 +a2 +00 +60 +10 +88 +20 +0e +10 +4a +10 +01 +10 +f5 +00 +3f +20 +e2 +40 +57 +30 +b5 +30 +ce +10 +36 +10 +30 +10 +10 +20 +1b +30 +db +40 +98 +10 +1b +10 +de +00 +cd +00 +b5 +00 +e9 +00 +d7 +00 +cb +00 +9c +00 +aa +00 +9c +00 +82 +00 +7e +00 +a5 +00 +68 +00 +7f +00 +49 +00 +77 +00 +43 +00 +77 +00 +3b +00 +77 +00 +43 +00 +70 +00 +4b +00 +a2 +00 +51 +00 +a1 +00 +51 +00 +7c +00 +46 +00 +80 +00 +41 +00 +7e +00 +41 +00 +69 +00 +47 +00 +74 +00 +48 +00 +88 +00 +46 +00 +97 +00 +46 +00 +7c +00 +54 +00 +7b +00 +6a +00 +c0 +00 +99 +00 +22 +10 +c5 +00 +48 +10 +ea +00 +46 +10 +e1 +10 +52 +50 +c1 +10 +80 +20 +fb +00 +3e +10 +86 +00 +7e +00 +49 +00 +68 +00 +33 +00 +5f +00 +33 +00 +5a +00 +2d +00 +59 +00 +2f +00 +54 +00 +2f +00 +55 +00 +35 +00 +51 +00 +2a +00 +51 +00 +29 +00 +4c +00 +2e +00 +54 +00 +2f +00 +58 +00 +30 +00 +64 +00 +45 +00 +60 +00 +30 +00 +6e +00 +53 +00 +63 +00 +2e +00 +56 +00 +2f +00 +4d +00 +2a +00 +5a +00 +23 +00 +4e +00 +1f +00 +4c +00 +2c +00 +53 +00 +32 +00 +5b +00 +2d +00 +51 +00 +29 +00 +4a +00 +33 +00 +44 +00 +31 +00 +52 +00 +84 +00 +2f +10 +96 +20 +38 +60 +04 +20 +36 +20 +c6 +00 +7a +00 +47 +00 +46 +00 +2f +00 +4c +00 +2b +00 +52 +00 +3a +00 +73 +00 +36 +00 +60 +00 +68 +00 +ee +00 +65 +10 +6f +10 +a6 +00 +01 +10 +87 +00 +86 +00 +4f +00 +79 +00 +77 +00 +d0 +00 +68 +00 +99 +00 +72 +00 +01 +10 +85 +00 +ec +00 +67 +00 +70 +00 +3b +00 +60 +00 +30 +00 +4c +00 +27 +00 +55 +00 +33 +00 +62 +00 +31 +00 +81 +00 +37 +00 +4e +00 +26 +00 +46 +00 +2b +00 +4e +00 +23 +00 +51 +00 +27 +00 +3e +00 +24 +00 +3c +00 +2e +00 +34 +00 +1f +00 +32 +00 +1e +00 +35 +00 +1f +00 +2b +00 +19 +00 +35 +00 +1f +00 +2f +00 +23 +00 +3d +00 +24 +00 +40 +00 +1e +00 +41 +00 +27 +00 +39 +00 +28 +00 +46 +00 +2a +00 +48 +00 +26 +00 +50 +00 +28 +00 +58 +00 +25 +00 +53 +00 +29 +00 +52 +00 +27 +00 +42 +00 +27 +00 +5f +00 +3a +00 +4c +00 +32 +00 +51 +00 +2b +00 +57 +00 +2c +00 +49 +00 +2e +00 +5e +00 +59 +00 +bc +10 +78 +00 +a9 +00 +65 +00 +66 +00 +5b +00 +55 +00 +44 +00 +50 +00 +39 +00 +47 +00 +30 +00 +4b +00 +33 +00 +51 +00 +37 +00 +6a +00 +61 +00 +cd +10 +41 +10 +d6 +00 +4a +00 +50 +00 +22 +00 +49 +00 +29 +00 +4e +00 +2b +00 +4e +00 +28 +00 +57 +00 +28 +00 +42 +00 +23 +00 +41 +00 +29 +00 +41 +00 +29 +00 +3f +00 +1d +00 +3f +00 +21 +00 +3f +00 +1f +00 +3b +00 +26 +00 +3f +00 +28 +00 +5c +00 +31 +00 +4d +00 +2f +00 +47 +00 +2a +00 +41 +00 +36 +00 +72 +00 +39 +00 +93 +00 +3b +00 +00 +00 +00 +00 +34 +00 +96 +00 +2f +00 +98 +00 +38 +00 +a8 +00 +35 +00 +9f +00 +3a +00 +95 +00 +35 +00 +99 +00 +31 +00 +8a +00 +37 +00 +98 +00 +30 +00 +95 +00 +3d +00 +a1 +00 +39 +00 +8c +00 +31 +00 +6e +00 +27 +00 +58 +00 +1f +00 +52 +00 +1e +00 +51 +00 +22 +00 +50 +00 +1f +00 +55 +00 +1e +00 +5d +00 +21 +00 +66 +00 +49 +00 +33 +10 +4d +00 +8d +00 +30 +00 +83 +00 +35 +00 +e4 +00 +53 +00 +cf +00 +65 +00 +4e +10 +5e +00 +e5 +00 +2a +00 +a8 +00 +35 +00 +93 +00 +77 +00 +cf +20 +87 +10 +c5 +30 +f1 +00 +82 +10 +3f +00 +94 +00 +2a +00 +a9 +00 +31 +00 +a0 +00 +3a +00 +9f +00 +7f +00 +98 +20 +3e +10 +c5 +10 +3f +00 +a9 +00 +3b +00 +93 +00 +47 +00 +ec +00 +75 +00 +86 +10 +cc +00 +22 +20 +6f +00 +a9 +00 +2a +00 +76 +00 +25 +00 +78 +00 +2a +00 +73 +00 +24 +00 +74 +00 +20 +00 +77 +00 +2a +00 +5f +00 +22 +00 +73 +00 +31 +00 +b8 +00 +3f +00 +b8 +00 +33 +00 +a4 +00 +6a +00 +03 +10 +31 +00 +73 +00 +26 +00 +64 +00 +26 +00 +6a +00 +20 +00 +6b +00 +46 +00 +7d +00 +20 +00 +5f +00 +26 +00 +56 +00 +1f +00 +75 +00 +2e +00 +94 +00 +32 +00 +7f +00 +30 +00 +7e +00 +39 +00 +9b +00 +29 +00 +83 +00 +2b +00 +71 +00 +29 +00 +89 +00 +36 +00 +84 +00 +2f +00 +7c +00 +27 +00 +60 +00 +24 +00 +72 +00 +24 +00 +81 +00 +4d +00 +bc +00 +3b +00 +7e +00 +39 +00 +5a +10 +ef +10 +d1 +50 +88 +20 +68 +70 +bc +20 +7e +70 +f1 +10 +c6 +40 +8f +10 +90 +50 +df +10 +63 +40 +d3 +10 +7c +50 +e1 +20 +73 +80 +d3 +10 +f6 +20 +68 +00 +e1 +00 +6a +00 +7b +20 +39 +20 +be +50 +14 +20 +82 +70 +e2 +20 +bc +50 +d5 +00 +a3 +10 +7d +00 +99 +20 +0e +20 +b2 +60 +ba +20 +82 +70 +a1 +20 +8b +70 +cf +10 +2b +30 +8c +00 +65 +10 +67 +00 +77 +10 +6d +10 +74 +40 +19 +10 +77 +20 +f5 +10 +a2 +60 +87 +10 +8d +20 +59 +00 +d3 +00 +3b +00 +8f +00 +31 +00 +8d +00 +96 +00 +50 +30 +15 +10 +f9 +10 +6b +00 +27 +10 +a1 +00 +fe +30 +89 +20 +fe +60 +79 +10 +5f +30 +c0 +00 +6a +10 +77 +10 +0b +60 +38 +20 +43 +30 +77 +00 +39 +10 +71 +00 +3d +10 +c2 +00 +20 +20 +c7 +00 +2d +10 +62 +00 +95 +10 +65 +00 +d0 +00 +41 +00 +aa +00 +3a +00 +8d +00 +37 +00 +7c +00 +2c +00 +6f +00 +31 +00 +67 +00 +27 +00 +6d +00 +33 +00 +8d +00 +29 +00 +8f +00 +2e +00 +83 +00 +29 +00 +73 +00 +24 +00 +79 +00 +2f +00 +70 +00 +2f +00 +6c +00 +33 +00 +76 +00 +2d +00 +7e +00 +2b +00 +83 +00 +2b +00 +8c +00 +3d +00 +cb +00 +4e +00 +eb +00 +55 +00 +07 +10 +69 +00 +0a +20 +f8 +00 +23 +20 +88 +00 +49 +10 +45 +00 +b8 +00 +2c +00 +66 +00 +23 +00 +66 +00 +26 +00 +5d +00 +22 +00 +59 +00 +24 +00 +4c +00 +23 +00 +4c +00 +22 +00 +56 +00 +20 +00 +54 +00 +1f +00 +4b +00 +21 +00 +4d +00 +26 +00 +49 +00 +19 +00 +5d +00 +25 +00 +5b +00 +22 +00 +52 +00 +27 +00 +75 +00 +30 +00 +64 +00 +21 +00 +43 +00 +21 +00 +49 +00 +1d +00 +54 +00 +1a +00 +4e +00 +23 +00 +56 +00 +20 +00 +5c +00 +22 +00 +54 +00 +1b +00 +52 +00 +19 +00 +48 +00 +1c +00 +40 +00 +21 +00 +78 +00 +88 +00 +17 +40 +bb +10 +da +20 +84 +00 +ff +00 +2b +00 +5a +00 +1b +00 +52 +00 +1f +00 +48 +00 +1f +00 +55 +00 +2a +00 +67 +00 +2b +00 +93 +00 +ee +00 +37 +30 +01 +10 +5f +10 +49 +00 +ab +00 +33 +00 +6f +00 +35 +00 +7e +00 +2b +00 +77 +00 +2a +00 +7c +00 +38 +00 +a8 +00 +2f +00 +84 +00 +23 +00 +5a +00 +21 +00 +57 +00 +1d +00 +4e +00 +1d +00 +4d +00 +20 +00 +58 +00 +29 +00 +60 +00 +23 +00 +43 +00 +1a +00 +40 +00 +1c +00 +41 +00 +16 +00 +46 +00 +12 +00 +38 +00 +17 +00 +30 +00 +16 +00 +37 +00 +12 +00 +2f +00 +12 +00 +2e +00 +0e +00 +2e +00 +16 +00 +2f +00 +14 +00 +37 +00 +17 +00 +3c +00 +15 +00 +42 +00 +16 +00 +46 +00 +15 +00 +4f +00 +22 +00 +43 +00 +26 +00 +47 +00 +1a +00 +4f +00 +21 +00 +60 +00 +1a +00 +47 +00 +23 +00 +61 +00 +26 +00 +4b +00 +37 +00 +ee +00 +28 +00 +5c +00 +23 +00 +60 +00 +21 +00 +55 +00 +1e +00 +4c +00 +27 +00 +7f +00 +50 +00 +bc +00 +38 +00 +ea +00 +69 +00 +b0 +00 +24 +00 +61 +00 +20 +00 +43 +00 +14 +00 +3b +00 +1d +00 +3f +00 +1f +00 +5b +00 +1e +00 +82 +00 +9d +00 +81 +20 +5a +00 +8e +00 +1b +00 +50 +00 +1b +00 +4f +00 +1e +00 +50 +00 +22 +00 +4a +00 +19 +00 +41 +00 +1b +00 +3c +00 +19 +00 +42 +00 +19 +00 +47 +00 +1e +00 +42 +00 +21 +00 +3d +00 +19 +00 +43 +00 +18 +00 +47 +00 +18 +00 +42 +00 +1d +00 +5b +00 +21 +00 +50 +00 +20 +00 +3f +00 +17 +00 +5e +00 +24 +00 +79 +00 +2b +00 +71 +00 +00 +00 +00 +00 +96 +00 +62 +00 +99 +00 +64 +00 +8a +00 +69 +00 +9b +00 +6d +00 +97 +00 +68 +00 +94 +00 +6b +00 +8e +00 +62 +00 +94 +00 +6d +00 +a5 +00 +61 +00 +94 +00 +62 +00 +9e +00 +5b +00 +73 +00 +49 +00 +65 +00 +34 +00 +48 +00 +33 +00 +4e +00 +37 +00 +54 +00 +35 +00 +66 +00 +39 +00 +5e +00 +40 +00 +6b +00 +4d +00 +8c +00 +63 +00 +b2 +00 +91 +00 +93 +00 +88 +00 +9f +00 +93 +00 +b2 +00 +75 +00 +a9 +00 +7d +00 +eb +00 +87 +00 +8f +00 +7f +00 +3a +10 +87 +00 +a4 +00 +eb +00 +0d +30 +8b +10 +e8 +20 +e2 +00 +e9 +00 +6a +00 +81 +00 +68 +00 +7e +00 +5d +00 +87 +00 +a3 +00 +ab +10 +26 +10 +6a +20 +ca +00 +be +00 +6d +00 +9f +00 +68 +00 +94 +00 +91 +00 +21 +10 +36 +10 +f7 +10 +a2 +00 +ed +00 +64 +00 +71 +00 +47 +00 +75 +00 +40 +00 +5f +00 +40 +00 +71 +00 +45 +00 +66 +00 +3f +00 +64 +00 +40 +00 +6c +00 +4d +00 +82 +00 +79 +00 +1c +10 +6a +00 +91 +00 +4e +00 +ee +00 +78 +00 +bc +00 +43 +00 +67 +00 +34 +00 +64 +00 +3c +00 +6c +00 +45 +00 +6b +00 +45 +00 +72 +00 +3b +00 +62 +00 +34 +00 +57 +00 +39 +00 +73 +00 +3f +00 +74 +00 +43 +00 +7a +00 +59 +00 +76 +00 +50 +00 +75 +00 +47 +00 +65 +00 +4e +00 +6c +00 +67 +00 +7d +00 +46 +00 +7a +00 +42 +00 +6f +00 +41 +00 +68 +00 +4a +00 +6b +00 +4d +00 +90 +00 +4b +00 +88 +00 +60 +00 +87 +00 +08 +10 +6a +30 +aa +20 +65 +40 +05 +30 +7b +70 +1f +40 +9c +60 +2e +40 +73 +40 +b9 +30 +23 +60 +f3 +40 +1a +70 +d4 +40 +17 +70 +1e +50 +dc +60 +57 +20 +66 +10 +e2 +00 +10 +10 +cb +20 +0b +60 +85 +40 +04 +60 +18 +50 +59 +80 +63 +30 +fe +20 +e7 +10 +85 +10 +ee +20 +3f +60 +be +40 +4f +80 +5b +50 +08 +80 +3e +50 +82 +70 +0e +30 +01 +20 +31 +10 +54 +10 +6b +20 +05 +50 +c1 +30 +b4 +60 +a0 +30 +3d +50 +ac +30 +b0 +50 +7a +30 +9c +20 +42 +10 +b5 +00 +7f +00 +8b +00 +9f +00 +7f +10 +f4 +10 +60 +30 +7d +20 +1f +20 +6a +20 +97 +30 +50 +40 +df +70 +78 +40 +3c +50 +12 +30 +85 +40 +90 +20 +df +30 +e5 +30 +29 +70 +d0 +30 +27 +30 +92 +20 +d0 +20 +f6 +10 +2c +40 +1d +20 +5a +40 +68 +10 +3f +20 +66 +20 +29 +30 +0f +10 +a2 +10 +89 +00 +99 +00 +55 +00 +7c +00 +53 +00 +7c +00 +3f +00 +72 +00 +40 +00 +7e +00 +41 +00 +7c +00 +43 +00 +81 +00 +50 +00 +84 +00 +44 +00 +6f +00 +4d +00 +6e +00 +47 +00 +7f +00 +45 +00 +80 +00 +4c +00 +75 +00 +4f +00 +89 +00 +4e +00 +94 +00 +e9 +00 +3b +10 +78 +00 +9e +00 +7a +00 +bc +00 +a2 +00 +00 +10 +b3 +10 +67 +30 +34 +10 +99 +10 +fe +00 +79 +10 +c2 +00 +c6 +00 +72 +00 +7b +00 +73 +00 +a6 +00 +40 +00 +69 +00 +35 +00 +61 +00 +37 +00 +61 +00 +37 +00 +48 +00 +34 +00 +63 +00 +30 +00 +50 +00 +2b +00 +4b +00 +2c +00 +4d +00 +32 +00 +54 +00 +33 +00 +5e +00 +dd +00 +06 +10 +54 +00 +6b +00 +37 +00 +63 +00 +2c +00 +59 +00 +2a +00 +54 +00 +28 +00 +48 +00 +2b +00 +48 +00 +22 +00 +48 +00 +22 +00 +4a +00 +25 +00 +51 +00 +31 +00 +59 +00 +2b +00 +57 +00 +2b +00 +4e +00 +34 +00 +4c +00 +3c +00 +5f +00 +66 +00 +c3 +00 +da +00 +fe +10 +01 +10 +61 +10 +b6 +00 +91 +00 +50 +00 +53 +00 +32 +00 +46 +00 +32 +00 +50 +00 +37 +00 +59 +00 +32 +00 +65 +00 +62 +00 +d5 +00 +c7 +00 +8d +10 +a0 +00 +dd +00 +da +00 +b5 +00 +67 +00 +6e +00 +46 +00 +6c +00 +3f +00 +72 +00 +55 +00 +7d +00 +4e +00 +7a +00 +4a +00 +61 +00 +2e +00 +55 +00 +2d +00 +59 +00 +31 +00 +56 +00 +30 +00 +4a +00 +28 +00 +4d +00 +28 +00 +55 +00 +28 +00 +44 +00 +26 +00 +33 +00 +22 +00 +3e +00 +27 +00 +44 +00 +1d +00 +4a +00 +1b +00 +33 +00 +23 +00 +22 +00 +1a +00 +2e +00 +19 +00 +2a +00 +16 +00 +39 +00 +19 +00 +30 +00 +19 +00 +38 +00 +21 +00 +3b +00 +1c +00 +3e +00 +20 +00 +42 +00 +2b +00 +47 +00 +1f +00 +4c +00 +28 +00 +50 +00 +2b +00 +4e +00 +1e +00 +3d +00 +2c +00 +44 +00 +2f +00 +4e +00 +54 +00 +f1 +00 +f6 +00 +27 +10 +6c +00 +66 +00 +3d +00 +55 +00 +27 +00 +4e +00 +29 +00 +5e +00 +3d +00 +bd +00 +4d +00 +9b +00 +d3 +00 +da +10 +95 +00 +7b +00 +38 +00 +4e +00 +2a +00 +41 +00 +28 +00 +4d +00 +2b +00 +51 +00 +2d +00 +58 +00 +4c +00 +af +00 +4d +00 +cf +00 +54 +00 +5f +00 +35 +00 +4e +00 +25 +00 +53 +00 +2c +00 +4b +00 +20 +00 +4d +00 +22 +00 +4c +00 +1f +00 +3e +00 +23 +00 +44 +00 +1c +00 +3c +00 +1d +00 +4a +00 +20 +00 +41 +00 +25 +00 +35 +00 +1e +00 +41 +00 +22 +00 +4a +00 +2a +00 +4e +00 +30 +00 +46 +00 +34 +00 +41 +00 +2f +00 +51 +00 +31 +00 +5e +00 +2d +00 +00 +00 +00 +00 +3b +00 +95 +00 +33 +00 +95 +00 +35 +00 +98 +00 +35 +00 +9e +00 +3f +00 +94 +00 +39 +00 +92 +00 +30 +00 +91 +00 +3f +00 +94 +00 +3c +00 +99 +00 +34 +00 +95 +00 +3a +00 +8e +00 +36 +00 +73 +00 +21 +00 +57 +00 +25 +00 +57 +00 +1f +00 +47 +00 +18 +00 +57 +00 +2a +00 +63 +00 +22 +00 +70 +00 +23 +00 +6d +00 +28 +00 +95 +00 +3c +00 +c8 +00 +38 +00 +b0 +00 +33 +00 +9b +00 +37 +00 +80 +00 +3b +00 +f5 +00 +3f +00 +8f +00 +3b +00 +ce +00 +4f +00 +b4 +00 +33 +00 +d9 +00 +69 +00 +df +10 +9d +00 +11 +10 +3b +00 +8c +00 +29 +00 +7c +00 +2b +00 +7d +00 +2b +00 +9b +00 +a0 +00 +c2 +10 +71 +00 +02 +10 +3d +00 +92 +00 +2b +00 +7f +00 +27 +00 +b9 +00 +ab +00 +67 +20 +7e +00 +fd +00 +37 +00 +87 +00 +29 +00 +72 +00 +22 +00 +78 +00 +32 +00 +69 +00 +24 +00 +6b +00 +29 +00 +5c +00 +22 +00 +71 +00 +2c +00 +7d +00 +36 +00 +82 +00 +40 +00 +8b +00 +33 +00 +6c +00 +2f +00 +91 +00 +47 +00 +92 +00 +1e +00 +53 +00 +22 +00 +77 +00 +23 +00 +6d +00 +33 +00 +60 +00 +27 +00 +52 +00 +1f +00 +3d +00 +20 +00 +6c +00 +28 +00 +72 +00 +27 +00 +6d +00 +2b +00 +86 +00 +37 +00 +73 +00 +29 +00 +6b +00 +2a +00 +66 +00 +2b +00 +9f +00 +2d +00 +7c +00 +2d +00 +75 +00 +33 +00 +70 +00 +27 +00 +68 +00 +28 +00 +70 +00 +2b +00 +78 +00 +29 +00 +6c +00 +36 +00 +e1 +00 +08 +10 +21 +40 +ec +00 +b9 +20 +da +10 +2a +60 +9f +20 +ff +60 +ce +10 +77 +50 +8d +20 +ca +70 +21 +30 +46 +80 +93 +20 +d7 +70 +54 +20 +ad +30 +71 +00 +e7 +00 +83 +00 +8e +30 +73 +20 +fa +70 +b9 +20 +2a +80 +e5 +20 +90 +50 +de +00 +31 +20 +b5 +00 +56 +30 +74 +20 +16 +80 +02 +30 +c6 +80 +27 +30 +b2 +80 +95 +20 +c3 +40 +b4 +00 +a9 +10 +bb +00 +e4 +30 +6c +20 +61 +70 +60 +20 +09 +60 +37 +20 +a3 +60 +87 +20 +65 +60 +97 +10 +8a +20 +47 +00 +ac +00 +3a +00 +ab +00 +f2 +00 +80 +30 +5c +10 +89 +40 +37 +10 +a5 +40 +6b +20 +72 +70 +21 +30 +5d +80 +ae +10 +e5 +40 +d8 +10 +d9 +40 +a8 +10 +85 +60 +f2 +20 +8f +70 +23 +20 +d1 +40 +c1 +10 +3d +30 +76 +10 +33 +30 +c2 +00 +c6 +10 +43 +10 +24 +50 +17 +10 +ad +10 +56 +00 +e0 +00 +49 +00 +ce +00 +36 +00 +81 +00 +31 +00 +6e +00 +24 +00 +66 +00 +27 +00 +78 +00 +31 +00 +87 +00 +33 +00 +79 +00 +2c +00 +87 +00 +34 +00 +6c +00 +26 +00 +8a +00 +35 +00 +81 +00 +32 +00 +7e +00 +29 +00 +8c +00 +30 +00 +92 +00 +67 +00 +76 +20 +97 +00 +f9 +00 +36 +00 +af +00 +42 +00 +bd +00 +48 +00 +7d +10 +1b +10 +0b +20 +7f +00 +5c +10 +54 +00 +dc +00 +2e +00 +86 +00 +38 +00 +c7 +00 +35 +00 +7d +00 +2d +00 +60 +00 +22 +00 +69 +00 +20 +00 +5f +00 +23 +00 +4b +00 +1e +00 +58 +00 +20 +00 +42 +00 +1b +00 +4a +00 +1d +00 +50 +00 +20 +00 +50 +00 +2f +00 +be +10 +a7 +00 +bf +00 +27 +00 +6f +00 +24 +00 +4b +00 +20 +00 +5b +00 +19 +00 +4b +00 +29 +00 +4c +00 +1c +00 +54 +00 +1a +00 +3f +00 +1d +00 +52 +00 +1d +00 +54 +00 +22 +00 +5b +00 +23 +00 +4b +00 +22 +00 +83 +00 +27 +00 +b4 +00 +28 +00 +6c +00 +2c +00 +e2 +00 +60 +00 +1a +10 +5f +00 +64 +10 +46 +00 +66 +00 +1d +00 +41 +00 +1c +00 +4b +00 +20 +00 +58 +00 +24 +00 +64 +00 +23 +00 +78 +00 +3a +00 +d1 +00 +57 +00 +e4 +00 +40 +00 +4d +10 +aa +00 +cf +00 +2f +00 +77 +00 +23 +00 +6f +00 +2b +00 +80 +00 +2b +00 +72 +00 +26 +00 +6b +00 +23 +00 +51 +00 +1c +00 +4e +00 +26 +00 +53 +00 +1d +00 +4f +00 +1e +00 +5c +00 +15 +00 +48 +00 +22 +00 +5d +00 +20 +00 +3e +00 +1a +00 +31 +00 +20 +00 +3e +00 +1d +00 +3a +00 +19 +00 +3f +00 +18 +00 +2f +00 +10 +00 +33 +00 +10 +00 +26 +00 +19 +00 +35 +00 +13 +00 +36 +00 +16 +00 +34 +00 +15 +00 +34 +00 +16 +00 +41 +00 +1e +00 +49 +00 +1e +00 +48 +00 +15 +00 +4f +00 +22 +00 +51 +00 +1e +00 +4e +00 +1d +00 +4f +00 +20 +00 +38 +00 +1b +00 +4b +00 +19 +00 +6b +00 +65 +00 +5c +10 +b3 +00 +72 +10 +2a +00 +64 +00 +1e +00 +48 +00 +1e +00 +4c +00 +1c +00 +62 +00 +40 +00 +9e +00 +33 +00 +ca +00 +5c +00 +b5 +00 +2b +00 +65 +00 +20 +00 +42 +00 +1a +00 +45 +00 +1c +00 +4a +00 +21 +00 +67 +00 +27 +00 +60 +00 +2a +00 +8f +00 +32 +00 +76 +00 +22 +00 +5d +00 +22 +00 +4a +00 +21 +00 +47 +00 +1f +00 +50 +00 +1c +00 +42 +00 +1e +00 +49 +00 +1a +00 +48 +00 +17 +00 +39 +00 +1b +00 +3f +00 +20 +00 +51 +00 +20 +00 +4a +00 +1e +00 +47 +00 +1d +00 +4f +00 +1b +00 +4f +00 +29 +00 +62 +00 +25 +00 +49 +00 +1e +00 +46 +00 +24 +00 +65 +00 +18 +00 +51 +00 +00 +00 +00 +00 +8a +00 +6d +00 +8d +00 +6f +00 +94 +00 +60 +00 +90 +00 +6c +00 +9d +00 +63 +00 +88 +00 +68 +00 +94 +00 +60 +00 +9b +00 +5d +00 +9a +00 +71 +00 +9e +00 +62 +00 +91 +00 +58 +00 +76 +00 +3c +00 +59 +00 +39 +00 +5b +00 +3e +00 +5c +00 +2f +00 +54 +00 +3e +00 +5b +00 +38 +00 +6c +00 +44 +00 +64 +00 +4d +00 +80 +00 +23 +10 +8e +20 +e6 +10 +8d +20 +e4 +00 +a0 +00 +8c +00 +96 +00 +67 +00 +9c +00 +90 +00 +ef +00 +74 +00 +7f +00 +50 +00 +87 +00 +5d +00 +94 +00 +89 +00 +e7 +00 +a0 +00 +f4 +00 +7e +00 +90 +00 +4c +00 +6f +00 +55 +00 +70 +00 +7a +00 +96 +00 +83 +00 +c7 +00 +85 +00 +fc +00 +83 +00 +98 +00 +53 +00 +7c +00 +54 +00 +85 +00 +78 +00 +c6 +00 +8d +00 +22 +10 +7a +00 +92 +00 +56 +00 +6c +00 +3e +00 +6a +00 +43 +00 +74 +00 +42 +00 +69 +00 +69 +00 +75 +00 +49 +00 +69 +00 +47 +00 +75 +00 +40 +00 +68 +00 +45 +00 +77 +00 +3a +00 +68 +00 +48 +00 +7a +00 +4d +00 +91 +00 +51 +00 +6e +00 +36 +00 +62 +00 +40 +00 +66 +00 +3b +00 +74 +00 +3e +00 +57 +00 +32 +00 +4d +00 +32 +00 +5a +00 +38 +00 +5c +00 +40 +00 +57 +00 +3d +00 +66 +00 +35 +00 +62 +00 +3e +00 +64 +00 +3e +00 +69 +00 +7d +00 +bf +00 +6a +00 +7d +00 +4c +00 +78 +00 +44 +00 +78 +00 +45 +00 +75 +00 +40 +00 +6b +00 +3d +00 +7a +00 +43 +00 +6d +00 +57 +00 +83 +00 +b1 +00 +52 +10 +16 +10 +45 +20 +bb +10 +5f +20 +26 +30 +47 +70 +b0 +40 +28 +60 +63 +40 +23 +70 +54 +50 +78 +80 +da +50 +61 +80 +ef +40 +14 +70 +8c +20 +b0 +10 +fc +00 +2f +10 +ec +20 +de +60 +2e +50 +b7 +80 +d3 +50 +b3 +80 +51 +40 +f0 +30 +dd +30 +f1 +30 +e7 +20 +d6 +50 +11 +50 +ab +80 +c2 +50 +c8 +80 +23 +50 +31 +70 +c9 +20 +68 +20 +f3 +10 +87 +30 +75 +30 +16 +60 +e3 +30 +91 +60 +7b +40 +c4 +60 +80 +40 +92 +60 +a4 +40 +61 +60 +2d +20 +5d +10 +b6 +00 +9d +00 +35 +10 +bb +20 +65 +20 +89 +30 +ff +20 +5c +50 +87 +40 +65 +70 +2f +50 +91 +80 +64 +40 +1a +50 +67 +40 +c7 +60 +34 +40 +50 +60 +65 +40 +69 +70 +d8 +30 +83 +50 +ab +20 +72 +30 +e5 +10 +40 +20 +4b +10 +b2 +10 +07 +10 +c9 +10 +98 +10 +60 +20 +06 +10 +ef +00 +71 +00 +bf +00 +70 +00 +b5 +00 +51 +00 +6b +00 +48 +00 +5d +00 +42 +00 +6e +00 +48 +00 +82 +00 +4f +00 +84 +00 +4b +00 +88 +00 +62 +00 +8e +00 +5a +00 +82 +00 +57 +00 +87 +00 +53 +00 +81 +00 +5c +00 +92 +00 +56 +00 +91 +00 +56 +00 +b5 +00 +85 +00 +24 +10 +81 +00 +cf +00 +69 +00 +a8 +00 +6c +00 +ac +00 +8e +00 +fa +00 +4f +10 +d0 +10 +3f +10 +04 +10 +a1 +00 +86 +00 +4d +00 +7d +00 +3a +00 +76 +00 +45 +00 +69 +00 +35 +00 +66 +00 +30 +00 +53 +00 +41 +00 +5f +00 +3f +00 +5e +00 +3a +00 +4f +00 +3f +00 +50 +00 +3c +00 +56 +00 +29 +00 +61 +00 +3a +00 +62 +00 +52 +00 +9d +00 +6a +00 +95 +00 +47 +00 +5b +00 +2e +00 +54 +00 +2f +00 +4a +00 +25 +00 +45 +00 +21 +00 +45 +00 +25 +00 +42 +00 +25 +00 +4a +00 +30 +00 +55 +00 +2d +00 +4a +00 +2f +00 +46 +00 +22 +00 +56 +00 +2c +00 +69 +00 +34 +00 +73 +00 +51 +00 +76 +00 +87 +00 +c4 +00 +88 +00 +b7 +00 +b6 +00 +25 +10 +52 +00 +54 +00 +36 +00 +52 +00 +2a +00 +59 +00 +34 +00 +5b +00 +47 +00 +5d +00 +4b +00 +8f +00 +5c +00 +b5 +00 +81 +00 +b2 +00 +5c +10 +e5 +20 +af +00 +a4 +00 +52 +00 +65 +00 +4e +00 +7f +00 +a1 +00 +00 +10 +66 +00 +84 +00 +41 +00 +51 +00 +2c +00 +4f +00 +2d +00 +4d +00 +2e +00 +50 +00 +2a +00 +4f +00 +30 +00 +44 +00 +2c +00 +4a +00 +30 +00 +66 +00 +28 +00 +3b +00 +26 +00 +3c +00 +1e +00 +41 +00 +1d +00 +3d +00 +1b +00 +30 +00 +15 +00 +2f +00 +1d +00 +2e +00 +18 +00 +2c +00 +1d +00 +2e +00 +20 +00 +37 +00 +1f +00 +36 +00 +18 +00 +40 +00 +22 +00 +3d +00 +29 +00 +4c +00 +25 +00 +4d +00 +25 +00 +4d +00 +25 +00 +4a +00 +24 +00 +48 +00 +1f +00 +47 +00 +22 +00 +40 +00 +24 +00 +43 +00 +41 +00 +90 +00 +a7 +00 +1e +30 +e6 +00 +cc +00 +51 +00 +59 +00 +2a +00 +50 +00 +2b +00 +4f +00 +38 +00 +72 +00 +3d +00 +6a +00 +48 +00 +86 +00 +3e +00 +6d +00 +32 +00 +4c +00 +26 +00 +4c +00 +27 +00 +47 +00 +23 +00 +48 +00 +27 +00 +56 +00 +3f +00 +63 +00 +42 +00 +76 +00 +4a +00 +59 +00 +34 +00 +5b +00 +27 +00 +58 +00 +23 +00 +50 +00 +2d +00 +4d +00 +30 +00 +47 +00 +2a +00 +44 +00 +20 +00 +4b +00 +37 +00 +41 +00 +2d +00 +4a +00 +28 +00 +41 +00 +28 +00 +40 +00 +28 +00 +4e +00 +2d +00 +4d +00 +35 +00 +5c +00 +47 +00 +06 +10 +4d +00 +53 +00 +26 +00 +52 +00 +26 +00 +4f +00 +35 +00 +00 +00 +00 +00 +36 +00 +87 +00 +37 +00 +96 +00 +34 +00 +9b +00 +36 +00 +95 +00 +35 +00 +a9 +00 +32 +00 +8f +00 +39 +00 +a4 +00 +30 +00 +a0 +00 +36 +00 +99 +00 +31 +00 +a0 +00 +30 +00 +7d +00 +20 +00 +62 +00 +1f +00 +5a +00 +21 +00 +60 +00 +1d +00 +61 +00 +1e +00 +5e +00 +21 +00 +4b +00 +26 +00 +67 +00 +2a +00 +75 +00 +38 +00 +72 +10 +a6 +10 +88 +40 +07 +10 +8b +10 +41 +00 +b6 +00 +31 +00 +7d +00 +32 +00 +ab +00 +3a +00 +97 +00 +29 +00 +79 +00 +2c +00 +81 +00 +2d +00 +b7 +00 +3d +00 +b9 +00 +3c +00 +aa +00 +28 +00 +6f +00 +29 +00 +7a +00 +5d +00 +98 +10 +5b +00 +9e +00 +34 +00 +d0 +00 +44 +00 +a6 +00 +31 +00 +75 +00 +28 +00 +79 +00 +25 +00 +77 +00 +39 +00 +b8 +00 +34 +00 +ae +00 +2c +00 +72 +00 +23 +00 +66 +00 +22 +00 +78 +00 +27 +00 +65 +00 +26 +00 +b2 +00 +5d +00 +92 +00 +2b +00 +81 +00 +29 +00 +57 +00 +20 +00 +61 +00 +26 +00 +61 +00 +29 +00 +66 +00 +2d +00 +61 +00 +24 +00 +5d +00 +22 +00 +5d +00 +1d +00 +5f +00 +24 +00 +66 +00 +24 +00 +65 +00 +23 +00 +51 +00 +18 +00 +4d +00 +24 +00 +60 +00 +29 +00 +5e +00 +1e +00 +56 +00 +24 +00 +54 +00 +26 +00 +60 +00 +27 +00 +5e +00 +25 +00 +e5 +00 +c1 +00 +2d +10 +2b +00 +78 +00 +2b +00 +76 +00 +29 +00 +88 +00 +2c +00 +85 +00 +30 +00 +68 +00 +20 +00 +72 +00 +2e +00 +74 +00 +2b +00 +b7 +00 +54 +00 +22 +10 +70 +00 +71 +10 +98 +00 +5f +30 +9c +20 +15 +80 +2a +20 +83 +50 +bc +20 +72 +80 +49 +30 +37 +90 +01 +30 +88 +70 +46 +20 +d4 +30 +75 +00 +f6 +00 +90 +00 +c6 +30 +80 +20 +69 +80 +38 +30 +10 +90 +3b +30 +23 +80 +22 +20 +98 +60 +1b +20 +cb +30 +f7 +10 +a8 +70 +2f +30 +b7 +80 +de +20 +09 +80 +be +10 +9d +30 +b5 +00 +10 +20 +67 +10 +e6 +40 +df +10 +da +50 +1b +20 +59 +60 +64 +20 +bf +70 +1b +30 +4a +80 +20 +20 +88 +30 +67 +00 +d1 +00 +4a +00 +82 +10 +80 +10 +8d +30 +74 +10 +a5 +50 +8f +20 +7d +70 +e2 +20 +78 +80 +da +20 +83 +60 +be +10 +66 +60 +d2 +20 +b9 +60 +80 +20 +47 +60 +27 +20 +0c +50 +5f +10 +0c +30 +46 +10 +47 +40 +df +00 +af +10 +6a +00 +44 +10 +a3 +00 +42 +30 +b1 +00 +7c +10 +47 +00 +9e +00 +38 +00 +93 +00 +33 +00 +84 +00 +31 +00 +6a +00 +26 +00 +72 +00 +2f +00 +83 +00 +34 +00 +82 +00 +33 +00 +8d +00 +49 +00 +d7 +00 +47 +00 +97 +00 +32 +00 +93 +00 +2f +00 +9c +00 +3e +00 +a2 +00 +36 +00 +98 +00 +3c +00 +a7 +00 +3e +00 +ca +00 +4d +00 +d1 +00 +3e +00 +ac +00 +39 +00 +aa +00 +42 +00 +cc +00 +5f +00 +e9 +10 +f0 +10 +2c +40 +72 +00 +ba +00 +35 +00 +79 +00 +2a +00 +79 +00 +2f +00 +7f +00 +26 +00 +68 +00 +27 +00 +5a +00 +2e +00 +69 +00 +2d +00 +74 +00 +2a +00 +6d +00 +24 +00 +65 +00 +1e +00 +52 +00 +25 +00 +64 +00 +24 +00 +5e +00 +1d +00 +7c +00 +2b +00 +92 +00 +4c +00 +6c +00 +26 +00 +56 +00 +21 +00 +4c +00 +21 +00 +48 +00 +1d +00 +42 +00 +19 +00 +42 +00 +16 +00 +3f +00 +21 +00 +52 +00 +1c +00 +49 +00 +1c +00 +53 +00 +21 +00 +53 +00 +1d +00 +4c +00 +20 +00 +61 +00 +24 +00 +5f +00 +24 +00 +6e +00 +2a +00 +7e +00 +2c +00 +a0 +00 +3b +00 +8b +00 +1b +00 +4a +00 +1f +00 +51 +00 +25 +00 +5c +00 +3b +00 +b3 +00 +31 +00 +71 +00 +29 +00 +84 +00 +2a +00 +87 +00 +42 +00 +88 +10 +a9 +00 +28 +10 +35 +00 +86 +00 +2a +00 +70 +00 +65 +00 +d0 +10 +80 +00 +cf +00 +38 +00 +67 +00 +20 +00 +4e +00 +1a +00 +54 +00 +1c +00 +46 +00 +1b +00 +51 +00 +27 +00 +4b +00 +1e +00 +53 +00 +1c +00 +66 +00 +20 +00 +45 +00 +19 +00 +3c +00 +17 +00 +42 +00 +1c +00 +42 +00 +23 +00 +35 +00 +0f +00 +2e +00 +16 +00 +2b +00 +0e +00 +27 +00 +16 +00 +31 +00 +1a +00 +33 +00 +18 +00 +3b +00 +15 +00 +3b +00 +17 +00 +47 +00 +1d +00 +46 +00 +1e +00 +5c +00 +19 +00 +53 +00 +22 +00 +52 +00 +24 +00 +4c +00 +1c +00 +41 +00 +28 +00 +49 +00 +1f +00 +51 +00 +1a +00 +50 +00 +28 +00 +f3 +00 +c2 +00 +5a +10 +3e +00 +85 +00 +1f +00 +4c +00 +1a +00 +4e +00 +17 +00 +4e +00 +20 +00 +56 +00 +1a +00 +60 +00 +22 +00 +67 +00 +1f +00 +52 +00 +24 +00 +3e +00 +15 +00 +53 +00 +25 +00 +49 +00 +1d +00 +45 +00 +20 +00 +51 +00 +26 +00 +57 +00 +26 +00 +5e +00 +1d +00 +53 +00 +23 +00 +53 +00 +20 +00 +4f +00 +1c +00 +50 +00 +19 +00 +46 +00 +20 +00 +45 +00 +1c +00 +49 +00 +21 +00 +59 +00 +4f +00 +79 +00 +19 +00 +41 +00 +1e +00 +47 +00 +19 +00 +4e +00 +36 +00 +91 +00 +19 +00 +4d +00 +22 +00 +56 +00 +44 +00 +8d +00 +21 +00 +4a +00 +1c +00 +4a +00 +22 +00 +72 +00 +00 +00 +00 +00 +8a +00 +6b +00 +99 +00 +76 +00 +9c +00 +70 +00 +9c +00 +6a +00 +a3 +00 +6a +00 +9e +00 +67 +00 +9f +00 +6a +00 +9d +00 +63 +00 +99 +00 +6b +00 +9c +00 +65 +00 +9b +00 +5e +00 +79 +00 +40 +00 +5b +00 +3e +00 +54 +00 +41 +00 +66 +00 +3b +00 +53 +00 +35 +00 +60 +00 +3a +00 +6b +00 +6d +00 +8d +00 +68 +00 +a2 +00 +ce +00 +e6 +10 +5e +10 +9a +20 +41 +20 +e9 +10 +27 +10 +d7 +00 +6a +00 +89 +00 +93 +00 +9b +00 +85 +00 +87 +00 +4a +00 +71 +00 +4b +00 +82 +00 +79 +00 +a0 +00 +66 +00 +90 +00 +56 +00 +6c +00 +49 +00 +78 +00 +66 +00 +33 +10 +ec +00 +06 +20 +a7 +00 +a0 +00 +a4 +00 +ac +00 +74 +00 +8c +00 +53 +00 +7b +00 +48 +00 +71 +00 +4c +00 +81 +00 +5b +00 +a2 +00 +57 +00 +6a +00 +3d +00 +6c +00 +43 +00 +71 +00 +4b +00 +6c +00 +3a +00 +65 +00 +46 +00 +ac +00 +51 +00 +76 +00 +3e +00 +63 +00 +34 +00 +64 +00 +41 +00 +65 +00 +39 +00 +67 +00 +40 +00 +6c +00 +45 +00 +6a +00 +36 +00 +61 +00 +3d +00 +56 +00 +33 +00 +5f +00 +3a +00 +67 +00 +2e +00 +63 +00 +30 +00 +4d +00 +30 +00 +57 +00 +34 +00 +87 +00 +38 +00 +5a +00 +33 +00 +4e +00 +34 +00 +51 +00 +30 +00 +51 +00 +34 +00 +55 +00 +49 +00 +bd +00 +5d +00 +b9 +00 +47 +00 +74 +00 +3f +00 +79 +00 +70 +00 +dd +00 +5c +00 +7d +00 +49 +00 +67 +00 +45 +00 +63 +00 +57 +00 +82 +00 +7c +00 +ba +00 +bc +00 +05 +10 +3b +10 +40 +10 +3a +20 +33 +50 +67 +30 +ed +60 +2a +40 +f0 +60 +62 +50 +10 +90 +44 +60 +30 +90 +46 +50 +aa +60 +54 +20 +bf +10 +06 +10 +27 +10 +f8 +20 +b4 +60 +1a +50 +f3 +80 +f3 +50 +5c +90 +db +50 +6f +80 +68 +50 +79 +70 +e3 +30 +20 +40 +9f +40 +76 +80 +a6 +50 +b6 +80 +dc +40 +1e +50 +6b +20 +fb +10 +ea +10 +94 +20 +69 +30 +39 +50 +ea +40 +bb +70 +c6 +50 +82 +80 +fe +50 +fe +80 +2b +50 +26 +60 +0f +20 +9d +10 +d7 +00 +de +00 +d8 +10 +78 +30 +68 +20 +cc +30 +58 +30 +eb +50 +de +40 +74 +80 +71 +40 +1f +60 +11 +40 +0d +60 +6a +40 +b4 +70 +7c +40 +e4 +70 +79 +40 +7f +60 +da +30 +25 +60 +2a +30 +82 +40 +e0 +20 +07 +50 +a9 +10 +5f +10 +17 +10 +69 +10 +2f +10 +cc +10 +e0 +00 +df +00 +6a +00 +94 +00 +51 +00 +9b +00 +49 +00 +74 +00 +4e +00 +79 +00 +55 +00 +8c +00 +61 +00 +82 +00 +56 +00 +84 +00 +5c +00 +a9 +00 +a0 +00 +89 +10 +73 +00 +ae +00 +66 +00 +88 +00 +9d +00 +b8 +00 +c4 +00 +bb +00 +af +00 +b1 +00 +a0 +00 +bd +00 +87 +00 +c4 +00 +6e +00 +bd +00 +66 +00 +b2 +00 +84 +00 +b8 +00 +98 +00 +e0 +00 +6c +10 +56 +40 +97 +10 +5c +20 +bd +00 +93 +00 +62 +00 +7c +00 +3f +00 +76 +00 +3d +00 +71 +00 +43 +00 +66 +00 +3d +00 +5c +00 +41 +00 +77 +00 +c4 +00 +2a +10 +16 +10 +ba +00 +74 +00 +65 +00 +49 +00 +63 +00 +3f +00 +55 +00 +2f +00 +60 +00 +3d +00 +6f +00 +3b +00 +75 +00 +3e +00 +5d +00 +36 +00 +57 +00 +28 +00 +4a +00 +24 +00 +4b +00 +25 +00 +40 +00 +24 +00 +4a +00 +28 +00 +4a +00 +2e +00 +5c +00 +33 +00 +48 +00 +2d +00 +59 +00 +29 +00 +48 +00 +33 +00 +63 +00 +31 +00 +58 +00 +3c +00 +67 +00 +4f +00 +62 +00 +51 +00 +77 +00 +5a +00 +7f +00 +34 +00 +54 +00 +33 +00 +3f +00 +2a +00 +5e +00 +56 +00 +e0 +00 +23 +10 +14 +10 +61 +00 +80 +00 +50 +00 +74 +00 +61 +00 +79 +00 +95 +00 +e1 +00 +8f +00 +c0 +00 +66 +00 +6c +00 +64 +00 +09 +10 +b6 +00 +0e +10 +6b +00 +99 +00 +3f +00 +6d +00 +2e +00 +55 +00 +2a +00 +48 +00 +31 +00 +54 +00 +40 +00 +5e +00 +26 +00 +4f +00 +28 +00 +4f +00 +2c +00 +54 +00 +26 +00 +50 +00 +24 +00 +49 +00 +27 +00 +48 +00 +2a +00 +30 +00 +23 +00 +35 +00 +18 +00 +30 +00 +1c +00 +2f +00 +1f +00 +2a +00 +21 +00 +32 +00 +22 +00 +40 +00 +19 +00 +3e +00 +1f +00 +3c +00 +25 +00 +42 +00 +1e +00 +49 +00 +29 +00 +47 +00 +2a +00 +55 +00 +2d +00 +4c +00 +22 +00 +3e +00 +25 +00 +61 +00 +2c +00 +45 +00 +22 +00 +47 +00 +35 +00 +62 +00 +57 +00 +d6 +00 +6a +00 +ae +00 +5a +00 +62 +00 +2b +00 +40 +00 +2c +00 +59 +00 +21 +00 +40 +00 +2e +00 +51 +00 +38 +00 +4f +00 +39 +00 +50 +00 +27 +00 +4e +00 +2b +00 +48 +00 +2c +00 +50 +00 +26 +00 +4e +00 +29 +00 +4a +00 +33 +00 +54 +00 +2d +00 +50 +00 +32 +00 +56 +00 +2f +00 +53 +00 +2f +00 +4a +00 +2d +00 +56 +00 +29 +00 +4b +00 +2b +00 +59 +00 +27 +00 +4a +00 +26 +00 +46 +00 +49 +00 +a1 +10 +a3 +00 +69 +00 +32 +00 +3e +00 +24 +00 +48 +00 +2c +00 +7f +00 +53 +00 +78 +00 +30 +00 +54 +00 +35 +00 +7e +00 +3b +00 +58 +00 +29 +00 +41 +00 +2a +00 +4f +00 +32 +00 +00 +00 +00 +00 +35 +00 +9a +00 +32 +00 +9a +00 +31 +00 +9c +00 +2f +00 +9d +00 +3a +00 +ac +00 +40 +00 +a6 +00 +3a +00 +98 +00 +33 +00 +a2 +00 +40 +00 +a2 +00 +43 +00 +9a +00 +30 +00 +80 +00 +22 +00 +58 +00 +21 +00 +64 +00 +22 +00 +60 +00 +23 +00 +57 +00 +1f +00 +52 +00 +25 +00 +55 +00 +2f +00 +87 +00 +40 +00 +9f +00 +3a +00 +d9 +00 +64 +00 +53 +10 +f6 +00 +8a +40 +cf +10 +c5 +30 +66 +00 +a4 +00 +31 +00 +b9 +00 +73 +00 +f3 +00 +32 +00 +81 +00 +2a +00 +7b +00 +2c +00 +80 +00 +2a +00 +83 +00 +26 +00 +7b +00 +1f +00 +6e +00 +25 +00 +72 +00 +38 +00 +da +00 +50 +00 +cd +00 +41 +00 +ee +00 +6a +00 +ca +00 +2f +00 +7c +00 +2d +00 +77 +00 +24 +00 +70 +00 +2c +00 +8d +00 +31 +00 +6f +00 +27 +00 +67 +00 +29 +00 +6e +00 +27 +00 +5e +00 +2e +00 +63 +00 +25 +00 +75 +00 +32 +00 +89 +00 +2b +00 +67 +00 +25 +00 +62 +00 +25 +00 +64 +00 +23 +00 +64 +00 +1b +00 +52 +00 +1e +00 +62 +00 +1b +00 +6c +00 +26 +00 +6c +00 +2b +00 +65 +00 +22 +00 +55 +00 +23 +00 +59 +00 +21 +00 +48 +00 +18 +00 +46 +00 +20 +00 +5b +00 +28 +00 +6b +00 +23 +00 +56 +00 +23 +00 +4b +00 +1e +00 +53 +00 +1e +00 +56 +00 +27 +00 +70 +00 +30 +00 +85 +00 +30 +00 +84 +00 +27 +00 +77 +00 +3f +00 +ad +00 +41 +00 +9e +00 +2c +00 +61 +00 +24 +00 +6d +00 +2e +00 +76 +00 +30 +00 +90 +00 +36 +00 +bd +00 +66 +00 +2a +10 +58 +00 +e8 +10 +e1 +00 +1e +30 +7e +10 +fa +40 +81 +20 +35 +80 +31 +30 +51 +90 +3d +30 +87 +80 +e4 +10 +33 +30 +76 +00 +f3 +00 +73 +00 +35 +30 +15 +20 +69 +60 +c0 +20 +19 +90 +4c +30 +02 +90 +0a +30 +6e +80 +f6 +20 +0a +70 +49 +10 +5e +50 +ee +20 +9e +80 +e7 +20 +e2 +70 +87 +10 +01 +30 +a9 +00 +3a +20 +b5 +10 +43 +60 +ba +20 +5b +80 +00 +30 +cf +80 +37 +30 +0d +90 +4b +30 +96 +80 +85 +10 +aa +20 +5f +00 +d9 +00 +6a +00 +a5 +20 +40 +10 +4a +30 +8e +10 +8c +40 +e0 +10 +5e +70 +5c +20 +4c +50 +6d +10 +cc +40 +31 +20 +13 +60 +d0 +20 +3c +70 +d3 +20 +fe +60 +23 +20 +06 +50 +50 +10 +0c +40 +30 +10 +26 +30 +4a +10 +b0 +20 +7c +00 +11 +10 +f0 +00 +9a +20 +68 +00 +02 +10 +35 +00 +8d +00 +32 +00 +9a +00 +41 +00 +9d +00 +36 +00 +8a +00 +30 +00 +92 +00 +37 +00 +a3 +00 +35 +00 +99 +00 +33 +00 +95 +00 +4a +00 +e2 +00 +7e +00 +09 +10 +46 +00 +ad +00 +49 +00 +09 +10 +9d +00 +5b +10 +6e +00 +13 +10 +55 +00 +ea +00 +4e +00 +d1 +00 +3e +00 +af +00 +36 +00 +a5 +00 +43 +00 +c1 +00 +52 +00 +ee +00 +60 +00 +3c +20 +c0 +00 +b5 +10 +7c +00 +14 +10 +37 +00 +86 +00 +2f +00 +7d +00 +2e +00 +69 +00 +2a +00 +60 +00 +2f +00 +71 +00 +24 +00 +6a +00 +33 +00 +ff +00 +3b +10 +2e +40 +de +00 +0f +10 +33 +00 +65 +00 +25 +00 +73 +00 +27 +00 +5f +00 +23 +00 +5d +00 +25 +00 +68 +00 +24 +00 +52 +00 +23 +00 +5e +00 +26 +00 +63 +00 +1f +00 +4c +00 +1a +00 +44 +00 +20 +00 +4f +00 +1c +00 +49 +00 +1d +00 +51 +00 +1f +00 +5a +00 +23 +00 +51 +00 +24 +00 +4b +00 +1e +00 +5d +00 +1e +00 +5a +00 +27 +00 +5b +00 +2e +00 +98 +00 +22 +00 +67 +00 +2b +00 +77 +00 +1f +00 +55 +00 +19 +00 +4d +00 +1f +00 +55 +00 +26 +00 +6b +00 +52 +00 +50 +10 +66 +00 +a3 +00 +2c +00 +6f +00 +26 +00 +78 +00 +42 +00 +3f +10 +56 +00 +c8 +00 +37 +00 +75 +00 +31 +00 +71 +00 +46 +00 +bf +00 +48 +00 +ae +00 +2c +00 +7f +00 +2b +00 +69 +00 +21 +00 +4d +00 +1f +00 +50 +00 +1d +00 +7c +00 +33 +00 +56 +00 +1f +00 +3f +00 +1b +00 +5a +00 +29 +00 +79 +00 +1f +00 +4c +00 +20 +00 +50 +00 +19 +00 +49 +00 +12 +00 +34 +00 +15 +00 +36 +00 +14 +00 +39 +00 +18 +00 +2e +00 +15 +00 +31 +00 +12 +00 +45 +00 +15 +00 +3d +00 +10 +00 +3a +00 +1e +00 +43 +00 +12 +00 +46 +00 +19 +00 +45 +00 +1a +00 +46 +00 +18 +00 +48 +00 +1e +00 +45 +00 +18 +00 +4c +00 +1d +00 +4e +00 +1a +00 +4e +00 +19 +00 +49 +00 +20 +00 +68 +00 +2d +00 +7b +00 +2b +00 +68 +00 +1e +00 +49 +00 +1c +00 +50 +00 +22 +00 +3c +00 +17 +00 +4a +00 +1b +00 +47 +00 +1f +00 +51 +00 +29 +00 +4f +00 +1c +00 +43 +00 +1c +00 +4b +00 +15 +00 +46 +00 +1b +00 +54 +00 +1c +00 +4c +00 +1a +00 +48 +00 +1c +00 +4e +00 +20 +00 +5c +00 +20 +00 +54 +00 +1c +00 +52 +00 +20 +00 +58 +00 +1a +00 +4b +00 +1c +00 +47 +00 +23 +00 +48 +00 +1e +00 +67 +00 +41 +00 +c5 +00 +2e +00 +55 +00 +21 +00 +54 +00 +1e +00 +4f +00 +29 +00 +4e +00 +27 +00 +50 +00 +1d +00 +56 +00 +2c +00 +5f +00 +22 +00 +47 +00 +1a +00 +3c +00 +24 +00 +4e +00 +00 +00 +00 +00 +94 +00 +66 +00 +a6 +00 +63 +00 +9f +00 +68 +00 +a8 +00 +6e +00 +95 +00 +72 +00 +ad +00 +5f +00 +a3 +00 +6a +00 +ab +00 +70 +00 +a4 +00 +6a +00 +ab +00 +5f +00 +8d +00 +52 +00 +61 +00 +30 +00 +5a +00 +35 +00 +5e +00 +3b +00 +57 +00 +31 +00 +54 +00 +36 +00 +51 +00 +3d +00 +5e +00 +49 +00 +b2 +00 +05 +10 +ad +10 +2d +10 +56 +10 +6f +20 +cc +30 +8c +30 +3f +60 +08 +20 +e9 +10 +9d +00 +91 +00 +61 +10 +16 +30 +2d +10 +cc +00 +58 +00 +80 +00 +47 +00 +68 +00 +4f +00 +78 +00 +53 +00 +7c +00 +4d +00 +6d +00 +39 +00 +62 +00 +4c +00 +8b +00 +56 +00 +a4 +00 +70 +00 +bb +00 +54 +10 +df +20 +dd +00 +bf +00 +5e +00 +e1 +00 +56 +00 +81 +00 +4f +00 +77 +00 +4b +00 +72 +00 +47 +00 +65 +00 +3e +00 +6b +00 +43 +00 +75 +00 +47 +00 +5b +00 +40 +00 +69 +00 +3e +00 +78 +00 +37 +00 +65 +00 +3f +00 +56 +00 +30 +00 +60 +00 +36 +00 +5d +00 +3e +00 +50 +00 +38 +00 +59 +00 +43 +00 +5c +00 +3a +00 +60 +00 +33 +00 +68 +00 +3a +00 +5b +00 +32 +00 +66 +00 +3f +00 +4a +00 +2a +00 +4b +00 +31 +00 +4b +00 +32 +00 +5e +00 +3b +00 +5c +00 +41 +00 +5b +00 +32 +00 +4b +00 +33 +00 +5b +00 +38 +00 +51 +00 +3b +00 +8c +00 +4a +00 +7c +00 +54 +00 +76 +00 +6f +00 +a2 +00 +a1 +00 +c7 +00 +4f +00 +79 +00 +38 +00 +7a +00 +69 +00 +85 +00 +64 +00 +8a +00 +64 +00 +8f +00 +b2 +00 +bb +10 +99 +10 +00 +20 +43 +10 +b7 +10 +89 +20 +4d +30 +8f +30 +cb +50 +77 +50 +34 +90 +53 +60 +54 +90 +64 +50 +fa +50 +1b +20 +89 +10 +e3 +00 +f5 +00 +4b +10 +74 +20 +fa +20 +df +40 +94 +50 +18 +90 +34 +60 +17 +90 +00 +60 +f5 +80 +3a +50 +00 +50 +bd +30 +c8 +60 +2f +50 +82 +80 +1a +40 +a6 +40 +49 +20 +e9 +10 +2a +30 +1e +60 +f7 +40 +4a +80 +f0 +50 +31 +90 +12 +60 +1f +90 +c8 +50 +08 +90 +df +30 +24 +40 +c0 +10 +4f +10 +1e +10 +6d +10 +d3 +20 +5d +40 +b1 +30 +47 +60 +9d +40 +c8 +60 +ca +30 +44 +50 +fe +30 +e2 +50 +ee +30 +f1 +40 +23 +30 +36 +50 +21 +30 +d7 +50 +32 +30 +60 +40 +36 +20 +80 +20 +8d +10 +d1 +10 +2e +10 +c4 +10 +ec +00 +50 +10 +1b +10 +2f +20 +9b +10 +a5 +10 +e2 +00 +db +00 +7a +00 +96 +00 +63 +00 +d5 +00 +5b +00 +a2 +00 +e5 +00 +d0 +00 +b1 +00 +cf +00 +e3 +00 +f5 +00 +8d +00 +a3 +00 +80 +00 +c3 +00 +b4 +00 +e7 +00 +a0 +00 +c1 +00 +c6 +00 +b8 +00 +37 +20 +98 +40 +7c +30 +ea +30 +18 +30 +6f +20 +77 +10 +01 +10 +b4 +00 +bf +00 +75 +00 +c0 +00 +9d +00 +be +00 +dd +00 +49 +20 +05 +10 +15 +10 +d5 +00 +75 +10 +cf +00 +22 +10 +91 +00 +a5 +00 +55 +00 +87 +00 +4a +00 +6e +00 +43 +00 +7b +00 +3e +00 +74 +00 +40 +00 +76 +00 +4c +00 +83 +00 +77 +00 +c1 +10 +53 +10 +80 +40 +27 +10 +e5 +00 +7c +00 +70 +00 +58 +00 +8c +00 +2c +00 +63 +00 +3c +00 +5b +00 +2f +00 +47 +00 +2c +00 +51 +00 +2c +00 +69 +00 +31 +00 +71 +00 +2d +00 +57 +00 +28 +00 +46 +00 +27 +00 +44 +00 +2b +00 +59 +00 +32 +00 +54 +00 +33 +00 +51 +00 +24 +00 +4d +00 +2a +00 +47 +00 +26 +00 +55 +00 +5f +00 +1d +10 +b7 +00 +8e +00 +4e +00 +68 +00 +35 +00 +58 +00 +3d +00 +59 +00 +34 +00 +53 +00 +4f +00 +5f +00 +3d +00 +9d +00 +b2 +00 +95 +10 +4f +10 +76 +10 +7a +00 +82 +00 +6b +00 +83 +00 +00 +10 +0c +10 +7d +20 +d2 +30 +0f +10 +d1 +00 +a5 +00 +82 +00 +5a +00 +86 +00 +57 +00 +a3 +00 +5c +00 +8c +00 +4c +00 +68 +00 +42 +00 +61 +00 +3d +00 +60 +00 +37 +00 +49 +00 +30 +00 +5b +00 +22 +00 +4d +00 +25 +00 +4b +00 +2c +00 +51 +00 +2c +00 +59 +00 +2d +00 +43 +00 +22 +00 +42 +00 +24 +00 +3c +00 +26 +00 +37 +00 +23 +00 +36 +00 +21 +00 +2c +00 +1d +00 +33 +00 +20 +00 +3c +00 +22 +00 +39 +00 +21 +00 +41 +00 +19 +00 +39 +00 +21 +00 +4a +00 +22 +00 +4f +00 +2b +00 +48 +00 +2a +00 +4a +00 +26 +00 +4f +00 +26 +00 +43 +00 +29 +00 +45 +00 +25 +00 +43 +00 +28 +00 +3f +00 +28 +00 +4f +00 +3f +00 +5f +00 +44 +00 +5f +00 +3a +00 +58 +00 +26 +00 +49 +00 +24 +00 +3b +00 +2c +00 +52 +00 +30 +00 +68 +00 +31 +00 +4f +00 +2c +00 +50 +00 +28 +00 +45 +00 +28 +00 +45 +00 +26 +00 +4c +00 +1c +00 +59 +00 +28 +00 +4b +00 +3c +00 +50 +00 +31 +00 +5c +00 +32 +00 +56 +00 +2f +00 +50 +00 +31 +00 +50 +00 +24 +00 +4d +00 +2b +00 +47 +00 +25 +00 +54 +00 +27 +00 +4e +00 +2a +00 +4a +00 +31 +00 +6c +00 +34 +00 +7f +00 +38 +00 +4e +00 +24 +00 +50 +00 +2f +00 +49 +00 +30 +00 +60 +00 +2e +00 +3e +00 +32 +00 +57 +00 +30 +00 +51 +00 +2c +00 +44 +00 +31 +00 +4f +00 +2f +00 +00 +00 +00 +00 +32 +00 +93 +00 +33 +00 +9b +00 +3a +00 +a3 +00 +45 +00 +9f +00 +36 +00 +99 +00 +32 +00 +a3 +00 +3c +00 +ac +00 +2f +00 +9a +00 +30 +00 +9a +00 +37 +00 +a0 +00 +2e +00 +81 +00 +1f +00 +5f +00 +1c +00 +5a +00 +20 +00 +6a +00 +23 +00 +5f +00 +27 +00 +5f +00 +27 +00 +69 +00 +27 +00 +6f +00 +41 +00 +48 +10 +bd +00 +f2 +10 +41 +10 +ec +40 +41 +20 +75 +60 +63 +20 +ff +30 +74 +00 +d9 +00 +61 +00 +68 +20 +60 +10 +6c +20 +4f +00 +ad +00 +2b +00 +74 +00 +2a +00 +7a +00 +2c +00 +84 +00 +25 +00 +71 +00 +1b +00 +75 +00 +24 +00 +72 +00 +24 +00 +90 +00 +2f +00 +90 +00 +58 +00 +5a +20 +2a +10 +e3 +10 +48 +00 +87 +00 +3b +00 +99 +00 +2a +00 +6c +00 +2d +00 +67 +00 +2f +00 +67 +00 +20 +00 +62 +00 +28 +00 +7a +00 +28 +00 +72 +00 +21 +00 +61 +00 +25 +00 +68 +00 +24 +00 +5e +00 +20 +00 +58 +00 +16 +00 +67 +00 +22 +00 +5a +00 +1a +00 +5b +00 +26 +00 +56 +00 +20 +00 +57 +00 +1d +00 +57 +00 +1a +00 +59 +00 +1f +00 +58 +00 +23 +00 +64 +00 +18 +00 +61 +00 +1e +00 +46 +00 +1a +00 +38 +00 +1d +00 +59 +00 +1f +00 +65 +00 +27 +00 +5f +00 +23 +00 +56 +00 +18 +00 +52 +00 +1f +00 +59 +00 +24 +00 +5a +00 +2b +00 +79 +00 +32 +00 +85 +00 +2d +00 +af +00 +74 +00 +76 +10 +40 +00 +90 +00 +27 +00 +73 +00 +2f +00 +97 +00 +c6 +00 +30 +10 +33 +00 +7e +00 +3a +00 +a0 +00 +d9 +00 +94 +20 +b4 +00 +8e +10 +ae +00 +26 +40 +3b +20 +12 +60 +fc +10 +c5 +70 +26 +30 +4a +90 +3a +30 +c6 +80 +b3 +10 +fc +20 +5c +00 +de +00 +49 +00 +33 +10 +9a +00 +14 +30 +50 +20 +26 +80 +2c +30 +6a +90 +37 +30 +41 +90 +3a +30 +60 +80 +d4 +10 +12 +40 +2d +20 +9c +70 +aa +20 +58 +60 +59 +10 +09 +30 +d8 +00 +91 +40 +bf +20 +1a +80 +31 +30 +14 +90 +f7 +20 +b1 +80 +26 +30 +71 +80 +a4 +20 +90 +50 +d4 +00 +f3 +10 +4b +00 +07 +10 +7d +10 +c1 +50 +b0 +10 +61 +50 +cc +20 +dc +70 +54 +20 +54 +50 +84 +10 +23 +50 +1a +20 +bb +60 +8a +10 +1d +30 +d9 +00 +a6 +20 +0f +10 +87 +30 +ec +00 +4f +20 +9d +00 +ab +10 +7b +00 +66 +10 +70 +00 +25 +10 +58 +00 +44 +10 +fb +00 +e4 +20 +cb +00 +63 +10 +4f +00 +c4 +00 +43 +00 +9d +00 +3e +00 +a4 +00 +56 +00 +3e +20 +e8 +00 +58 +10 +7e +00 +7b +10 +8e +00 +0e +10 +57 +00 +e9 +00 +75 +00 +75 +10 +97 +00 +07 +10 +5d +00 +f6 +00 +95 +00 +2c +40 +9d +20 +94 +70 +b8 +20 +2e +70 +40 +20 +9d +30 +70 +00 +e0 +00 +45 +00 +c8 +00 +59 +00 +5c +10 +61 +00 +06 +10 +90 +00 +15 +20 +b1 +00 +71 +10 +77 +00 +53 +10 +4e +00 +c2 +00 +37 +00 +9b +00 +3a +00 +85 +00 +3b +00 +a5 +00 +33 +00 +73 +00 +27 +00 +85 +00 +32 +00 +76 +00 +32 +00 +a8 +00 +50 +00 +33 +10 +0d +10 +c2 +20 +66 +00 +b8 +00 +25 +00 +71 +00 +25 +00 +65 +00 +20 +00 +63 +00 +22 +00 +52 +00 +23 +00 +5a +00 +20 +00 +50 +00 +23 +00 +61 +00 +19 +00 +4f +00 +26 +00 +50 +00 +19 +00 +53 +00 +1c +00 +53 +00 +20 +00 +50 +00 +25 +00 +5e +00 +1b +00 +51 +00 +15 +00 +49 +00 +1e +00 +46 +00 +26 +00 +87 +00 +de +00 +e4 +20 +4c +00 +7c +00 +22 +00 +5e +00 +1b +00 +55 +00 +22 +00 +58 +00 +2a +00 +07 +10 +37 +00 +73 +00 +55 +00 +57 +10 +91 +00 +8a +10 +7a +00 +e2 +00 +2a +00 +e0 +00 +a0 +00 +7d +20 +83 +10 +32 +50 +e5 +10 +4d +20 +8d +00 +69 +10 +35 +00 +7a +00 +4e +00 +cf +00 +30 +00 +78 +00 +2a +00 +87 +00 +4f +00 +7a +00 +35 +00 +a2 +00 +30 +00 +63 +00 +16 +00 +52 +00 +1a +00 +55 +00 +1e +00 +48 +00 +1a +00 +4d +00 +2b +00 +50 +00 +18 +00 +50 +00 +1f +00 +3b +00 +15 +00 +3f +00 +16 +00 +35 +00 +11 +00 +3d +00 +14 +00 +38 +00 +18 +00 +35 +00 +11 +00 +36 +00 +17 +00 +47 +00 +1b +00 +48 +00 +1b +00 +43 +00 +19 +00 +43 +00 +1e +00 +49 +00 +1e +00 +48 +00 +1e +00 +44 +00 +1e +00 +54 +00 +1b +00 +43 +00 +23 +00 +4b +00 +1f +00 +48 +00 +1e +00 +4d +00 +1f +00 +49 +00 +1e +00 +4d +00 +22 +00 +5b +00 +22 +00 +56 +00 +25 +00 +4d +00 +1b +00 +41 +00 +1f +00 +56 +00 +20 +00 +43 +00 +21 +00 +58 +00 +22 +00 +44 +00 +1d +00 +45 +00 +1a +00 +43 +00 +1a +00 +4c +00 +1e +00 +4e +00 +1a +00 +51 +00 +35 +00 +a9 +00 +1c +00 +64 +00 +1e +00 +5f +00 +20 +00 +59 +00 +23 +00 +5b +00 +23 +00 +4e +00 +1d +00 +50 +00 +1d +00 +4d +00 +19 +00 +48 +00 +1b +00 +44 +00 +1a +00 +4b +00 +21 +00 +63 +00 +2d +00 +73 +00 +1b +00 +56 +00 +1f +00 +4f +00 +20 +00 +4d +00 +26 +00 +49 +00 +17 +00 +5c +00 +25 +00 +64 +00 +2d +00 +5c +00 +22 +00 +4d +00 +1e +00 +54 +00 +00 +00 +00 +00 +91 +00 +65 +00 +a1 +00 +64 +00 +9e +00 +65 +00 +97 +00 +74 +00 +a5 +00 +7a +00 +a6 +00 +65 +00 +a1 +00 +61 +00 +ae +00 +6c +00 +a4 +00 +6c +00 +a0 +00 +5e +00 +8a +00 +46 +00 +5f +00 +34 +00 +5c +00 +31 +00 +56 +00 +2f +00 +62 +00 +32 +00 +5a +00 +39 +00 +67 +00 +40 +00 +5c +00 +4e +00 +8a +00 +84 +00 +23 +10 +19 +20 +75 +40 +27 +30 +4a +60 +2f +30 +cc +50 +be +10 +a6 +10 +b6 +00 +de +00 +71 +10 +d8 +30 +1a +10 +1e +10 +64 +00 +80 +00 +57 +00 +64 +00 +50 +00 +80 +00 +4b +00 +72 +00 +46 +00 +6f +00 +3a +00 +62 +00 +44 +00 +78 +00 +5a +00 +81 +00 +60 +00 +8f +00 +82 +00 +6a +10 +97 +00 +d8 +00 +5b +00 +79 +00 +4f +00 +76 +00 +56 +00 +65 +00 +48 +00 +74 +00 +40 +00 +69 +00 +4c +00 +6e +00 +51 +00 +68 +00 +37 +00 +68 +00 +3e +00 +60 +00 +41 +00 +63 +00 +35 +00 +57 +00 +3c +00 +51 +00 +37 +00 +66 +00 +2d +00 +54 +00 +33 +00 +5e +00 +3c +00 +57 +00 +3a +00 +53 +00 +39 +00 +51 +00 +3c +00 +69 +00 +4f +00 +c4 +00 +56 +00 +7e +00 +3a +00 +4c +00 +31 +00 +48 +00 +31 +00 +49 +00 +36 +00 +53 +00 +61 +00 +05 +10 +4d +00 +61 +00 +46 +00 +52 +00 +31 +00 +58 +00 +32 +00 +53 +00 +3a +00 +68 +00 +43 +00 +85 +00 +84 +00 +98 +00 +89 +00 +bc +00 +8c +00 +af +00 +69 +00 +7e +00 +49 +00 +7c +00 +69 +00 +87 +10 +dc +00 +0b +10 +60 +00 +8b +00 +b4 +00 +55 +20 +ec +00 +53 +10 +5b +10 +d8 +10 +6b +30 +b2 +60 +51 +40 +78 +50 +c0 +40 +a8 +80 +85 +50 +23 +90 +0f +50 +90 +60 +35 +20 +89 +10 +ca +00 +d1 +00 +a8 +10 +cd +20 +f1 +30 +6a +70 +69 +50 +1e +90 +f3 +50 +59 +90 +e2 +50 +f5 +80 +30 +50 +1d +70 +79 +30 +80 +40 +ad +30 +8d +60 +25 +30 +16 +40 +8e +20 +a8 +30 +b5 +30 +3c +70 +a1 +40 +52 +80 +4c +40 +ed +50 +7e +30 +a8 +50 +29 +30 +76 +40 +16 +20 +4c +20 +28 +10 +e4 +00 +a1 +10 +d9 +40 +b8 +30 +f0 +60 +1d +30 +6d +50 +a1 +30 +35 +60 +74 +30 +74 +30 +cc +30 +22 +60 +92 +30 +c7 +30 +48 +20 +35 +20 +e8 +10 +8d +20 +36 +20 +3f +20 +28 +20 +31 +20 +30 +10 +38 +10 +d5 +00 +21 +10 +b5 +00 +ec +00 +9a +10 +56 +40 +0a +20 +0d +30 +66 +10 +34 +10 +a1 +00 +c6 +00 +75 +00 +a5 +00 +83 +00 +ca +00 +e7 +10 +54 +40 +72 +20 +c3 +30 +8d +20 +60 +20 +33 +10 +14 +10 +35 +10 +a7 +20 +6c +10 +96 +20 +e0 +10 +fe +20 +39 +20 +f4 +20 +48 +40 +b0 +70 +58 +50 +d3 +80 +7b +50 +8e +80 +f7 +30 +c5 +20 +6a +10 +01 +10 +0f +10 +66 +10 +5b +20 +42 +30 +fe +00 +1e +10 +ef +00 +d6 +20 +12 +20 +ae +20 +58 +10 +2a +10 +a2 +00 +a0 +00 +60 +00 +8a +00 +65 +00 +8e +00 +5a +00 +89 +00 +3c +00 +75 +00 +41 +00 +6f +00 +46 +00 +7e +00 +68 +00 +ac +00 +94 +00 +4f +10 +5a +10 +23 +30 +c7 +00 +82 +00 +48 +00 +60 +00 +38 +00 +57 +00 +2a +00 +5f +00 +30 +00 +52 +00 +2e +00 +48 +00 +2b +00 +46 +00 +33 +00 +50 +00 +28 +00 +50 +00 +24 +00 +4e +00 +2e +00 +4d +00 +2d +00 +49 +00 +2d +00 +54 +00 +2f +00 +4e +00 +22 +00 +58 +00 +2a +00 +3f +00 +26 +00 +5d +00 +52 +00 +a4 +00 +63 +00 +e9 +00 +5b +00 +5d +00 +3c +00 +53 +00 +3e +00 +50 +00 +3c +00 +87 +00 +a5 +00 +09 +10 +5d +00 +88 +00 +6b +00 +c7 +00 +78 +00 +bd +00 +77 +00 +9f +00 +d3 +00 +e6 +30 +ba +20 +98 +60 +ae +30 +a8 +50 +10 +20 +65 +20 +1c +10 +ba +00 +93 +00 +8a +00 +66 +00 +b1 +00 +51 +00 +63 +00 +3a +00 +88 +00 +63 +00 +c8 +00 +96 +00 +a8 +00 +47 +00 +60 +00 +32 +00 +4e +00 +30 +00 +5e +00 +25 +00 +4b +00 +23 +00 +54 +00 +28 +00 +4a +00 +29 +00 +3d +00 +25 +00 +36 +00 +23 +00 +31 +00 +1d +00 +33 +00 +28 +00 +31 +00 +29 +00 +2e +00 +23 +00 +3a +00 +1c +00 +4b +00 +1c +00 +3c +00 +27 +00 +40 +00 +23 +00 +48 +00 +1f +00 +4d +00 +25 +00 +49 +00 +29 +00 +48 +00 +28 +00 +4e +00 +2b +00 +48 +00 +3e +00 +9d +00 +67 +00 +64 +00 +47 +00 +53 +00 +2f +00 +49 +00 +27 +00 +49 +00 +2d +00 +4b +00 +2f +00 +58 +00 +29 +00 +53 +00 +22 +00 +41 +00 +26 +00 +43 +00 +27 +00 +44 +00 +24 +00 +53 +00 +27 +00 +53 +00 +2a +00 +59 +00 +24 +00 +3f +00 +21 +00 +47 +00 +20 +00 +44 +00 +2e +00 +3a +00 +44 +00 +cb +00 +be +00 +bb +00 +3a +00 +50 +00 +3a +00 +78 +00 +76 +00 +72 +00 +3f +00 +55 +00 +2a +00 +49 +00 +2a +00 +4e +00 +24 +00 +40 +00 +28 +00 +4d +00 +20 +00 +43 +00 +2a +00 +4c +00 +2f +00 +5c +00 +30 +00 +4d +00 +2b +00 +51 +00 +2b +00 +4a +00 +2a +00 +51 +00 +32 +00 +45 +00 +2f +00 +4a +00 +42 +00 +be +00 +4f +00 +59 +00 +37 +00 +59 +00 +3b +00 +00 +00 +00 +00 +34 +00 +99 +00 +31 +00 +9a +00 +3b +00 +a5 +00 +3c +00 +a1 +00 +3c +00 +ae +00 +3e +00 +a5 +00 +3f +00 +a1 +00 +3c +00 +98 +00 +3a +00 +a8 +00 +39 +00 +97 +00 +2a +00 +74 +00 +25 +00 +53 +00 +1f +00 +66 +00 +20 +00 +59 +00 +22 +00 +55 +00 +23 +00 +5a +00 +26 +00 +61 +00 +27 +00 +73 +00 +2c +00 +91 +00 +5f +00 +4a +20 +26 +10 +55 +30 +57 +10 +f0 +30 +2a +10 +44 +20 +4f +00 +c5 +00 +54 +00 +7b +10 +c7 +00 +81 +10 +4a +00 +84 +00 +2d +00 +71 +00 +28 +00 +7f +00 +27 +00 +73 +00 +27 +00 +7d +00 +27 +00 +5d +00 +1e +00 +66 +00 +2d +00 +67 +00 +24 +00 +6b +00 +27 +00 +9a +00 +4d +00 +b6 +00 +2b +00 +72 +00 +2b +00 +72 +00 +2a +00 +7c +00 +26 +00 +6b +00 +27 +00 +6d +00 +24 +00 +63 +00 +25 +00 +6b +00 +29 +00 +70 +00 +25 +00 +5e +00 +2b +00 +6d +00 +24 +00 +6b +00 +2c +00 +5a +00 +30 +00 +67 +00 +26 +00 +59 +00 +1c +00 +61 +00 +20 +00 +56 +00 +25 +00 +58 +00 +1b +00 +53 +00 +23 +00 +65 +00 +2c +00 +79 +00 +33 +00 +8f +00 +4c +00 +84 +00 +1a +00 +4e +00 +21 +00 +5b +00 +20 +00 +51 +00 +25 +00 +68 +00 +5e +00 +c9 +00 +25 +00 +d5 +00 +27 +00 +53 +00 +22 +00 +56 +00 +1f +00 +53 +00 +2c +00 +75 +00 +5e +00 +80 +10 +7c +00 +28 +10 +31 +00 +ad +00 +36 +00 +90 +00 +29 +00 +79 +00 +29 +00 +7f +00 +41 +00 +cd +00 +52 +00 +a1 +00 +37 +00 +b7 +00 +8e +00 +5b +10 +61 +00 +c8 +10 +e9 +00 +2b +40 +1d +20 +7d +60 +cb +10 +e1 +50 +22 +20 +a5 +60 +c1 +20 +3d +80 +36 +20 +8c +30 +64 +00 +df +00 +61 +00 +71 +20 +0c +20 +9e +60 +9d +20 +11 +80 +2a +30 +0b +90 +0b +30 +33 +80 +7c +20 +b0 +60 +d2 +10 +f9 +30 +30 +10 +80 +40 +80 +10 +3f +40 +0b +10 +cb +20 +50 +10 +98 +40 +0c +20 +09 +60 +ec +10 +5c +50 +68 +10 +94 +30 +05 +10 +cc +20 +b4 +00 +15 +20 +67 +00 +05 +10 +45 +00 +9b +10 +cb +10 +49 +50 +f9 +10 +27 +40 +01 +10 +0c +30 +f4 +10 +98 +40 +78 +10 +15 +50 +53 +20 +a8 +50 +2b +10 +dc +20 +a0 +00 +11 +20 +34 +10 +8b +30 +d9 +00 +bf +30 +5a +10 +08 +20 +67 +00 +f4 +00 +48 +00 +dd +00 +86 +00 +9d +20 +60 +10 +bc +20 +b9 +00 +e4 +10 +73 +00 +15 +10 +4c +00 +d8 +00 +44 +00 +dd +00 +7b +00 +2b +20 +0d +20 +ee +50 +7b +20 +fc +50 +4d +10 +8a +20 +8d +00 +d8 +10 +a6 +00 +ad +10 +97 +00 +b3 +20 +83 +20 +13 +60 +22 +20 +42 +70 +4b +30 +5f +90 +70 +30 +40 +90 +49 +30 +36 +80 +3c +10 +eb +10 +66 +00 +60 +10 +83 +10 +fd +40 +fa +00 +0b +20 +7d +00 +2f +10 +9d +00 +4b +30 +69 +10 +14 +40 +96 +00 +f3 +00 +41 +00 +97 +00 +34 +00 +94 +00 +32 +00 +7e +00 +2f +00 +7e +00 +29 +00 +6f +00 +2a +00 +75 +00 +30 +00 +93 +00 +32 +00 +ae +00 +4c +00 +40 +10 +ae +00 +72 +10 +2c +00 +72 +00 +2b +00 +6f +00 +26 +00 +63 +00 +20 +00 +4e +00 +1b +00 +56 +00 +22 +00 +50 +00 +1d +00 +50 +00 +23 +00 +50 +00 +1b +00 +55 +00 +1f +00 +56 +00 +2b +00 +53 +00 +1e +00 +63 +00 +23 +00 +4a +00 +1d +00 +4b +00 +1a +00 +45 +00 +14 +00 +3b +00 +1b +00 +59 +00 +34 +00 +9b +00 +2e +00 +84 +00 +20 +00 +59 +00 +22 +00 +4c +00 +25 +00 +53 +00 +33 +00 +88 +00 +38 +00 +93 +00 +2d +00 +80 +00 +4c +00 +b5 +00 +36 +00 +8e +00 +3e +00 +e1 +00 +ae +00 +df +20 +17 +20 +c2 +50 +ac +10 +a3 +30 +93 +10 +41 +20 +54 +00 +c0 +00 +44 +00 +9d +00 +38 +00 +8a +00 +26 +00 +67 +00 +27 +00 +c7 +00 +7c +00 +e2 +10 +70 +00 +a0 +00 +25 +00 +53 +00 +1a +00 +43 +00 +17 +00 +32 +00 +21 +00 +4e +00 +22 +00 +45 +00 +23 +00 +4c +00 +17 +00 +35 +00 +14 +00 +39 +00 +18 +00 +35 +00 +11 +00 +3b +00 +19 +00 +3b +00 +14 +00 +37 +00 +16 +00 +33 +00 +15 +00 +43 +00 +19 +00 +3e +00 +15 +00 +3c +00 +1d +00 +41 +00 +20 +00 +5b +00 +23 +00 +50 +00 +20 +00 +5b +00 +20 +00 +47 +00 +1d +00 +63 +00 +a3 +00 +b2 +10 +84 +00 +98 +00 +2f +00 +58 +00 +1b +00 +3e +00 +1d +00 +4b +00 +28 +00 +4d +00 +25 +00 +49 +00 +14 +00 +3e +00 +20 +00 +45 +00 +1f +00 +42 +00 +24 +00 +56 +00 +15 +00 +4f +00 +20 +00 +59 +00 +1e +00 +4b +00 +20 +00 +42 +00 +1d +00 +4b +00 +22 +00 +50 +00 +20 +00 +4e +00 +48 +00 +02 +10 +35 +00 +72 +00 +20 +00 +5c +00 +59 +00 +8b +10 +37 +00 +6e +00 +22 +00 +57 +00 +21 +00 +4f +00 +17 +00 +4a +00 +1d +00 +45 +00 +22 +00 +50 +00 +20 +00 +3c +00 +22 +00 +49 +00 +23 +00 +61 +00 +24 +00 +59 +00 +22 +00 +50 +00 +1e +00 +4e +00 +1d +00 +49 +00 +19 +00 +48 +00 +17 +00 +54 +00 +42 +00 +fd +00 +20 +00 +4e +00 +25 +00 +79 +00 +00 +00 +00 +00 +93 +00 +6a +00 +95 +00 +6b +00 +9c +00 +68 +00 +9c +00 +65 +00 +b0 +00 +60 +00 +a2 +00 +72 +00 +9b +00 +68 +00 +a4 +00 +70 +00 +9d +00 +63 +00 +9f +00 +5d +00 +8e +00 +46 +00 +76 +00 +41 +00 +5e +00 +41 +00 +5a +00 +3c +00 +5c +00 +4b +00 +75 +00 +54 +00 +75 +00 +36 +00 +66 +00 +3d +00 +65 +00 +77 +00 +c4 +00 +c5 +00 +74 +10 +33 +10 +e4 +10 +42 +10 +01 +20 +02 +10 +f4 +00 +83 +00 +ae +00 +91 +00 +14 +10 +8d +00 +ab +00 +52 +00 +6d +00 +40 +00 +6d +00 +3e +00 +70 +00 +60 +00 +04 +10 +64 +00 +85 +00 +34 +00 +62 +00 +3e +00 +5e +00 +47 +00 +5f +00 +47 +00 +6e +00 +5b +00 +b4 +00 +5c +00 +70 +00 +48 +00 +73 +00 +79 +00 +b3 +00 +9e +00 +91 +00 +6b +00 +74 +00 +a9 +00 +8d +00 +a4 +00 +96 +00 +59 +00 +68 +00 +43 +00 +5d +00 +36 +00 +5c +00 +3d +00 +68 +00 +39 +00 +58 +00 +41 +00 +56 +00 +37 +00 +65 +00 +3b +00 +66 +00 +48 +00 +5e +00 +35 +00 +4d +00 +31 +00 +5c +00 +32 +00 +5e +00 +31 +00 +5a +00 +36 +00 +60 +00 +3b +00 +6e +00 +3c +00 +58 +00 +35 +00 +86 +00 +48 +00 +57 +00 +34 +00 +65 +00 +3d +00 +65 +00 +4d +00 +96 +00 +54 +00 +ac +00 +49 +00 +56 +00 +2c +00 +57 +00 +3a +00 +64 +00 +5d +00 +07 +10 +d5 +00 +98 +10 +f0 +00 +4a +10 +24 +10 +ef +00 +69 +00 +82 +00 +48 +00 +72 +00 +5d +00 +93 +00 +4b +00 +96 +00 +6e +00 +9d +00 +7a +00 +c1 +00 +c5 +00 +c7 +10 +81 +20 +88 +30 +f7 +10 +ac +20 +f7 +10 +47 +30 +54 +20 +03 +30 +53 +20 +7a +30 +e8 +20 +ad +60 +3c +20 +b4 +10 +d9 +00 +ec +00 +e7 +10 +26 +50 +a0 +20 +73 +40 +1e +40 +e6 +70 +a2 +40 +b6 +60 +2d +50 +24 +70 +12 +50 +e8 +60 +8d +30 +c5 +30 +59 +30 +e5 +40 +f7 +20 +e4 +20 +b0 +20 +b1 +40 +2c +20 +e0 +20 +4a +20 +5a +30 +b2 +20 +28 +40 +5a +20 +8a +30 +eb +10 +f6 +10 +29 +10 +12 +10 +c4 +00 +a7 +00 +9c +10 +66 +40 +75 +30 +6e +50 +b0 +20 +ad +20 +c5 +10 +61 +20 +d5 +20 +cb +40 +f0 +30 +5c +60 +8c +30 +98 +50 +9d +20 +30 +30 +6c +30 +8b +40 +e5 +20 +c2 +30 +22 +20 +15 +40 +9c +10 +6d +10 +ae +00 +ce +00 +7b +00 +01 +10 +bd +00 +83 +10 +cf +00 +66 +10 +e4 +00 +c8 +10 +d7 +10 +12 +20 +ee +00 +e2 +00 +68 +10 +d0 +20 +7a +30 +db +40 +3b +30 +e4 +50 +8f +20 +1c +40 +38 +30 +08 +40 +f5 +20 +8d +20 +77 +10 +8d +10 +fe +20 +a4 +60 +13 +50 +69 +80 +fe +50 +81 +90 +47 +60 +70 +90 +68 +60 +ba +90 +94 +50 +c6 +60 +d5 +20 +d6 +10 +10 +30 +94 +50 +68 +20 +83 +20 +57 +10 +73 +10 +fc +00 +24 +10 +28 +10 +bd +20 +63 +10 +0e +20 +c7 +00 +da +00 +75 +00 +a7 +00 +83 +00 +a6 +00 +53 +00 +80 +00 +4f +00 +85 +00 +41 +00 +75 +00 +43 +00 +78 +00 +55 +00 +8f +00 +a0 +00 +b1 +00 +94 +00 +cf +00 +74 +00 +b0 +00 +4a +00 +75 +00 +41 +00 +68 +00 +34 +00 +5c +00 +47 +00 +68 +00 +37 +00 +59 +00 +2e +00 +54 +00 +31 +00 +4c +00 +29 +00 +54 +00 +2a +00 +45 +00 +30 +00 +49 +00 +2e +00 +4a +00 +31 +00 +55 +00 +2c +00 +53 +00 +29 +00 +43 +00 +2c +00 +42 +00 +2a +00 +48 +00 +38 +00 +6d +00 +4c +00 +85 +00 +4e +00 +81 +00 +79 +00 +69 +00 +3d +00 +4e +00 +4a +00 +66 +00 +41 +00 +97 +00 +74 +00 +99 +00 +94 +00 +4d +10 +93 +00 +a3 +00 +72 +00 +89 +00 +d6 +00 +3b +10 +c9 +10 +af +20 +a5 +20 +29 +50 +19 +30 +db +40 +06 +30 +ea +20 +81 +10 +f2 +00 +84 +00 +92 +00 +4b +00 +71 +00 +39 +00 +66 +00 +69 +00 +ab +10 +e8 +00 +6b +10 +71 +00 +68 +00 +39 +00 +46 +00 +23 +00 +42 +00 +25 +00 +45 +00 +2e +00 +4f +00 +2c +00 +3f +00 +28 +00 +33 +00 +22 +00 +34 +00 +24 +00 +3c +00 +1c +00 +34 +00 +25 +00 +34 +00 +27 +00 +36 +00 +26 +00 +3d +00 +1f +00 +41 +00 +28 +00 +49 +00 +20 +00 +47 +00 +1e +00 +48 +00 +26 +00 +53 +00 +24 +00 +5b +00 +25 +00 +56 +00 +26 +00 +45 +00 +2b +00 +4b +00 +41 +00 +84 +00 +78 +00 +99 +10 +6a +00 +bc +00 +45 +00 +50 +00 +29 +00 +4f +00 +30 +00 +60 +00 +2c +00 +58 +00 +33 +00 +3f +00 +29 +00 +4b +00 +21 +00 +47 +00 +23 +00 +56 +00 +28 +00 +4d +00 +20 +00 +4b +00 +24 +00 +5b +00 +2e +00 +42 +00 +25 +00 +45 +00 +2a +00 +43 +00 +2f +00 +4d +00 +45 +00 +70 +00 +3b +00 +78 +00 +4c +00 +51 +00 +45 +00 +ed +00 +b4 +00 +07 +10 +46 +00 +62 +00 +2e +00 +48 +00 +25 +00 +50 +00 +26 +00 +4f +00 +28 +00 +47 +00 +24 +00 +50 +00 +1f +00 +4c +00 +27 +00 +52 +00 +21 +00 +41 +00 +27 +00 +6b +00 +28 +00 +51 +00 +2c +00 +4a +00 +43 +00 +4d +00 +2f +00 +43 +00 +33 +00 +71 +00 +38 +00 +86 +00 +4e +00 +5c +00 +7b +00 +00 +00 +00 +00 +36 +00 +97 +00 +34 +00 +96 +00 +37 +00 +98 +00 +35 +00 +a2 +00 +36 +00 +99 +00 +3c +00 +a8 +00 +38 +00 +a0 +00 +37 +00 +9b +00 +3b +00 +9a +00 +38 +00 +8c +00 +24 +00 +70 +00 +26 +00 +58 +00 +28 +00 +55 +00 +23 +00 +58 +00 +21 +00 +5c +00 +4e +00 +4b +10 +3b +00 +75 +00 +21 +00 +5e +00 +28 +00 +78 +00 +34 +00 +f1 +00 +55 +00 +5e +10 +5f +00 +5f +10 +5c +00 +05 +10 +36 +00 +87 +00 +35 +00 +cb +00 +46 +00 +b8 +00 +28 +00 +81 +00 +24 +00 +6f +00 +20 +00 +6e +00 +26 +00 +72 +00 +45 +00 +c5 +00 +2d +00 +69 +00 +25 +00 +66 +00 +22 +00 +65 +00 +21 +00 +63 +00 +26 +00 +87 +00 +2b +00 +7c +00 +23 +00 +76 +00 +30 +00 +ab +00 +e8 +00 +47 +20 +7a +00 +d6 +00 +3c +00 +b8 +00 +ee +00 +94 +20 +55 +00 +98 +00 +28 +00 +6f +00 +1f +00 +6a +00 +1b +00 +58 +00 +27 +00 +63 +00 +24 +00 +5a +00 +21 +00 +56 +00 +27 +00 +6a +00 +20 +00 +74 +00 +1e +00 +5a +00 +22 +00 +54 +00 +1c +00 +67 +00 +24 +00 +64 +00 +24 +00 +55 +00 +25 +00 +60 +00 +22 +00 +4c +00 +1d +00 +5b +00 +23 +00 +5f +00 +24 +00 +4a +00 +21 +00 +5f +00 +24 +00 +5a +00 +20 +00 +69 +00 +1c +00 +6a +00 +1f +00 +48 +00 +1f +00 +5e +00 +2e +00 +84 +00 +5b +00 +04 +10 +5b +00 +33 +10 +2f +10 +33 +30 +6a +00 +bd +00 +2a +00 +88 +00 +2d +00 +66 +00 +2a +00 +9f +00 +35 +00 +aa +00 +36 +00 +8b +00 +41 +00 +e9 +00 +fa +00 +74 +40 +fb +10 +f5 +30 +95 +00 +f3 +10 +8b +00 +da +10 +95 +00 +f2 +10 +ae +00 +fa +20 +69 +10 +31 +30 +69 +00 +cf +00 +59 +00 +5b +20 +fd +00 +b2 +20 +fc +00 +55 +40 +fe +10 +a5 +60 +ae +20 +01 +80 +e5 +20 +74 +80 +b5 +20 +5f +50 +2b +10 +fd +30 +be +10 +f5 +40 +e2 +00 +c0 +30 +31 +10 +b1 +20 +c4 +00 +17 +30 +f3 +00 +a4 +20 +b6 +00 +13 +20 +a8 +00 +fc +10 +6c +00 +09 +10 +3d +00 +9c +00 +37 +00 +70 +10 +d9 +10 +f1 +50 +49 +20 +66 +50 +db +00 +fb +10 +a0 +00 +f9 +20 +28 +20 +40 +70 +0b +20 +a0 +40 +82 +10 +7b +30 +7a +10 +47 +60 +33 +20 +50 +40 +e4 +00 +48 +20 +9b +00 +c6 +10 +86 +00 +fc +00 +42 +00 +aa +00 +4b +00 +e0 +00 +5a +00 +23 +10 +74 +00 +24 +10 +08 +10 +ae +40 +84 +10 +65 +20 +95 +00 +c9 +10 +e3 +10 +bf +60 +74 +20 +c3 +40 +02 +10 +14 +30 +0e +20 +a5 +50 +44 +20 +fb +60 +95 +10 +ce +20 +1e +10 +fb +40 +ef +20 +90 +80 +71 +30 +d9 +90 +a7 +30 +17 +a0 +87 +30 +3a +a0 +82 +30 +f0 +90 +f2 +20 +a8 +50 +bc +10 +39 +60 +7f +20 +1e +40 +e1 +00 +f8 +10 +75 +00 +14 +10 +62 +00 +73 +10 +a5 +00 +ca +10 +80 +00 +17 +10 +55 +00 +c7 +00 +45 +00 +e9 +00 +56 +00 +b1 +00 +2a +00 +8d +00 +30 +00 +82 +00 +2c +00 +7a +00 +2d +00 +87 +00 +41 +00 +3d +10 +47 +00 +b1 +00 +41 +00 +a2 +00 +36 +00 +7d +00 +26 +00 +87 +00 +2c +00 +7c +00 +2b +00 +8c +00 +20 +00 +4f +00 +1f +00 +5e +00 +1c +00 +52 +00 +18 +00 +4a +00 +1c +00 +42 +00 +23 +00 +4c +00 +23 +00 +5f +00 +23 +00 +56 +00 +2a +00 +54 +00 +27 +00 +4f +00 +1a +00 +4b +00 +1a +00 +51 +00 +1b +00 +54 +00 +2c +00 +68 +00 +23 +00 +71 +00 +2c +00 +83 +00 +27 +00 +4e +00 +26 +00 +d4 +00 +38 +00 +87 +00 +5d +00 +65 +10 +3e +00 +eb +00 +e1 +00 +53 +10 +5a +00 +ab +00 +44 +00 +1b +10 +59 +10 +83 +40 +ff +10 +e8 +50 +1e +20 +e3 +40 +86 +10 +1e +60 +78 +20 +f1 +30 +69 +00 +b8 +00 +3d +00 +8b +00 +2b +00 +65 +00 +27 +00 +92 +00 +74 +00 +56 +10 +54 +00 +c3 +00 +25 +00 +4d +00 +17 +00 +4f +00 +17 +00 +41 +00 +20 +00 +80 +00 +2f +00 +4e +00 +17 +00 +3d +00 +16 +00 +3a +00 +12 +00 +39 +00 +10 +00 +38 +00 +16 +00 +3c +00 +1b +00 +3e +00 +1c +00 +48 +00 +14 +00 +38 +00 +17 +00 +46 +00 +1b +00 +41 +00 +16 +00 +46 +00 +1e +00 +52 +00 +26 +00 +56 +00 +1f +00 +51 +00 +1f +00 +4b +00 +21 +00 +49 +00 +2a +00 +58 +00 +32 +00 +93 +00 +43 +00 +b0 +00 +26 +00 +61 +00 +22 +00 +55 +00 +20 +00 +81 +00 +22 +00 +53 +00 +26 +00 +68 +00 +1d +00 +4b +00 +1e +00 +47 +00 +19 +00 +42 +00 +20 +00 +4f +00 +21 +00 +4d +00 +25 +00 +46 +00 +23 +00 +4b +00 +21 +00 +4a +00 +20 +00 +49 +00 +1f +00 +54 +00 +25 +00 +58 +00 +1f +00 +6e +00 +2c +00 +67 +00 +1f +00 +5d +00 +37 +00 +ba +00 +47 +00 +91 +00 +2b +00 +4a +00 +22 +00 +4f +00 +19 +00 +57 +00 +1f +00 +3c +00 +1c +00 +51 +00 +1b +00 +52 +00 +1e +00 +4d +00 +2d +00 +69 +00 +18 +00 +50 +00 +21 +00 +4e +00 +1f +00 +4f +00 +26 +00 +df +00 +28 +00 +4f +00 +1d +00 +49 +00 +2e +00 +65 +00 +2b +00 +65 +00 +6a +00 +0c +10 +00 +00 +00 +00 +a9 +00 +66 +00 +9e +00 +69 +00 +94 +00 +6c +00 +b0 +00 +68 +00 +a3 +00 +73 +00 +94 +00 +73 +00 +a5 +00 +6b +00 +a6 +00 +73 +00 +ab +00 +66 +00 +9a +00 +61 +00 +7f +00 +45 +00 +68 +00 +39 +00 +62 +00 +3e +00 +65 +00 +40 +00 +5d +00 +45 +00 +67 +00 +51 +00 +8d +00 +51 +00 +7d +00 +4e +00 +6c +00 +5f +00 +78 +00 +8f +00 +d5 +00 +c2 +00 +ef +00 +c1 +00 +e7 +00 +77 +00 +89 +00 +53 +00 +8e +00 +72 +00 +9c +00 +5a +00 +72 +00 +40 +00 +61 +00 +3c +00 +5c +00 +43 +00 +57 +00 +47 +00 +76 +00 +46 +00 +72 +00 +3b +00 +62 +00 +45 +00 +66 +00 +37 +00 +59 +00 +41 +00 +5f +00 +48 +00 +6f +00 +45 +00 +60 +00 +47 +00 +6b +00 +a4 +00 +2c +20 +02 +10 +43 +20 +3c +20 +3c +20 +20 +10 +88 +20 +f1 +10 +63 +20 +93 +00 +7a +00 +41 +00 +5f +00 +3c +00 +54 +00 +52 +00 +5d +00 +44 +00 +66 +00 +3f +00 +56 +00 +41 +00 +68 +00 +57 +00 +77 +00 +3e +00 +48 +00 +36 +00 +54 +00 +2f +00 +51 +00 +3d +00 +6a +00 +2e +00 +5a +00 +33 +00 +64 +00 +42 +00 +60 +00 +33 +00 +5c +00 +74 +00 +98 +00 +3d +00 +5d +00 +39 +00 +5e +00 +38 +00 +5b +00 +3b +00 +56 +00 +37 +00 +5a +00 +44 +00 +54 +00 +2d +00 +4c +00 +2b +00 +63 +00 +55 +00 +8e +00 +6b +00 +cc +00 +fe +10 +6f +40 +c7 +10 +dd +10 +89 +00 +7f +00 +57 +00 +92 +00 +8e +00 +c1 +00 +5a +00 +84 +00 +54 +00 +7e +00 +60 +00 +8b +00 +97 +00 +08 +10 +f4 +00 +7c +20 +44 +20 +bb +20 +7b +10 +8e +10 +34 +10 +83 +10 +45 +10 +9e +10 +56 +10 +03 +20 +fb +00 +4a +10 +cd +00 +c4 +00 +ea +00 +85 +10 +47 +10 +f5 +10 +f2 +10 +9b +30 +e7 +30 +ab +70 +17 +50 +6e +80 +11 +50 +85 +70 +e5 +30 +31 +40 +1e +30 +22 +50 +01 +30 +0c +30 +0f +20 +90 +20 +72 +10 +c6 +10 +bd +10 +57 +20 +ac +10 +b7 +10 +20 +10 +6b +10 +de +00 +fd +00 +9b +00 +a5 +00 +72 +00 +a6 +00 +50 +10 +de +30 +a1 +10 +2c +30 +e4 +10 +49 +20 +8b +10 +a7 +10 +b3 +20 +c0 +50 +e8 +20 +2f +40 +1c +20 +50 +20 +a4 +10 +a5 +20 +dc +20 +54 +60 +5f +20 +69 +20 +56 +10 +58 +10 +c5 +00 +19 +10 +98 +00 +c9 +00 +7f +00 +b4 +00 +98 +00 +e7 +00 +bd +00 +6f +10 +d8 +00 +34 +10 +21 +10 +d2 +30 +df +20 +70 +40 +99 +30 +0b +40 +2b +40 +c7 +70 +0e +30 +d4 +20 +26 +30 +3b +60 +98 +30 +ae +50 +a5 +20 +89 +40 +51 +30 +71 +60 +91 +40 +2d +80 +a2 +50 +b6 +90 +7e +60 +5d +a0 +d8 +60 +16 +a0 +0b +70 +43 +a0 +88 +60 +75 +90 +bc +50 +f7 +70 +48 +50 +3a +80 +fa +30 +62 +40 +88 +20 +b1 +10 +2b +10 +0f +10 +6c +10 +d8 +10 +00 +10 +3a +10 +a1 +00 +dc +00 +80 +00 +fb +00 +c2 +00 +f1 +00 +71 +00 +a4 +00 +51 +00 +88 +00 +4e +00 +7b +00 +47 +00 +6b +00 +4f +00 +a0 +00 +ca +00 +4f +10 +95 +00 +a7 +00 +5f +00 +81 +00 +40 +00 +54 +00 +32 +00 +79 +00 +8c +00 +4c +10 +99 +00 +8c +00 +42 +00 +5d +00 +2d +00 +48 +00 +2d +00 +55 +00 +33 +00 +4e +00 +27 +00 +53 +00 +28 +00 +48 +00 +37 +00 +5f +00 +2b +00 +54 +00 +2e +00 +5a +00 +34 +00 +51 +00 +24 +00 +4b +00 +2d +00 +49 +00 +34 +00 +63 +00 +30 +00 +62 +00 +50 +00 +cd +00 +78 +00 +70 +00 +40 +00 +68 +00 +52 +00 +c1 +00 +67 +00 +06 +10 +cf +00 +eb +00 +86 +00 +08 +10 +9f +00 +db +00 +7c +00 +d9 +00 +16 +20 +46 +50 +5c +30 +34 +50 +62 +20 +39 +40 +f3 +20 +ea +50 +26 +30 +7e +60 +3d +20 +f3 +10 +c8 +00 +9c +00 +59 +00 +6b +00 +3d +00 +75 +00 +56 +00 +b1 +00 +4d +00 +b1 +00 +4d +00 +71 +00 +30 +00 +54 +00 +2d +00 +3e +00 +2b +00 +63 +00 +62 +00 +23 +10 +43 +00 +4f +00 +2a +00 +2f +00 +2a +00 +40 +00 +1a +00 +3b +00 +1f +00 +40 +00 +2c +00 +49 +00 +2c +00 +4c +00 +28 +00 +39 +00 +1f +00 +4d +00 +27 +00 +3c +00 +1c +00 +46 +00 +26 +00 +5a +00 +28 +00 +4d +00 +24 +00 +41 +00 +29 +00 +52 +00 +29 +00 +52 +00 +41 +00 +61 +00 +9f +00 +80 +00 +70 +00 +82 +00 +52 +00 +6d +00 +37 +00 +64 +00 +2e +00 +53 +00 +3f +00 +6d +00 +5d +00 +ed +00 +b0 +00 +9a +00 +3d +00 +4b +00 +2b +00 +51 +00 +31 +00 +4a +00 +29 +00 +4b +00 +21 +00 +55 +00 +27 +00 +53 +00 +2f +00 +42 +00 +26 +00 +47 +00 +26 +00 +54 +00 +24 +00 +62 +00 +35 +00 +5d +00 +41 +00 +67 +00 +3b +00 +68 +00 +47 +00 +7e +00 +3d +00 +85 +00 +3b +00 +55 +00 +33 +00 +47 +00 +29 +00 +41 +00 +29 +00 +5c +00 +28 +00 +4a +00 +28 +00 +45 +00 +22 +00 +4b +00 +2a +00 +56 +00 +21 +00 +52 +00 +27 +00 +4e +00 +30 +00 +5b +00 +3b +00 +cf +00 +ba +00 +da +00 +41 +00 +4a +00 +34 +00 +78 +00 +5e +00 +8a +00 +65 +00 +b0 +00 +57 +00 +00 +00 +00 +00 +34 +00 +a6 +00 +3b +00 +8a +00 +36 +00 +9e +00 +41 +00 +a4 +00 +3c +00 +a5 +00 +34 +00 +99 +00 +3c +00 +97 +00 +38 +00 +a5 +00 +36 +00 +9c +00 +35 +00 +90 +00 +30 +00 +6f +00 +20 +00 +5e +00 +22 +00 +7d +00 +29 +00 +5b +00 +22 +00 +5a +00 +29 +00 +74 +00 +28 +00 +80 +00 +35 +00 +77 +00 +24 +00 +65 +00 +25 +00 +88 +00 +39 +00 +a6 +00 +39 +00 +a6 +00 +2d +00 +7a +00 +2c +00 +6d +00 +2a +00 +82 +00 +31 +00 +7a +00 +1f +00 +74 +00 +20 +00 +66 +00 +21 +00 +5d +00 +23 +00 +5c +00 +27 +00 +66 +00 +22 +00 +58 +00 +1a +00 +64 +00 +22 +00 +5e +00 +24 +00 +5c +00 +21 +00 +53 +00 +2a +00 +67 +00 +1f +00 +6a +00 +30 +00 +a7 +00 +60 +00 +1c +10 +a6 +00 +82 +30 +ce +10 +f3 +20 +23 +10 +ce +30 +b8 +00 +f8 +00 +2e +00 +6f +00 +28 +00 +65 +00 +1f +00 +65 +00 +24 +00 +67 +00 +26 +00 +74 +00 +27 +00 +66 +00 +4d +00 +52 +10 +3c +00 +76 +00 +19 +00 +53 +00 +1b +00 +55 +00 +1d +00 +59 +00 +21 +00 +5f +00 +21 +00 +69 +00 +1a +00 +5f +00 +1d +00 +4d +00 +22 +00 +98 +00 +45 +00 +84 +00 +28 +00 +53 +00 +1d +00 +5f +00 +1e +00 +4f +00 +24 +00 +65 +00 +23 +00 +5a +00 +22 +00 +60 +00 +20 +00 +50 +00 +27 +00 +6d +00 +27 +00 +8d +00 +7b +00 +84 +30 +91 +10 +52 +30 +93 +00 +d7 +00 +2b +00 +82 +00 +52 +00 +31 +10 +5f +00 +ab +00 +27 +00 +77 +00 +33 +00 +72 +00 +32 +00 +93 +00 +44 +00 +fc +00 +78 +00 +49 +20 +03 +10 +d0 +10 +5a +00 +fd +00 +6d +00 +02 +10 +4a +00 +14 +10 +64 +00 +23 +10 +4e +00 +bd +00 +4b +00 +de +00 +59 +00 +48 +10 +62 +00 +7f +10 +a0 +00 +23 +30 +c9 +10 +f6 +50 +8a +20 +a4 +70 +60 +20 +75 +60 +8b +10 +ee +30 +0b +20 +86 +50 +c9 +00 +4f +20 +a2 +00 +92 +10 +81 +00 +e3 +10 +f3 +00 +2b +20 +6f +00 +36 +10 +62 +00 +e9 +00 +43 +00 +ad +00 +31 +00 +8c +00 +36 +00 +10 +10 +db +00 +56 +20 +aa +00 +be +10 +7d +00 +2b +10 +c6 +00 +24 +40 +6f +10 +4b +30 +cc +00 +3c +20 +86 +00 +67 +10 +a6 +00 +d5 +20 +6f +10 +3c +30 +96 +00 +81 +10 +64 +00 +06 +10 +85 +00 +34 +10 +5a +00 +ba +00 +4f +00 +ce +00 +50 +00 +f1 +00 +af +00 +2f +10 +51 +00 +2a +10 +13 +10 +6c +50 +84 +20 +64 +70 +01 +20 +94 +60 +de +20 +18 +50 +28 +10 +f2 +40 +ab +20 +77 +60 +76 +10 +48 +30 +d6 +00 +38 +30 +88 +20 +57 +70 +f9 +20 +37 +90 +a1 +30 +0b +a0 +ba +30 +79 +a0 +ea +30 +5f +a0 +a3 +30 +fe +90 +ad +30 +64 +90 +48 +30 +4c +90 +60 +30 +3c +80 +6e +20 +58 +50 +ab +00 +6a +10 +bc +00 +e0 +30 +dc +00 +aa +10 +5c +00 +e9 +00 +3b +00 +db +00 +96 +00 +a7 +20 +7b +00 +dd +00 +3a +00 +95 +00 +2c +00 +81 +00 +2f +00 +75 +00 +31 +00 +95 +00 +37 +00 +fe +00 +79 +00 +2d +10 +3c +00 +85 +00 +2b +00 +5d +00 +1e +00 +6e +00 +2f +00 +fe +00 +6b +10 +3a +20 +37 +00 +6c +00 +27 +00 +58 +00 +21 +00 +51 +00 +1d +00 +4d +00 +1a +00 +47 +00 +22 +00 +4a +00 +20 +00 +57 +00 +28 +00 +5f +00 +21 +00 +60 +00 +1f +00 +59 +00 +1d +00 +53 +00 +1e +00 +4c +00 +1a +00 +59 +00 +21 +00 +5f +00 +23 +00 +82 +00 +61 +00 +e2 +00 +2f +00 +69 +00 +2a +00 +67 +00 +29 +00 +8a +00 +4e +00 +ef +00 +4b +00 +c2 +00 +4d +00 +84 +10 +53 +00 +ab +00 +73 +00 +c9 +20 +1c +20 +9b +50 +ff +00 +92 +20 +bc +00 +f8 +20 +7c +20 +b2 +40 +21 +10 +ce +20 +bc +00 +53 +10 +3b +00 +8d +00 +26 +00 +6c +00 +2f +00 +85 +00 +32 +00 +8a +00 +35 +00 +74 +00 +20 +00 +6e +00 +27 +00 +58 +00 +1d +00 +4c +00 +1c +00 +6b +00 +33 +00 +77 +00 +22 +00 +3c +00 +16 +00 +30 +00 +14 +00 +37 +00 +13 +00 +3a +00 +18 +00 +45 +00 +1d +00 +41 +00 +1b +00 +48 +00 +18 +00 +42 +00 +1f +00 +4b +00 +1e +00 +4f +00 +20 +00 +4b +00 +1d +00 +54 +00 +1e +00 +4f +00 +21 +00 +51 +00 +1c +00 +54 +00 +1c +00 +75 +00 +7d +00 +5b +20 +78 +00 +9e +00 +2d +00 +6d +00 +20 +00 +4f +00 +25 +00 +58 +00 +1e +00 +53 +00 +28 +00 +78 +00 +54 +00 +56 +10 +37 +00 +74 +00 +30 +00 +52 +00 +1b +00 +52 +00 +1e +00 +45 +00 +1a +00 +5e +00 +22 +00 +50 +00 +1a +00 +4a +00 +1b +00 +51 +00 +19 +00 +48 +00 +24 +00 +57 +00 +24 +00 +5c +00 +22 +00 +59 +00 +24 +00 +54 +00 +1e +00 +61 +00 +24 +00 +78 +00 +28 +00 +63 +00 +26 +00 +59 +00 +1b +00 +59 +00 +22 +00 +5b +00 +20 +00 +53 +00 +26 +00 +52 +00 +1b +00 +4b +00 +25 +00 +4e +00 +1d +00 +4e +00 +24 +00 +56 +00 +22 +00 +57 +00 +27 +00 +5e +00 +3e +00 +a1 +00 +3c +00 +74 +00 +19 +00 +60 +00 +28 +00 +c9 +00 +aa +00 +e4 +00 +2b +00 +72 +00 +00 +00 +00 +00 +90 +00 +65 +00 +95 +00 +70 +00 +a1 +00 +72 +00 +99 +00 +67 +00 +a5 +00 +65 +00 +9f +00 +66 +00 +9c +00 +68 +00 +95 +00 +70 +00 +a5 +00 +60 +00 +aa +00 +57 +00 +77 +00 +3d +00 +62 +00 +41 +00 +64 +00 +5d +00 +b6 +00 +4b +00 +5f +00 +3d +00 +6b +00 +88 +00 +a3 +00 +7b +00 +93 +00 +55 +00 +73 +00 +54 +00 +6a +00 +7e +00 +83 +00 +6e +00 +7e +00 +60 +00 +79 +00 +55 +00 +63 +00 +48 +00 +75 +00 +4c +00 +70 +00 +45 +00 +66 +00 +3d +00 +5e +00 +3d +00 +62 +00 +34 +00 +67 +00 +39 +00 +6a +00 +3a +00 +52 +00 +3c +00 +58 +00 +2e +00 +56 +00 +38 +00 +59 +00 +41 +00 +60 +00 +42 +00 +63 +00 +41 +00 +6d +00 +48 +00 +77 +00 +65 +00 +b7 +00 +aa +00 +61 +10 +83 +10 +39 +40 +93 +10 +d3 +10 +cb +00 +8e +10 +99 +00 +87 +00 +48 +00 +64 +00 +44 +00 +60 +00 +68 +00 +d5 +00 +73 +00 +8e +00 +48 +00 +6e +00 +3e +00 +a1 +00 +86 +00 +08 +10 +55 +00 +52 +00 +3e +00 +55 +00 +3c +00 +4e +00 +3d +00 +69 +00 +3a +00 +64 +00 +40 +00 +58 +00 +32 +00 +51 +00 +2d +00 +4a +00 +32 +00 +6f +00 +4b +00 +62 +00 +48 +00 +65 +00 +42 +00 +5a +00 +33 +00 +62 +00 +2d +00 +59 +00 +31 +00 +4e +00 +27 +00 +5b +00 +32 +00 +66 +00 +43 +00 +63 +00 +6b +00 +a7 +00 +d5 +00 +cf +10 +db +00 +3d +10 +8e +00 +73 +00 +41 +00 +72 +00 +53 +00 +8f +00 +5e +00 +80 +00 +5d +00 +94 +00 +4a +00 +68 +00 +6b +00 +a4 +00 +b7 +00 +99 +10 +31 +10 +31 +30 +2a +10 +ee +00 +ad +00 +c0 +00 +a9 +00 +bf +00 +a9 +00 +ea +00 +86 +00 +cc +00 +88 +00 +a6 +00 +8e +00 +cf +00 +db +00 +09 +10 +32 +10 +76 +10 +f5 +10 +c1 +20 +39 +30 +56 +50 +aa +30 +d5 +60 +c0 +30 +6a +40 +f9 +20 +7b +50 +5e +20 +f8 +20 +fe +10 +7b +20 +48 +10 +37 +10 +3c +20 +52 +40 +0b +20 +ea +10 +dd +00 +d9 +00 +7f +00 +9a +00 +6c +00 +94 +00 +71 +00 +96 +00 +bb +00 +11 +10 +13 +10 +9d +10 +15 +10 +29 +10 +1d +10 +40 +20 +a3 +10 +82 +20 +0b +20 +9b +20 +a9 +10 +5f +10 +42 +10 +60 +10 +fb +10 +35 +50 +cb +10 +09 +20 +57 +10 +5b +10 +a8 +00 +da +00 +a3 +00 +79 +10 +83 +00 +d5 +00 +d0 +00 +04 +10 +f8 +00 +9a +10 +ec +00 +23 +10 +eb +00 +e2 +10 +ef +20 +04 +70 +58 +30 +ec +60 +fc +30 +04 +80 +19 +40 +4f +60 +aa +30 +19 +70 +8d +30 +fa +30 +e7 +10 +07 +20 +a7 +10 +2a +30 +36 +20 +34 +40 +d1 +30 +b8 +80 +42 +60 +13 +a0 +0d +70 +b9 +a0 +4c +70 +46 +a0 +ec +60 +29 +a0 +ae +60 +88 +90 +64 +60 +e6 +90 +2b +60 +32 +90 +9e +40 +63 +30 +06 +20 +05 +20 +44 +30 +b0 +40 +7f +10 +44 +10 +c1 +00 +e2 +00 +aa +00 +13 +10 +b0 +00 +4a +10 +7d +00 +b5 +00 +82 +00 +a1 +00 +67 +00 +7b +00 +50 +00 +81 +00 +45 +00 +8b +00 +5a +00 +ad +00 +74 +00 +fa +00 +61 +00 +78 +00 +38 +00 +65 +00 +46 +00 +75 +00 +b1 +00 +9b +30 +eb +00 +23 +10 +59 +00 +64 +00 +39 +00 +60 +00 +36 +00 +5f +00 +31 +00 +52 +00 +37 +00 +4c +00 +34 +00 +56 +00 +34 +00 +60 +00 +2e +00 +5d +00 +35 +00 +58 +00 +2f +00 +56 +00 +33 +00 +47 +00 +2b +00 +5b +00 +30 +00 +59 +00 +36 +00 +57 +00 +51 +00 +9c +00 +3c +00 +77 +00 +36 +00 +59 +00 +39 +00 +62 +00 +46 +00 +8d +00 +40 +00 +98 +00 +6e +00 +b5 +00 +9c +00 +f1 +00 +d2 +00 +20 +10 +63 +20 +4b +50 +81 +20 +ab +20 +b8 +10 +e4 +10 +b6 +20 +5c +60 +22 +30 +ec +20 +cb +10 +b2 +20 +62 +10 +de +00 +69 +00 +6a +00 +45 +00 +59 +00 +44 +00 +6e +00 +45 +00 +72 +00 +3c +00 +61 +00 +31 +00 +53 +00 +23 +00 +4a +00 +2e +00 +45 +00 +36 +00 +5f +00 +2b +00 +53 +00 +28 +00 +33 +00 +27 +00 +38 +00 +20 +00 +3d +00 +21 +00 +42 +00 +29 +00 +39 +00 +2b +00 +4b +00 +29 +00 +3f +00 +23 +00 +3b +00 +2c +00 +46 +00 +43 +00 +66 +00 +ce +00 +f3 +00 +50 +00 +5d +00 +30 +00 +51 +00 +2a +00 +4c +00 +2e +00 +63 +00 +4f +00 +e6 +00 +e5 +00 +6a +10 +88 +00 +07 +10 +59 +00 +62 +00 +2d +00 +48 +00 +2f +00 +51 +00 +27 +00 +5f +00 +39 +00 +75 +00 +3d +00 +84 +00 +4c +00 +58 +00 +34 +00 +41 +00 +2e +00 +4b +00 +2a +00 +4a +00 +24 +00 +4b +00 +28 +00 +4b +00 +26 +00 +45 +00 +29 +00 +48 +00 +29 +00 +5d +00 +2f +00 +53 +00 +31 +00 +4e +00 +2a +00 +65 +00 +34 +00 +66 +00 +38 +00 +6a +00 +45 +00 +60 +00 +38 +00 +5f +00 +2f +00 +52 +00 +36 +00 +4f +00 +26 +00 +4b +00 +30 +00 +4e +00 +26 +00 +52 +00 +2b +00 +49 +00 +2b +00 +45 +00 +32 +00 +50 +00 +29 +00 +4b +00 +32 +00 +61 +00 +35 +00 +6f +00 +33 +00 +7a +00 +35 +00 +57 +00 +33 +00 +56 +00 +4b +00 +38 +10 +80 +00 +8b +00 +44 +00 +00 +00 +00 +00 +35 +00 +98 +00 +31 +00 +a2 +00 +2e +00 +a2 +00 +40 +00 +a6 +00 +34 +00 +a6 +00 +37 +00 +9e +00 +41 +00 +a3 +00 +30 +00 +a2 +00 +37 +00 +99 +00 +34 +00 +7e +00 +2e +00 +60 +00 +2e +00 +86 +00 +20 +00 +82 +00 +31 +00 +7b +00 +26 +00 +63 +00 +2b +00 +e0 +00 +73 +00 +cf +00 +91 +00 +36 +10 +33 +00 +67 +00 +27 +00 +b6 +00 +25 +00 +79 +00 +25 +00 +69 +00 +18 +00 +58 +00 +28 +00 +60 +00 +26 +00 +68 +00 +21 +00 +64 +00 +22 +00 +63 +00 +26 +00 +5c +00 +21 +00 +4f +00 +17 +00 +57 +00 +22 +00 +5d +00 +21 +00 +50 +00 +1f +00 +59 +00 +1d +00 +52 +00 +1d +00 +5d +00 +23 +00 +60 +00 +35 +00 +c6 +00 +2c +00 +70 +00 +20 +00 +80 +00 +3b +00 +c0 +00 +8b +00 +cd +20 +25 +10 +94 +20 +70 +00 +1a +10 +4c +00 +a6 +00 +27 +00 +5d +00 +1e +00 +6b +00 +25 +00 +95 +00 +ad +00 +52 +10 +3e +00 +87 +00 +23 +00 +76 +00 +2c +00 +8b +00 +3a +00 +74 +00 +20 +00 +5c +00 +1b +00 +53 +00 +33 +00 +72 +00 +22 +00 +72 +00 +27 +00 +5f +00 +1f +00 +58 +00 +1b +00 +42 +00 +1d +00 +5a +00 +2c +00 +70 +00 +2c +00 +8a +00 +25 +00 +61 +00 +23 +00 +54 +00 +1d +00 +5a +00 +29 +00 +55 +00 +1e +00 +52 +00 +26 +00 +5f +00 +22 +00 +54 +00 +22 +00 +63 +00 +2f +00 +db +00 +5a +00 +24 +10 +49 +00 +a7 +00 +26 +00 +73 +00 +26 +00 +6f +00 +2d +00 +6c +00 +29 +00 +82 +00 +31 +00 +7d +00 +22 +00 +6c +00 +32 +00 +a9 +00 +83 +00 +d4 +10 +a6 +00 +7a +10 +3f +00 +aa +00 +38 +00 +9b +00 +38 +00 +a9 +00 +3b +00 +c1 +00 +3a +00 +ad +00 +3b +00 +98 +00 +41 +00 +ce +00 +52 +00 +06 +10 +76 +00 +ea +10 +3d +10 +80 +40 +9a +10 +7f +40 +db +10 +5c +50 +66 +10 +17 +30 +4f +10 +12 +30 +b2 +00 +36 +20 +58 +10 +b5 +20 +8f +00 +2d +30 +be +10 +0f +40 +88 +00 +3a +10 +45 +00 +a9 +00 +2e +00 +7f +00 +2f +00 +86 +00 +3b +00 +c9 +00 +4d +00 +21 +10 +70 +00 +3a +10 +54 +00 +00 +10 +7e +00 +95 +10 +de +00 +c1 +30 +a9 +10 +fd +20 +7e +00 +37 +10 +6d +00 +66 +20 +7c +10 +a7 +20 +90 +00 +45 +10 +61 +00 +d4 +00 +46 +00 +d0 +00 +7c +00 +0a +10 +5f +00 +74 +10 +1e +10 +5d +20 +ec +00 +df +10 +5b +00 +0e +10 +80 +00 +8b +20 +94 +10 +e4 +30 +6e +10 +cd +40 +3a +20 +51 +50 +6e +10 +ce +30 +8f +20 +92 +60 +38 +10 +7c +20 +7c +00 +97 +10 +9b +00 +1b +20 +ba +00 +32 +30 +11 +20 +f8 +80 +94 +30 +8e +a0 +d1 +30 +f2 +a0 +e3 +30 +59 +a0 +a1 +30 +08 +a0 +99 +30 +2e +a0 +bb +30 +0a +a0 +80 +30 +8c +80 +69 +10 +b2 +20 +73 +10 +4a +60 +7f +10 +80 +20 +78 +00 +0a +10 +5a +00 +72 +10 +69 +00 +10 +10 +4e +00 +f1 +00 +54 +00 +12 +10 +40 +00 +9a +00 +3f +00 +8d +00 +34 +00 +7f +00 +35 +00 +8d +00 +2c +00 +95 +00 +39 +00 +93 +00 +29 +00 +68 +00 +1e +00 +6b +00 +2a +00 +b6 +00 +90 +00 +0f +10 +50 +00 +9e +00 +20 +00 +63 +00 +5b +00 +a0 +00 +28 +00 +4e +00 +1f +00 +4b +00 +1f +00 +53 +00 +1b +00 +56 +00 +22 +00 +58 +00 +22 +00 +54 +00 +1f +00 +5e +00 +21 +00 +53 +00 +21 +00 +63 +00 +34 +00 +58 +00 +1f +00 +5a +00 +20 +00 +57 +00 +2a +00 +7c +00 +25 +00 +60 +00 +29 +00 +56 +00 +24 +00 +64 +00 +3b +00 +96 +00 +30 +00 +83 +00 +3a +00 +9c +00 +74 +00 +8c +10 +eb +00 +08 +50 +9e +20 +7e +40 +c6 +00 +54 +20 +b4 +00 +2f +40 +eb +10 +25 +40 +26 +10 +2c +30 +64 +10 +24 +20 +51 +00 +8d +00 +2c +00 +6b +00 +24 +00 +63 +00 +31 +00 +64 +00 +1b +00 +4c +00 +1e +00 +4d +00 +21 +00 +51 +00 +1a +00 +4f +00 +20 +00 +5a +00 +24 +00 +4e +00 +1a +00 +33 +00 +0f +00 +2f +00 +16 +00 +35 +00 +15 +00 +3b +00 +1d +00 +40 +00 +18 +00 +4d +00 +20 +00 +51 +00 +1e +00 +47 +00 +1c +00 +50 +00 +1c +00 +5d +00 +b6 +00 +54 +20 +5c +00 +94 +00 +23 +00 +56 +00 +1c +00 +52 +00 +23 +00 +51 +00 +28 +00 +55 +00 +35 +00 +dd +00 +4f +00 +a6 +00 +2b +00 +6d +00 +21 +00 +48 +00 +1d +00 +4a +00 +1f +00 +5a +00 +29 +00 +66 +00 +34 +00 +70 +00 +27 +00 +6e +00 +27 +00 +59 +00 +20 +00 +58 +00 +21 +00 +49 +00 +27 +00 +57 +00 +1c +00 +55 +00 +1f +00 +51 +00 +1b +00 +56 +00 +1a +00 +4a +00 +1c +00 +5e +00 +21 +00 +50 +00 +22 +00 +61 +00 +2a +00 +5e +00 +26 +00 +65 +00 +26 +00 +76 +00 +27 +00 +59 +00 +21 +00 +53 +00 +1d +00 +48 +00 +23 +00 +55 +00 +1f +00 +54 +00 +21 +00 +5e +00 +19 +00 +48 +00 +1a +00 +53 +00 +24 +00 +58 +00 +22 +00 +60 +00 +22 +00 +54 +00 +2b +00 +64 +00 +2d +00 +73 +00 +21 +00 +58 +00 +1b +00 +46 +00 +22 +00 +6b +00 +37 +00 +95 +00 +2d +00 +67 +00 +00 +00 +00 +00 +9c +00 +77 +00 +a0 +00 +6b +00 +9c +00 +6d +00 +a2 +00 +6c +00 +9e +00 +5e +00 +ab +00 +75 +00 +a7 +00 +6c +00 +9c +00 +73 +00 +a0 +00 +6b +00 +9f +00 +46 +00 +72 +00 +40 +00 +79 +00 +54 +00 +6e +00 +43 +00 +71 +00 +3d +00 +66 +00 +60 +00 +05 +10 +ce +00 +e8 +00 +6a +00 +d0 +00 +74 +00 +b2 +00 +7a +00 +d8 +00 +d6 +00 +e8 +00 +63 +00 +6c +00 +68 +00 +80 +00 +5e +00 +70 +00 +55 +00 +68 +00 +46 +00 +6c +00 +49 +00 +63 +00 +37 +00 +62 +00 +2f +00 +5e +00 +40 +00 +50 +00 +3f +00 +5b +00 +38 +00 +63 +00 +3e +00 +49 +00 +31 +00 +59 +00 +36 +00 +70 +00 +37 +00 +62 +00 +4e +00 +90 +00 +54 +00 +b7 +00 +47 +00 +6c +00 +48 +00 +9c +00 +81 +00 +c8 +00 +bf +00 +9f +10 +fc +00 +4b +10 +9c +00 +c9 +00 +62 +00 +6c +00 +3d +00 +5e +00 +46 +00 +d7 +00 +6f +00 +6a +10 +7d +00 +c7 +00 +47 +00 +73 +00 +41 +00 +66 +00 +3e +00 +86 +00 +45 +00 +57 +00 +34 +00 +55 +00 +38 +00 +6b +00 +3d +00 +72 +00 +3a +00 +5d +00 +30 +00 +63 +00 +38 +00 +4d +00 +34 +00 +4d +00 +3b +00 +61 +00 +66 +00 +ff +00 +e6 +00 +dd +00 +6e +00 +6e +00 +4d +00 +47 +00 +3c +00 +55 +00 +33 +00 +50 +00 +32 +00 +55 +00 +33 +00 +56 +00 +34 +00 +51 +00 +48 +00 +72 +00 +7a +00 +c7 +00 +8a +00 +b0 +00 +64 +00 +73 +00 +43 +00 +6d +00 +4b +00 +88 +00 +43 +00 +69 +00 +37 +00 +69 +00 +3d +00 +61 +00 +4f +00 +77 +00 +7c +00 +ae +00 +93 +00 +08 +10 +93 +00 +ca +00 +6e +00 +a9 +00 +63 +00 +8c +00 +75 +00 +a8 +00 +73 +00 +a5 +00 +75 +00 +8e +00 +72 +00 +bf +00 +35 +10 +80 +10 +2d +20 +f5 +10 +3b +20 +1d +40 +06 +30 +1f +50 +a4 +20 +33 +40 +57 +20 +51 +30 +c8 +10 +12 +20 +47 +10 +a0 +10 +1b +10 +de +10 +aa +10 +31 +20 +58 +10 +bf +20 +21 +10 +9d +10 +d8 +00 +b7 +00 +5f +00 +80 +00 +59 +00 +77 +00 +6d +00 +ab +00 +22 +10 +25 +10 +08 +10 +ac +20 +42 +10 +39 +10 +03 +10 +3f +10 +45 +10 +d1 +20 +40 +30 +59 +60 +56 +30 +a6 +30 +6b +10 +10 +10 +84 +10 +63 +20 +0a +10 +65 +10 +d2 +00 +d0 +00 +91 +00 +b2 +00 +6b +00 +bc +00 +78 +00 +d4 +00 +c7 +00 +c3 +10 +1d +20 +13 +50 +42 +10 +5d +10 +ee +00 +16 +10 +12 +10 +a9 +10 +76 +10 +57 +20 +f2 +10 +ed +20 +b7 +10 +80 +20 +ee +10 +3b +40 +6d +20 +24 +30 +a1 +10 +73 +10 +15 +10 +87 +10 +5b +10 +e9 +10 +68 +20 +6a +30 +69 +50 +90 +90 +ea +60 +77 +a0 +6d +70 +cf +a0 +14 +70 +6a +a0 +e5 +60 +5d +a0 +1c +70 +55 +a0 +df +60 +e2 +90 +c8 +50 +32 +60 +e6 +30 +53 +50 +1e +40 +02 +50 +22 +20 +db +10 +77 +10 +8c +10 +2e +10 +61 +10 +a9 +00 +f1 +00 +9e +00 +39 +10 +7b +10 +71 +10 +96 +00 +a2 +00 +64 +00 +8f +00 +54 +00 +7c +00 +57 +00 +81 +00 +4e +00 +8a +00 +4f +00 +84 +00 +3d +00 +66 +00 +56 +00 +71 +00 +83 +00 +ce +00 +66 +00 +ab +00 +5e +00 +68 +00 +3e +00 +ec +00 +73 +00 +93 +00 +37 +00 +4e +00 +2f +00 +50 +00 +34 +00 +51 +00 +2f +00 +4b +00 +2c +00 +5a +00 +33 +00 +58 +00 +36 +00 +58 +00 +3d +00 +4a +00 +7c +00 +36 +10 +3c +00 +61 +00 +34 +00 +58 +00 +32 +00 +61 +00 +3d +00 +69 +00 +3a +00 +5b +00 +42 +00 +56 +00 +42 +00 +8b +00 +5d +00 +6e +00 +4f +00 +80 +00 +6a +00 +f2 +00 +cc +00 +be +10 +e7 +20 +bd +60 +6d +30 +ed +30 +a6 +20 +5d +20 +4a +20 +e7 +20 +a7 +10 +86 +20 +ac +10 +ff +20 +09 +10 +02 +10 +7e +00 +84 +00 +48 +00 +62 +00 +37 +00 +5e +00 +3c +00 +62 +00 +3e +00 +5b +00 +34 +00 +48 +00 +2f +00 +4c +00 +29 +00 +4b +00 +30 +00 +47 +00 +29 +00 +3a +00 +24 +00 +31 +00 +23 +00 +36 +00 +25 +00 +35 +00 +29 +00 +49 +00 +34 +00 +47 +00 +45 +00 +5b +00 +3d +00 +44 +00 +29 +00 +46 +00 +2a +00 +57 +00 +49 +00 +fe +00 +6f +00 +cd +00 +4c +00 +72 +00 +3e +00 +50 +00 +27 +00 +57 +00 +32 +00 +5a +00 +3a +00 +67 +00 +49 +00 +8d +00 +5a +00 +7c +00 +4d +00 +55 +00 +2b +00 +3f +00 +25 +00 +4d +00 +34 +00 +4e +00 +30 +00 +68 +00 +35 +00 +5f +00 +3e +00 +55 +00 +2e +00 +58 +00 +2b +00 +46 +00 +29 +00 +4d +00 +29 +00 +54 +00 +1e +00 +4e +00 +26 +00 +40 +00 +2b +00 +4d +00 +2c +00 +56 +00 +2e +00 +55 +00 +3b +00 +5d +00 +48 +00 +99 +00 +3d +00 +6c +00 +91 +00 +c3 +00 +7f +00 +94 +00 +40 +00 +65 +00 +2e +00 +60 +00 +35 +00 +46 +00 +2f +00 +5c +00 +30 +00 +61 +00 +55 +00 +73 +00 +30 +00 +4c +00 +2d +00 +4d +00 +2b +00 +4d +00 +2b +00 +53 +00 +33 +00 +5a +00 +3f +00 +5a +00 +2f +00 +5b +00 +2c +00 +46 +00 +2b +00 +45 +00 +35 +00 +71 +00 +46 +00 +84 +00 +44 +00 +00 +00 +00 +00 +36 +00 +9d +00 +32 +00 +a6 +00 +3b +00 +a7 +00 +3b +00 +99 +00 +39 +00 +90 +00 +32 +00 +9f +00 +49 +00 +b5 +00 +37 +00 +a6 +00 +3f +00 +8d +00 +35 +00 +7b +00 +2c +00 +65 +00 +28 +00 +6e +00 +27 +00 +79 +00 +29 +00 +69 +00 +27 +00 +7f +00 +57 +00 +42 +10 +55 +00 +99 +00 +32 +00 +81 +00 +30 +00 +7b +00 +95 +00 +f3 +10 +63 +00 +a9 +00 +32 +00 +00 +10 +6e +00 +99 +00 +24 +00 +74 +00 +2b +00 +6c +00 +23 +00 +5b +00 +22 +00 +6a +00 +24 +00 +73 +00 +20 +00 +5b +00 +28 +00 +57 +00 +1e +00 +51 +00 +19 +00 +59 +00 +1d +00 +55 +00 +1e +00 +61 +00 +26 +00 +65 +00 +24 +00 +70 +00 +30 +00 +9c +00 +32 +00 +70 +00 +25 +00 +6d +00 +31 +00 +8a +00 +3c +00 +cc +00 +5a +00 +f9 +00 +38 +00 +b0 +00 +2c +00 +79 +00 +27 +00 +63 +00 +22 +00 +69 +00 +2a +00 +90 +00 +4f +00 +be +00 +33 +00 +7d +00 +2c +00 +63 +00 +23 +00 +71 +00 +2e +00 +69 +00 +20 +00 +5e +00 +21 +00 +5b +00 +26 +00 +65 +00 +2a +00 +68 +00 +24 +00 +5b +00 +1b +00 +4c +00 +17 +00 +43 +00 +1a +00 +5f +00 +43 +00 +e6 +00 +80 +00 +6e +10 +76 +00 +ed +00 +30 +00 +76 +00 +24 +00 +5a +00 +1c +00 +55 +00 +1e +00 +5a +00 +23 +00 +54 +00 +22 +00 +50 +00 +22 +00 +60 +00 +29 +00 +8c +00 +2c +00 +99 +00 +25 +00 +68 +00 +27 +00 +5d +00 +28 +00 +6f +00 +25 +00 +61 +00 +28 +00 +63 +00 +1c +00 +63 +00 +22 +00 +66 +00 +20 +00 +69 +00 +34 +00 +92 +00 +46 +00 +af +00 +2b +00 +8c +00 +5e +00 +ec +00 +34 +00 +87 +00 +2c +00 +97 +00 +68 +00 +09 +10 +38 +00 +8d +00 +54 +00 +d3 +10 +ba +10 +43 +50 +cc +10 +8c +40 +28 +10 +34 +30 +01 +10 +c4 +20 +12 +10 +b0 +30 +be +00 +c0 +10 +75 +00 +3f +10 +57 +00 +0d +10 +90 +00 +66 +20 +cd +00 +d6 +10 +7b +00 +50 +10 +59 +00 +dc +00 +43 +00 +8b +00 +31 +00 +7a +00 +31 +00 +78 +00 +60 +00 +53 +20 +aa +00 +10 +20 +a6 +00 +c9 +10 +b8 +00 +6c +10 +97 +00 +5e +10 +a7 +00 +83 +30 +a4 +20 +ec +60 +62 +10 +17 +20 +61 +00 +ce +10 +81 +00 +2e +10 +50 +00 +e7 +00 +6e +00 +01 +10 +44 +00 +93 +00 +3e +00 +9f +00 +45 +00 +be +00 +7e +00 +66 +20 +5a +10 +7b +20 +77 +00 +f5 +00 +4e +00 +1b +10 +71 +00 +8a +10 +85 +00 +a3 +10 +97 +00 +bc +10 +96 +00 +de +10 +d9 +00 +f1 +20 +c9 +00 +d8 +10 +62 +00 +0f +10 +5f +00 +52 +10 +86 +00 +41 +20 +aa +10 +8c +70 +87 +30 +ed +90 +fb +30 +9f +a0 +c0 +30 +91 +a0 +99 +30 +11 +a0 +b2 +30 +40 +a0 +a1 +30 +75 +a0 +a6 +30 +cc +90 +b6 +20 +db +60 +e3 +20 +f3 +70 +49 +20 +6c +40 +ca +00 +3e +20 +13 +10 +d6 +10 +7f +00 +29 +10 +4f +00 +ef +00 +d1 +00 +4b +30 +91 +00 +f7 +00 +41 +00 +9b +00 +3b +00 +91 +00 +33 +00 +88 +00 +32 +00 +86 +00 +2e +00 +7b +00 +29 +00 +72 +00 +29 +00 +73 +00 +7e +00 +d4 +10 +4a +00 +b7 +00 +2e +00 +76 +00 +22 +00 +70 +00 +30 +00 +85 +00 +35 +00 +79 +00 +24 +00 +50 +00 +23 +00 +4e +00 +20 +00 +54 +00 +1b +00 +52 +00 +25 +00 +5f +00 +23 +00 +5e +00 +23 +00 +65 +00 +22 +00 +98 +00 +4c +00 +89 +00 +27 +00 +55 +00 +24 +00 +64 +00 +2b +00 +54 +00 +1e +00 +5e +00 +22 +00 +5d +00 +25 +00 +56 +00 +23 +00 +64 +00 +2e +00 +80 +00 +30 +00 +98 +00 +47 +00 +d5 +00 +7c +00 +b6 +20 +22 +20 +33 +60 +fd +10 +49 +30 +e9 +00 +20 +40 +4f +10 +a4 +30 +b3 +00 +f0 +10 +76 +00 +15 +10 +46 +00 +b3 +00 +71 +00 +92 +00 +24 +00 +60 +00 +21 +00 +73 +00 +5c +00 +9b +00 +25 +00 +59 +00 +1a +00 +49 +00 +20 +00 +59 +00 +21 +00 +4c +00 +19 +00 +3d +00 +14 +00 +39 +00 +10 +00 +35 +00 +19 +00 +38 +00 +16 +00 +3c +00 +1d +00 +58 +00 +34 +00 +7a +00 +2a +00 +6b +00 +1e +00 +48 +00 +21 +00 +54 +00 +24 +00 +64 +00 +30 +00 +86 +00 +36 +00 +91 +00 +23 +00 +5b +00 +20 +00 +57 +00 +22 +00 +5a +00 +20 +00 +54 +00 +24 +00 +6c +00 +2c +00 +10 +10 +4c +00 +71 +00 +1f +00 +4d +00 +19 +00 +4d +00 +1d +00 +58 +00 +21 +00 +5a +00 +20 +00 +55 +00 +21 +00 +50 +00 +1c +00 +56 +00 +23 +00 +56 +00 +24 +00 +59 +00 +21 +00 +4e +00 +25 +00 +53 +00 +1f +00 +44 +00 +1d +00 +4e +00 +20 +00 +5e +00 +2a +00 +52 +00 +20 +00 +91 +00 +2c +00 +77 +00 +32 +00 +6e +00 +32 +00 +7a +10 +b3 +00 +d4 +00 +33 +00 +70 +00 +20 +00 +63 +00 +1f +00 +53 +00 +1d +00 +56 +00 +24 +00 +61 +00 +2a +00 +bb +00 +2e +00 +6b +00 +21 +00 +50 +00 +23 +00 +4b +00 +1e +00 +4d +00 +27 +00 +5e +00 +2b +00 +5e +00 +28 +00 +55 +00 +1f +00 +48 +00 +1a +00 +42 +00 +21 +00 +55 +00 +27 +00 +5f +00 +26 +00 +57 +00 +00 +00 +00 +00 +95 +00 +67 +00 +a1 +00 +69 +00 +91 +00 +61 +00 +a3 +00 +69 +00 +9d +00 +6e +00 +b0 +00 +76 +00 +a8 +00 +71 +00 +ab +00 +6f +00 +96 +00 +58 +00 +86 +00 +51 +00 +65 +00 +41 +00 +6b +00 +40 +00 +73 +00 +3e +00 +70 +00 +60 +00 +6c +00 +7a +00 +94 +00 +77 +00 +b5 +00 +64 +00 +90 +00 +5b +00 +70 +00 +6a +00 +18 +10 +a1 +00 +3e +10 +80 +00 +93 +00 +9e +00 +36 +10 +b3 +00 +dc +00 +9d +00 +c4 +00 +42 +00 +69 +00 +3d +00 +70 +00 +3f +00 +64 +00 +3c +00 +53 +00 +73 +00 +7b +00 +5a +00 +5f +00 +42 +00 +5e +00 +37 +00 +5c +00 +38 +00 +6d +00 +43 +00 +5e +00 +3f +00 +7e +00 +49 +00 +7d +00 +3b +00 +6c +00 +3a +00 +5f +00 +48 +00 +6a +00 +89 +00 +a7 +00 +ac +00 +e2 +00 +81 +00 +92 +00 +72 +00 +8f +00 +52 +00 +72 +00 +36 +00 +66 +00 +3b +00 +60 +00 +55 +00 +7d +00 +4c +00 +80 +00 +3c +00 +67 +00 +3b +00 +71 +00 +46 +00 +6d +00 +37 +00 +6a +00 +36 +00 +55 +00 +32 +00 +5c +00 +37 +00 +67 +00 +33 +00 +5d +00 +2d +00 +52 +00 +30 +00 +48 +00 +32 +00 +4d +00 +39 +00 +73 +00 +5e +00 +f3 +00 +4c +10 +33 +30 +96 +10 +5e +10 +66 +00 +71 +00 +3b +00 +47 +00 +2d +00 +52 +00 +32 +00 +50 +00 +34 +00 +49 +00 +37 +00 +58 +00 +39 +00 +6b +00 +4c +00 +7c +00 +4e +00 +6a +00 +36 +00 +6e +00 +3e +00 +5f +00 +40 +00 +67 +00 +2e +00 +59 +00 +38 +00 +64 +00 +35 +00 +6a +00 +44 +00 +60 +00 +4a +00 +66 +00 +56 +00 +92 +00 +63 +00 +8d +00 +52 +00 +80 +00 +62 +00 +94 +00 +6e +00 +9e +00 +09 +10 +3c +10 +96 +00 +be +00 +69 +00 +95 +00 +d0 +00 +9d +20 +82 +20 +aa +60 +bc +30 +1a +60 +4f +20 +17 +20 +98 +10 +f0 +10 +63 +10 +c7 +10 +26 +10 +19 +10 +03 +10 +e9 +00 +f8 +00 +6f +10 +7f +10 +00 +20 +fd +00 +3e +10 +ea +00 +22 +10 +93 +00 +ae +00 +57 +00 +7c +00 +49 +00 +6b +00 +73 +00 +cd +00 +16 +10 +6a +20 +f5 +10 +66 +20 +37 +10 +9e +10 +96 +10 +a9 +10 +68 +10 +84 +10 +96 +10 +32 +40 +e0 +10 +30 +30 +4f +10 +21 +10 +c4 +00 +31 +10 +b4 +00 +f4 +00 +3b +10 +ea +10 +d7 +00 +02 +10 +6d +00 +9c +00 +6b +00 +ab +00 +81 +00 +df +00 +f1 +00 +27 +20 +17 +10 +8f +10 +dd +00 +e3 +00 +fc +00 +31 +10 +67 +10 +4a +10 +94 +10 +83 +10 +7f +10 +89 +10 +60 +10 +c3 +10 +ee +10 +3d +20 +37 +10 +27 +10 +d5 +00 +05 +10 +20 +10 +c2 +10 +be +30 +12 +70 +de +50 +3d +90 +14 +70 +b9 +a0 +74 +70 +af +a0 +31 +70 +5d +a0 +15 +70 +7e +a0 +61 +70 +97 +a0 +25 +70 +60 +a0 +ad +60 +be +90 +56 +60 +0a +90 +e0 +50 +f7 +80 +34 +50 +e7 +50 +68 +40 +0e +50 +ce +10 +94 +10 +ee +00 +02 +10 +d5 +00 +e8 +10 +17 +10 +5e +10 +a7 +00 +c6 +00 +6b +00 +88 +00 +5b +00 +99 +00 +5e +00 +a9 +00 +5b +00 +80 +00 +52 +00 +76 +00 +45 +00 +72 +00 +6e +00 +d4 +10 +c0 +00 +38 +10 +83 +00 +82 +00 +61 +00 +76 +00 +3b +00 +76 +00 +35 +00 +72 +00 +3f +00 +65 +00 +2b +00 +57 +00 +32 +00 +59 +00 +3b +00 +52 +00 +28 +00 +69 +00 +35 +00 +65 +00 +52 +00 +f1 +00 +83 +00 +7f +00 +3e +00 +7e +00 +3a +00 +72 +00 +34 +00 +59 +00 +2d +00 +63 +00 +3e +00 +57 +00 +37 +00 +6a +00 +3f +00 +71 +00 +4c +00 +71 +00 +45 +00 +7b +00 +77 +00 +78 +00 +76 +00 +d2 +00 +e8 +00 +2a +10 +41 +20 +ee +40 +88 +20 +72 +40 +47 +20 +38 +20 +66 +10 +6f +20 +83 +10 +2d +20 +09 +10 +1e +10 +89 +00 +bc +00 +6a +00 +ef +00 +4a +00 +7e +00 +39 +00 +62 +00 +45 +00 +6c +10 +8b +00 +90 +00 +45 +00 +48 +00 +2d +00 +5a +00 +31 +00 +54 +00 +29 +00 +47 +00 +1f +00 +3c +00 +20 +00 +36 +00 +27 +00 +35 +00 +1f +00 +3f +00 +36 +00 +66 +00 +21 +10 +77 +10 +ba +10 +5c +10 +9e +00 +56 +00 +3b +00 +5a +00 +23 +00 +4f +00 +39 +00 +75 +00 +41 +00 +74 +00 +48 +00 +58 +00 +30 +00 +4e +00 +26 +00 +4d +00 +29 +00 +53 +00 +2b +00 +65 +00 +3a +00 +6a +00 +57 +00 +d5 +00 +4b +00 +58 +00 +36 +00 +45 +00 +31 +00 +52 +00 +27 +00 +4f +00 +2e +00 +55 +00 +28 +00 +47 +00 +2a +00 +58 +00 +34 +00 +89 +00 +37 +00 +59 +00 +2a +00 +6a +00 +34 +00 +5e +00 +30 +00 +4d +00 +30 +00 +50 +00 +26 +00 +4e +00 +35 +00 +59 +00 +30 +00 +59 +00 +33 +00 +6f +00 +38 +00 +58 +00 +42 +00 +75 +00 +93 +00 +81 +10 +72 +00 +92 +00 +4e +00 +5a +00 +34 +00 +4b +00 +2b +00 +57 +00 +34 +00 +57 +00 +2e +00 +60 +00 +2f +00 +65 +00 +35 +00 +60 +00 +2a +00 +54 +00 +27 +00 +55 +00 +2a +00 +50 +00 +31 +00 +59 +00 +2a +00 +4d +00 +2c +00 +45 +00 +2b +00 +45 +00 +24 +00 +42 +00 +29 +00 +4b +00 +31 +00 +4e +00 +2d +00 +00 +00 +00 +00 +39 +00 +9b +00 +3c +00 +9f +00 +39 +00 +a2 +00 +3e +00 +9c +00 +38 +00 +a5 +00 +3b +00 +9f +00 +31 +00 +a4 +00 +2f +00 +9f +00 +2b +00 +9b +00 +2e +00 +79 +00 +27 +00 +62 +00 +24 +00 +6e +00 +28 +00 +65 +00 +28 +00 +84 +00 +2e +00 +91 +00 +36 +00 +b7 +00 +2e +00 +87 +00 +28 +00 +80 +00 +2a +00 +80 +00 +4c +00 +d6 +00 +45 +00 +b7 +00 +5a +00 +82 +10 +61 +00 +0f +10 +98 +00 +79 +10 +79 +00 +f3 +00 +31 +00 +70 +00 +2d +00 +65 +00 +20 +00 +64 +00 +2f +00 +12 +10 +55 +00 +90 +00 +22 +00 +5f +00 +1f +00 +5c +00 +26 +00 +5b +00 +1e +00 +62 +00 +27 +00 +5f +00 +3c +00 +8c +00 +20 +00 +7b +00 +28 +00 +6b +00 +2c +00 +62 +00 +26 +00 +a8 +00 +a8 +00 +60 +10 +35 +00 +91 +00 +2b +00 +7d +00 +36 +00 +77 +00 +1e +00 +5b +00 +1c +00 +5f +00 +23 +00 +64 +00 +24 +00 +75 +00 +29 +00 +63 +00 +1d +00 +58 +00 +25 +00 +60 +00 +26 +00 +56 +00 +24 +00 +58 +00 +25 +00 +5a +00 +25 +00 +72 +00 +2e +00 +62 +00 +1f +00 +59 +00 +1e +00 +4f +00 +1c +00 +4e +00 +21 +00 +51 +00 +23 +00 +5f +00 +3e +00 +16 +10 +fe +00 +fb +30 +e7 +00 +06 +10 +2d +00 +5a +00 +23 +00 +55 +00 +20 +00 +58 +00 +19 +00 +55 +00 +24 +00 +61 +00 +21 +00 +51 +00 +23 +00 +64 +00 +1b +00 +54 +00 +1d +00 +5a +00 +23 +00 +51 +00 +25 +00 +57 +00 +1d +00 +63 +00 +27 +00 +57 +00 +20 +00 +5b +00 +23 +00 +5e +00 +22 +00 +60 +00 +24 +00 +81 +00 +34 +00 +74 +00 +24 +00 +70 +00 +3a +00 +9a +00 +2f +00 +7e +00 +53 +00 +a0 +10 +a3 +00 +1b +10 +3a +00 +8a +00 +38 +00 +c7 +00 +6c +00 +08 +20 +e8 +10 +fd +50 +5f +10 +e1 +20 +9c +00 +c0 +10 +a6 +00 +ba +10 +81 +00 +42 +10 +56 +00 +e0 +00 +83 +00 +9c +10 +d9 +00 +05 +20 +8c +00 +3c +10 +5e +00 +31 +10 +5e +00 +df +00 +33 +00 +81 +00 +2d +00 +76 +00 +32 +00 +be +00 +58 +00 +32 +10 +b6 +00 +b7 +20 +b6 +00 +b3 +10 +99 +00 +1f +30 +68 +10 +84 +30 +a6 +00 +7d +10 +b5 +00 +14 +20 +a3 +00 +70 +10 +53 +00 +d5 +00 +4b +00 +d0 +00 +6c +00 +f5 +10 +bb +00 +3c +10 +4b +00 +b1 +00 +3b +00 +93 +00 +35 +00 +a7 +00 +3f +00 +1d +10 +4f +10 +bf +20 +80 +00 +23 +10 +66 +00 +14 +10 +d4 +00 +00 +30 +9e +00 +7b +10 +f8 +00 +7b +30 +a0 +00 +50 +10 +a0 +00 +2c +30 +ea +00 +ac +10 +69 +00 +0b +10 +5b +00 +1e +10 +c7 +00 +f9 +40 +24 +30 +56 +90 +c4 +30 +83 +a0 +ef +30 +ea +a0 +e2 +30 +97 +a0 +b0 +30 +47 +a0 +b1 +30 +6c +a0 +b7 +30 +a0 +a0 +e6 +30 +c2 +a0 +cc +30 +2a +a0 +a1 +30 +d7 +90 +96 +30 +7f +90 +6d +30 +8f +80 +26 +20 +3f +30 +9d +00 +5c +10 +5d +00 +13 +10 +04 +10 +2b +20 +67 +00 +29 +10 +49 +00 +a5 +00 +3a +00 +8e +00 +3e +00 +c5 +00 +3b +00 +98 +00 +2d +00 +77 +00 +2c +00 +6a +00 +2b +00 +7e +00 +5c +00 +d4 +00 +4b +00 +d0 +00 +3c +00 +77 +00 +29 +00 +6d +00 +2b +00 +6d +00 +23 +00 +63 +00 +26 +00 +5f +00 +21 +00 +5e +00 +29 +00 +60 +00 +1f +00 +59 +00 +26 +00 +64 +00 +33 +00 +d7 +00 +3e +00 +c1 +00 +2f +00 +7b +00 +28 +00 +5a +00 +21 +00 +5e +00 +22 +00 +6b +00 +2a +00 +57 +00 +29 +00 +6d +00 +24 +00 +68 +00 +46 +00 +88 +00 +25 +00 +75 +00 +56 +00 +dc +00 +36 +00 +b0 +00 +62 +00 +3a +10 +0b +10 +c1 +20 +25 +10 +d6 +20 +d5 +00 +6c +30 +c0 +00 +78 +10 +7e +00 +8b +10 +96 +00 +56 +10 +4a +00 +b7 +00 +3d +00 +97 +00 +3a +00 +79 +00 +20 +00 +62 +00 +26 +00 +70 +00 +38 +00 +8f +00 +39 +00 +65 +00 +1d +00 +47 +00 +24 +00 +55 +00 +17 +00 +4c +00 +13 +00 +3a +00 +15 +00 +34 +00 +13 +00 +33 +00 +20 +00 +3a +00 +1e +00 +4d +00 +53 +00 +ff +20 +1a +20 +61 +50 +3c +10 +1f +10 +23 +00 +5d +00 +1c +00 +56 +00 +28 +00 +57 +00 +1d +00 +5a +00 +21 +00 +59 +00 +22 +00 +52 +00 +1c +00 +4e +00 +1f +00 +4e +00 +1f +00 +51 +00 +25 +00 +55 +00 +29 +00 +6d +00 +2a +00 +6e +00 +20 +00 +47 +00 +19 +00 +4e +00 +29 +00 +55 +00 +1d +00 +56 +00 +19 +00 +45 +00 +1d +00 +4f +00 +21 +00 +5a +00 +27 +00 +6f +00 +30 +00 +5c +00 +26 +00 +59 +00 +1a +00 +5a +00 +1b +00 +44 +00 +20 +00 +43 +00 +1f +00 +5f +00 +1d +00 +5c +00 +2e +00 +6f +00 +30 +00 +66 +00 +24 +00 +5e +00 +29 +00 +bf +00 +7b +00 +e4 +00 +30 +00 +70 +00 +20 +00 +63 +00 +21 +00 +61 +00 +2c +00 +5c +00 +27 +00 +68 +00 +1e +00 +63 +00 +27 +00 +66 +00 +27 +00 +51 +00 +23 +00 +5c +00 +20 +00 +4b +00 +23 +00 +5d +00 +1b +00 +5b +00 +28 +00 +68 +00 +1f +00 +4f +00 +1a +00 +48 +00 +1b +00 +52 +00 +24 +00 +4b +00 +21 +00 +58 +00 +00 +00 +00 +00 +ad +00 +6b +00 +9d +00 +75 +00 +a3 +00 +77 +00 +a3 +00 +68 +00 +a4 +00 +69 +00 +a3 +00 +75 +00 +a7 +00 +6f +00 +a4 +00 +6c +00 +a1 +00 +5c +00 +83 +00 +42 +00 +6d +00 +3f +00 +60 +00 +42 +00 +6d +00 +6c +00 +d1 +00 +33 +10 +05 +10 +59 +10 +3b +10 +c2 +00 +9b +00 +4c +00 +75 +00 +48 +00 +6b +00 +4e +00 +7a +00 +5f +00 +96 +00 +6d +00 +aa +00 +8a +00 +1f +10 +77 +00 +a0 +00 +63 +00 +c3 +00 +5f +00 +86 +00 +4e +00 +6a +00 +3d +00 +65 +00 +56 +00 +95 +00 +1f +10 +99 +10 +77 +00 +64 +00 +3c +00 +58 +00 +36 +00 +5b +00 +3f +00 +64 +00 +41 +00 +5f +00 +33 +00 +67 +00 +4a +00 +7d +00 +52 +00 +80 +00 +3e +00 +60 +00 +41 +00 +60 +00 +5e +00 +16 +10 +8c +00 +d0 +00 +61 +00 +66 +00 +5d +00 +b7 +00 +4c +00 +66 +00 +3b +00 +5e +00 +3f +00 +64 +00 +40 +00 +67 +00 +3c +00 +66 +00 +3a +00 +65 +00 +3d +00 +5e +00 +3f +00 +5a +00 +2b +00 +61 +00 +34 +00 +5c +00 +31 +00 +74 +00 +37 +00 +68 +00 +38 +00 +5d +00 +30 +00 +57 +00 +30 +00 +4e +00 +2f +00 +61 +00 +3b +00 +55 +00 +51 +00 +8d +00 +79 +00 +ec +00 +a4 +00 +9a +10 +af +00 +7b +00 +3d +00 +57 +00 +3c +00 +72 +00 +32 +00 +49 +00 +39 +00 +5a +00 +29 +00 +5e +00 +32 +00 +5c +00 +38 +00 +61 +00 +36 +00 +4d +00 +30 +00 +53 +00 +33 +00 +5f +00 +38 +00 +59 +00 +36 +00 +5a +00 +34 +00 +53 +00 +2c +00 +5a +00 +33 +00 +58 +00 +36 +00 +60 +00 +46 +00 +6e +00 +3f +00 +6b +00 +43 +00 +76 +00 +67 +00 +9f +00 +e2 +00 +4b +10 +00 +10 +21 +10 +99 +00 +a2 +00 +67 +00 +8d +00 +8e +00 +ee +00 +02 +10 +d0 +10 +5a +10 +9a +20 +5a +10 +78 +10 +60 +10 +39 +30 +e6 +10 +c3 +20 +b3 +10 +2c +10 +04 +10 +46 +20 +4f +10 +a1 +20 +f7 +00 +21 +10 +c7 +00 +fa +00 +96 +00 +15 +10 +87 +00 +ad +00 +64 +00 +89 +00 +69 +00 +ac +00 +d5 +00 +10 +10 +a0 +00 +2b +10 +99 +10 +3e +20 +09 +10 +43 +10 +d2 +10 +90 +40 +c7 +10 +4d +20 +20 +10 +5c +10 +d1 +00 +6b +10 +c2 +00 +df +00 +a5 +00 +c9 +00 +4c +10 +cc +20 +6c +10 +9b +10 +a1 +00 +d0 +00 +7c +00 +a9 +00 +63 +00 +88 +00 +78 +00 +a8 +00 +bd +00 +a5 +10 +47 +10 +36 +30 +dc +10 +2e +20 +e1 +20 +e9 +40 +19 +40 +6f +60 +f1 +20 +ab +30 +d9 +10 +0f +20 +4d +10 +9d +10 +83 +10 +f5 +10 +25 +10 +49 +10 +e7 +00 +1e +10 +3e +10 +b5 +10 +cb +30 +13 +80 +69 +60 +71 +a0 +1f +70 +22 +b0 +93 +70 +b0 +a0 +73 +70 +9f +a0 +7e +70 +7d +a0 +46 +70 +56 +a0 +3c +70 +bc +a0 +29 +70 +c2 +a0 +12 +70 +53 +a0 +da +60 +2e +a0 +99 +60 +dc +90 +ed +50 +9f +80 +d8 +30 +b7 +20 +81 +10 +1d +10 +1a +10 +f9 +10 +f1 +00 +54 +10 +aa +00 +ca +00 +73 +00 +a5 +00 +6b +00 +b8 +00 +a0 +00 +d5 +00 +67 +00 +84 +00 +58 +00 +75 +00 +45 +00 +67 +00 +63 +00 +e6 +00 +64 +00 +db +00 +00 +10 +07 +10 +5d +00 +8a +00 +49 +00 +6e +00 +3f +00 +6a +00 +3a +00 +5e +00 +2b +00 +61 +00 +4a +00 +69 +00 +41 +00 +5b +00 +2c +00 +5b +00 +37 +00 +5b +00 +3a +00 +7b +00 +3e +00 +6f +00 +52 +00 +70 +00 +4a +00 +5f +00 +3d +00 +5c +00 +3b +00 +64 +00 +a2 +00 +03 +10 +8f +00 +92 +00 +4f +00 +60 +00 +41 +00 +74 +00 +e5 +00 +34 +30 +8c +10 +11 +10 +13 +10 +7a +10 +31 +20 +c8 +40 +b5 +10 +d6 +10 +2a +10 +a6 +10 +fa +00 +51 +10 +f0 +00 +5f +10 +c4 +00 +fe +00 +7f +00 +c1 +00 +72 +00 +89 +00 +47 +00 +7f +00 +3b +00 +64 +00 +34 +00 +61 +00 +3c +00 +6d +00 +31 +00 +6b +00 +49 +00 +5f +00 +9d +00 +9d +00 +4e +00 +54 +00 +24 +00 +36 +00 +24 +00 +34 +00 +22 +00 +3d +00 +2a +00 +36 +00 +29 +00 +49 +00 +4d +00 +b3 +00 +cb +00 +58 +20 +26 +10 +8a +20 +d3 +00 +b1 +00 +58 +00 +51 +00 +37 +00 +5e +00 +3d +00 +52 +00 +2e +00 +4f +00 +32 +00 +5b +00 +2d +00 +4e +00 +2f +00 +4e +00 +2c +00 +4d +00 +30 +00 +61 +00 +32 +00 +58 +00 +32 +00 +62 +00 +32 +00 +4e +00 +2a +00 +44 +00 +2d +00 +4a +00 +26 +00 +56 +00 +27 +00 +4a +00 +2c +00 +4c +00 +2a +00 +4f +00 +2e +00 +5f +00 +2d +00 +5c +00 +35 +00 +56 +00 +2d +00 +65 +00 +2a +00 +4e +00 +2f +00 +56 +00 +2c +00 +52 +00 +2d +00 +5a +00 +33 +00 +68 +00 +34 +00 +6c +00 +3c +00 +65 +00 +4c +00 +7e +00 +55 +00 +c2 +00 +65 +00 +91 +00 +4b +00 +63 +00 +43 +00 +69 +00 +35 +00 +68 +00 +35 +00 +5e +00 +41 +00 +5b +00 +3d +00 +69 +00 +2d +00 +50 +00 +28 +00 +64 +00 +32 +00 +5f +00 +30 +00 +61 +00 +37 +00 +50 +00 +2c +00 +59 +00 +28 +00 +52 +00 +34 +00 +51 +00 +3b +00 +55 +00 +29 +00 +54 +00 +2d +00 +4c +00 +2d +00 +00 +00 +00 +00 +34 +00 +a9 +00 +3c +00 +9f +00 +35 +00 +a7 +00 +3c +00 +a2 +00 +36 +00 +a7 +00 +3b +00 +a4 +00 +39 +00 +a6 +00 +3b +00 +a1 +00 +35 +00 +97 +00 +29 +00 +7b +00 +28 +00 +60 +00 +23 +00 +62 +00 +2c +00 +70 +00 +b1 +00 +87 +30 +59 +10 +c4 +30 +f6 +00 +62 +10 +36 +00 +79 +00 +2c +00 +69 +00 +28 +00 +6b +00 +2c +00 +90 +00 +2f +00 +87 +00 +3f +00 +ae +00 +39 +00 +9e +00 +34 +00 +90 +00 +2c +00 +84 +00 +2b +00 +6e +00 +2a +00 +64 +00 +28 +00 +5d +00 +54 +00 +bf +10 +7a +00 +ce +00 +31 +00 +67 +00 +21 +00 +58 +00 +28 +00 +62 +00 +20 +00 +60 +00 +21 +00 +68 +00 +22 +00 +77 +00 +2b +00 +8d +00 +29 +00 +6a +00 +24 +00 +5f +00 +28 +00 +7a +00 +45 +00 +a6 +00 +29 +00 +78 +00 +2c +00 +9f +00 +5d +00 +ae +00 +26 +00 +66 +00 +25 +00 +59 +00 +20 +00 +5c +00 +21 +00 +4a +00 +24 +00 +5b +00 +1e +00 +60 +00 +25 +00 +62 +00 +1a +00 +53 +00 +21 +00 +5f +00 +27 +00 +6a +00 +2a +00 +68 +00 +2d +00 +6a +00 +1f +00 +53 +00 +1d +00 +4c +00 +1e +00 +51 +00 +18 +00 +5f +00 +2a +00 +6a +00 +27 +00 +89 +00 +3f +00 +df +00 +4b +00 +b5 +00 +22 +00 +65 +00 +20 +00 +5f +00 +20 +00 +52 +00 +20 +00 +50 +00 +26 +00 +55 +00 +21 +00 +5b +00 +1f +00 +59 +00 +20 +00 +61 +00 +20 +00 +56 +00 +19 +00 +59 +00 +1f +00 +5c +00 +22 +00 +5c +00 +26 +00 +4a +00 +1a +00 +55 +00 +21 +00 +56 +00 +1f +00 +58 +00 +24 +00 +5e +00 +27 +00 +79 +00 +2c +00 +6e +00 +26 +00 +7d +00 +40 +00 +d4 +10 +03 +10 +b2 +10 +69 +00 +e5 +00 +35 +00 +7b +00 +34 +00 +9d +00 +48 +00 +01 +10 +63 +00 +66 +10 +7e +00 +83 +10 +6c +00 +43 +10 +e0 +00 +98 +20 +25 +10 +b6 +30 +bd +00 +4a +10 +87 +00 +ac +10 +76 +00 +16 +10 +51 +00 +d9 +00 +58 +00 +d9 +00 +5a +00 +2f +10 +40 +00 +93 +00 +32 +00 +8b +00 +51 +00 +41 +10 +64 +00 +dc +00 +6e +00 +59 +20 +bc +00 +78 +10 +62 +00 +af +10 +43 +10 +9a +20 +80 +00 +3a +10 +4a +00 +0e +10 +45 +00 +db +00 +3e +00 +c2 +00 +53 +00 +24 +20 +69 +10 +40 +20 +6b +00 +0b +10 +49 +00 +c5 +00 +3d +00 +9b +00 +35 +00 +98 +00 +37 +00 +cb +00 +4c +00 +0b +10 +bf +00 +d2 +20 +f7 +10 +4f +60 +b7 +20 +be +70 +fb +20 +79 +60 +e6 +00 +ee +10 +a0 +00 +78 +10 +e8 +00 +59 +20 +82 +00 +67 +10 +a1 +00 +d2 +10 +81 +00 +78 +10 +df +00 +1e +50 +44 +30 +ad +90 +d9 +30 +dd +a0 +d6 +30 +bd +a0 +a9 +30 +f2 +a0 +ca +30 +17 +b0 +e9 +30 +9f +a0 +bd +30 +5d +a0 +db +30 +ff +a0 +10 +40 +80 +a0 +e6 +30 +a2 +a0 +b2 +30 +8e +a0 +b1 +30 +38 +a0 +7f +30 +82 +80 +27 +10 +04 +20 +75 +00 +62 +10 +8a +00 +4a +10 +83 +00 +45 +10 +54 +00 +c8 +00 +3e +00 +ad +00 +8d +00 +b4 +10 +4f +00 +ba +00 +48 +00 +bc +00 +3b +00 +72 +00 +30 +00 +7f +00 +39 +00 +a5 +00 +4b +00 +3a +10 +7c +00 +cf +00 +2c +00 +67 +00 +23 +00 +62 +00 +2a +00 +64 +00 +20 +00 +59 +00 +29 +00 +7d +00 +32 +00 +79 +00 +1f +00 +5a +00 +23 +00 +59 +00 +1e +00 +60 +00 +28 +00 +63 +00 +2d +00 +7d +00 +2a +00 +69 +00 +2e +00 +66 +00 +26 +00 +74 +00 +3f +00 +95 +10 +3b +10 +7f +10 +34 +00 +6c +00 +26 +00 +5d +00 +2c +00 +f2 +00 +ca +10 +fd +20 +97 +00 +77 +20 +59 +10 +3f +40 +3d +10 +e9 +10 +6e +00 +40 +10 +59 +00 +f9 +00 +4b +00 +f2 +00 +48 +00 +cc +00 +3b +00 +9d +00 +31 +00 +87 +00 +39 +00 +72 +00 +2b +00 +69 +00 +25 +00 +63 +00 +2f +00 +52 +00 +32 +00 +66 +00 +21 +00 +59 +00 +4c +00 +7a +10 +69 +00 +8e +00 +19 +00 +3f +00 +17 +00 +32 +00 +17 +00 +3c +00 +16 +00 +3e +00 +1a +00 +41 +00 +1e +00 +8b +00 +3c +00 +cc +00 +61 +00 +4a +10 +80 +00 +01 +10 +32 +00 +63 +00 +23 +00 +59 +00 +1d +00 +57 +00 +1a +00 +47 +00 +1f +00 +60 +00 +1d +00 +55 +00 +23 +00 +5b +00 +25 +00 +51 +00 +23 +00 +4e +00 +1c +00 +5a +00 +28 +00 +5e +00 +20 +00 +56 +00 +14 +00 +4e +00 +1e +00 +51 +00 +23 +00 +4d +00 +24 +00 +56 +00 +1c +00 +4b +00 +28 +00 +4d +00 +20 +00 +64 +00 +22 +00 +5b +00 +23 +00 +59 +00 +23 +00 +61 +00 +28 +00 +52 +00 +20 +00 +59 +00 +26 +00 +5d +00 +25 +00 +53 +00 +24 +00 +67 +00 +22 +00 +70 +00 +29 +00 +76 +00 +2b +00 +80 +00 +2f +00 +96 +00 +42 +00 +9d +00 +2b +00 +71 +00 +2d +00 +62 +00 +2c +00 +67 +00 +37 +00 +65 +00 +2f +00 +70 +00 +26 +00 +60 +00 +20 +00 +5d +00 +21 +00 +60 +00 +25 +00 +67 +00 +27 +00 +53 +00 +27 +00 +6a +00 +25 +00 +51 +00 +22 +00 +55 +00 +21 +00 +67 +00 +99 +00 +91 +00 +1d +00 +4a +00 +20 +00 +5b +00 +1f +00 +60 +00 +00 +00 +00 +00 +9a +00 +79 +00 +a4 +00 +69 +00 +9c +00 +6b +00 +b6 +00 +70 +00 +a1 +00 +7b +00 +9c +00 +80 +00 +9e +00 +76 +00 +ac +00 +6b +00 +a1 +00 +5b +00 +74 +00 +4d +00 +66 +00 +42 +00 +5c +00 +3b +00 +65 +00 +6c +00 +f4 +00 +38 +10 +57 +40 +a3 +20 +35 +40 +0e +10 +c9 +00 +46 +00 +6e +00 +39 +00 +6b +00 +4b +00 +76 +00 +53 +00 +8c +00 +82 +00 +d6 +00 +6e +00 +89 +00 +5a +00 +81 +00 +51 +00 +86 +00 +4a +00 +6a +00 +48 +00 +65 +00 +4b +00 +6c +00 +53 +00 +92 +00 +61 +00 +ce +00 +70 +00 +74 +00 +3e +00 +68 +00 +4f +00 +69 +00 +4e +00 +69 +00 +3c +00 +6e +00 +3e +00 +60 +00 +60 +00 +c2 +00 +8d +00 +97 +00 +54 +00 +67 +00 +49 +00 +60 +00 +4c +00 +89 +00 +48 +00 +77 +00 +43 +00 +5f +00 +44 +00 +72 +00 +3c +00 +6f +00 +3e +00 +4d +00 +34 +00 +58 +00 +31 +00 +4e +00 +36 +00 +52 +00 +35 +00 +56 +00 +2f +00 +57 +00 +35 +00 +5e +00 +2e +00 +52 +00 +23 +00 +5f +00 +3a +00 +5f +00 +36 +00 +65 +00 +39 +00 +5f +00 +34 +00 +4c +00 +2c +00 +50 +00 +2d +00 +5c +00 +38 +00 +5b +00 +40 +00 +68 +00 +5c +00 +9a +00 +66 +00 +a2 +00 +65 +00 +75 +00 +33 +00 +61 +00 +2f +00 +48 +00 +2b +00 +5f +00 +37 +00 +61 +00 +29 +00 +60 +00 +32 +00 +56 +00 +33 +00 +4d +00 +33 +00 +59 +00 +29 +00 +55 +00 +31 +00 +51 +00 +3b +00 +59 +00 +33 +00 +5a +00 +3e +00 +64 +00 +2c +00 +50 +00 +2f +00 +53 +00 +3c +00 +61 +00 +4a +00 +bb +00 +52 +00 +72 +00 +39 +00 +6c +00 +52 +00 +6c +00 +c5 +00 +64 +20 +71 +10 +16 +20 +a2 +00 +9a +00 +5e +00 +8d +00 +6e +00 +a6 +00 +be +00 +fc +00 +e6 +00 +26 +10 +f3 +00 +0b +10 +bb +10 +ca +30 +42 +20 +cf +20 +7f +20 +99 +40 +63 +10 +23 +10 +b1 +00 +1f +10 +a6 +00 +d7 +00 +8f +00 +b6 +00 +7f +00 +be +00 +b2 +00 +15 +10 +66 +00 +89 +00 +5b +00 +9f +00 +6c +00 +b4 +00 +97 +00 +d7 +00 +ab +00 +1c +10 +b9 +00 +ee +00 +df +00 +40 +10 +d1 +00 +58 +10 +d0 +00 +c2 +00 +a6 +00 +c4 +00 +df +00 +f8 +00 +90 +10 +5f +10 +54 +10 +6c +20 +fd +00 +63 +10 +b1 +00 +ca +00 +7f +00 +a8 +00 +59 +00 +8d +00 +5e +00 +a6 +00 +80 +00 +d7 +00 +95 +00 +0b +10 +5b +10 +31 +20 +ec +30 +f0 +70 +2b +50 +ea +80 +81 +30 +65 +30 +a8 +10 +62 +10 +cd +10 +be +30 +a6 +10 +c4 +10 +3e +10 +16 +20 +32 +30 +7f +40 +b6 +30 +d1 +40 +4a +50 +97 +80 +9a +60 +a9 +a0 +a1 +70 +eb +a0 +81 +70 +f4 +a0 +4d +70 +0b +b0 +70 +70 +a3 +a0 +39 +60 +23 +a0 +e9 +60 +d7 +a0 +5a +70 +e4 +a0 +5d +70 +9e +a0 +2f +70 +d3 +a0 +2f +70 +bf +a0 +d2 +60 +38 +a0 +e7 +50 +d2 +50 +86 +30 +2c +30 +85 +20 +32 +30 +0f +10 +91 +10 +43 +10 +7a +10 +9d +00 +bf +00 +8d +00 +74 +10 +84 +00 +f3 +00 +66 +00 +f4 +00 +8a +00 +be +00 +4b +00 +83 +00 +4a +00 +7e +00 +81 +00 +c7 +00 +95 +00 +a8 +00 +60 +00 +98 +00 +48 +00 +64 +00 +52 +00 +78 +00 +4c +00 +6d +00 +4a +00 +8e +00 +f0 +00 +0e +20 +75 +00 +6c +00 +35 +00 +58 +00 +3c +00 +63 +00 +3c +00 +5e +00 +68 +00 +48 +10 +8e +00 +7b +00 +3b +00 +5a +00 +57 +00 +83 +00 +63 +00 +9f +00 +66 +10 +4c +40 +34 +10 +0c +10 +8b +00 +74 +00 +50 +00 +79 +00 +88 +00 +57 +10 +22 +10 +86 +20 +0d +30 +3d +50 +21 +30 +b1 +20 +83 +10 +5c +10 +f2 +00 +d3 +00 +b0 +00 +d6 +00 +8d +00 +ad +00 +77 +00 +85 +00 +58 +00 +75 +00 +43 +00 +70 +00 +37 +00 +5f +00 +35 +00 +54 +00 +2c +00 +58 +00 +28 +00 +57 +00 +30 +00 +46 +00 +4c +00 +0c +10 +a7 +00 +ba +00 +4a +00 +5d +00 +2d +00 +34 +00 +20 +00 +32 +00 +26 +00 +33 +00 +2a +00 +45 +00 +3a +00 +74 +00 +9e +00 +ea +00 +a2 +00 +f5 +00 +a4 +00 +ef +00 +71 +00 +7f +00 +50 +00 +67 +00 +2d +00 +5d +00 +40 +00 +72 +00 +33 +00 +4c +00 +36 +00 +54 +00 +3a +00 +6f +00 +48 +00 +59 +00 +29 +00 +62 +00 +2e +00 +4a +00 +36 +00 +5f +00 +33 +00 +49 +00 +34 +00 +47 +00 +2c +00 +47 +00 +27 +00 +4a +00 +25 +00 +5c +00 +2a +00 +50 +00 +34 +00 +5b +00 +32 +00 +5a +00 +2b +00 +5f +00 +35 +00 +5a +00 +2a +00 +52 +00 +33 +00 +5f +00 +36 +00 +4b +00 +33 +00 +63 +00 +2b +00 +5a +00 +30 +00 +67 +00 +2e +00 +65 +00 +48 +00 +81 +00 +93 +00 +af +00 +4f +00 +88 +00 +5d +00 +38 +10 +89 +00 +83 +00 +5e +00 +6e +00 +41 +00 +74 +00 +37 +00 +71 +00 +3c +00 +86 +00 +41 +00 +63 +00 +38 +00 +5b +00 +39 +00 +66 +00 +2b +00 +6e +00 +38 +00 +6a +00 +47 +00 +62 +00 +3e +00 +56 +00 +2b +00 +5a +00 +28 +00 +4f +00 +44 +00 +f8 +00 +57 +00 +59 +00 +30 +00 +4c +00 +2b +00 +49 +00 +2d +00 +00 +00 +00 +00 +38 +00 +a1 +00 +3d +00 +a7 +00 +3e +00 +a5 +00 +45 +00 +a4 +00 +40 +00 +a5 +00 +37 +00 +ac +00 +39 +00 +a1 +00 +36 +00 +a0 +00 +35 +00 +9e +00 +2b +00 +73 +00 +21 +00 +67 +00 +1f +00 +5d +00 +22 +00 +74 +00 +3f +00 +09 +10 +ba +00 +3e +30 +fc +00 +b0 +10 +33 +00 +7d +00 +23 +00 +6b +00 +29 +00 +6e +00 +2a +00 +70 +00 +2a +00 +bd +00 +44 +00 +ac +00 +2d +00 +6a +00 +2a +00 +74 +00 +29 +00 +77 +00 +25 +00 +79 +00 +29 +00 +63 +00 +26 +00 +6c +00 +27 +00 +8c +00 +35 +00 +84 +00 +29 +00 +65 +00 +24 +00 +6e +00 +26 +00 +76 +00 +2c +00 +6a +00 +1f +00 +5b +00 +22 +00 +86 +00 +6e +00 +6a +10 +31 +00 +6f +00 +28 +00 +60 +00 +21 +00 +7c +00 +2e +00 +8c +00 +1e +00 +6d +00 +26 +00 +6d +00 +2d +00 +73 +00 +29 +00 +74 +00 +22 +00 +52 +00 +1d +00 +55 +00 +21 +00 +56 +00 +1d +00 +61 +00 +1d +00 +5b +00 +27 +00 +50 +00 +1d +00 +55 +00 +1a +00 +4e +00 +1c +00 +52 +00 +20 +00 +59 +00 +20 +00 +5c +00 +1b +00 +3f +00 +1c +00 +4b +00 +23 +00 +4e +00 +23 +00 +56 +00 +20 +00 +4d +00 +22 +00 +64 +00 +21 +00 +7b +00 +26 +00 +78 +00 +22 +00 +50 +00 +1f +00 +4d +00 +20 +00 +4d +00 +21 +00 +57 +00 +20 +00 +5e +00 +21 +00 +58 +00 +1e +00 +55 +00 +24 +00 +66 +00 +2c +00 +5c +00 +17 +00 +4f +00 +22 +00 +4c +00 +21 +00 +54 +00 +1f +00 +52 +00 +1e +00 +54 +00 +1b +00 +58 +00 +1d +00 +52 +00 +29 +00 +60 +00 +2f +00 +83 +00 +28 +00 +5a +00 +2a +00 +5d +00 +29 +00 +aa +00 +84 +00 +b5 +20 +90 +00 +0a +10 +2b +00 +80 +00 +30 +00 +8a +00 +3e +00 +c5 +00 +3b +00 +ea +00 +41 +00 +ec +00 +6b +00 +a2 +20 +7f +10 +b8 +30 +6f +10 +37 +40 +34 +10 +19 +20 +57 +00 +cb +00 +46 +00 +ce +00 +3f +00 +af +00 +3c +00 +ae +00 +40 +00 +b7 +00 +48 +00 +b8 +00 +31 +00 +82 +00 +40 +00 +a9 +00 +39 +00 +9b +00 +3a +00 +c7 +00 +3f +00 +cc +00 +3c +00 +c3 +00 +53 +00 +2d +10 +68 +00 +d3 +00 +38 +00 +bb +00 +75 +00 +36 +10 +47 +10 +bd +40 +e8 +00 +d9 +10 +70 +00 +5b +10 +54 +00 +d8 +00 +50 +00 +bc +00 +3a +00 +a2 +00 +3b +00 +9c +00 +41 +00 +a5 +00 +45 +00 +ed +00 +80 +00 +1c +20 +5d +10 +99 +50 +32 +30 +2b +90 +1f +30 +9d +50 +ed +00 +12 +20 +90 +00 +33 +30 +03 +20 +26 +30 +90 +00 +6e +10 +55 +10 +15 +60 +ea +20 +da +70 +17 +30 +80 +80 +7a +30 +4e +a0 +d8 +30 +b5 +a0 +ef +30 +d9 +a0 +cc +30 +a0 +a0 +f5 +30 +db +a0 +3e +30 +e7 +60 +f8 +20 +13 +a0 +d2 +30 +ab +a0 +b5 +30 +c0 +a0 +e7 +30 +a2 +a0 +d2 +30 +e7 +a0 +f4 +30 +bf +a0 +96 +30 +fe +90 +3e +30 +f2 +70 +2e +20 +d7 +30 +cc +00 +b3 +10 +6b +00 +46 +10 +72 +00 +01 +10 +50 +00 +dc +00 +4a +00 +dd +00 +46 +00 +ba +00 +4d +00 +a6 +00 +48 +00 +98 +00 +2b +00 +7e +00 +2d +00 +ba +00 +e8 +00 +a8 +10 +37 +00 +9c +00 +3a +00 +78 +00 +39 +00 +04 +10 +65 +00 +8c +00 +27 +00 +5c +00 +2f +00 +a8 +10 +d3 +00 +12 +10 +34 +00 +68 +00 +2c +00 +60 +00 +25 +00 +86 +00 +24 +00 +87 +00 +82 +00 +f7 +00 +3a +00 +72 +00 +26 +00 +9d +00 +a5 +00 +d9 +00 +45 +00 +c4 +10 +ad +10 +44 +30 +57 +00 +a9 +00 +33 +00 +7a +00 +2f +00 +a6 +00 +54 +00 +36 +10 +f4 +10 +47 +60 +4f +20 +b1 +60 +1a +20 +ea +20 +79 +00 +f5 +00 +57 +00 +d7 +00 +4b +00 +b5 +00 +30 +00 +7c +00 +2c +00 +78 +00 +28 +00 +6f +00 +25 +00 +5f +00 +21 +00 +49 +00 +24 +00 +5e +00 +27 +00 +56 +00 +1d +00 +4d +00 +1e +00 +52 +00 +4a +00 +eb +00 +35 +00 +7a +00 +16 +00 +46 +00 +17 +00 +38 +00 +19 +00 +3d +00 +17 +00 +45 +00 +18 +00 +56 +00 +3b +00 +37 +10 +6a +00 +b7 +00 +2f +00 +ba +00 +35 +00 +92 +00 +27 +00 +4f +00 +21 +00 +54 +00 +27 +00 +6a +00 +26 +00 +5d +00 +24 +00 +5d +00 +1f +00 +63 +00 +58 +00 +72 +00 +28 +00 +5c +00 +2a +00 +56 +00 +1f +00 +52 +00 +25 +00 +4e +00 +1c +00 +49 +00 +19 +00 +4c +00 +1e +00 +59 +00 +2a +00 +52 +00 +2a +00 +49 +00 +22 +00 +54 +00 +2a +00 +4f +00 +27 +00 +68 +00 +23 +00 +63 +00 +26 +00 +62 +00 +2a +00 +5e +00 +1e +00 +4c +00 +19 +00 +5c +00 +3f +00 +76 +00 +26 +00 +5e +00 +2a +00 +77 +00 +2f +00 +6e +00 +35 +00 +b1 +00 +46 +00 +9a +00 +35 +00 +a0 +00 +7b +00 +f3 +00 +40 +00 +99 +00 +33 +00 +79 +00 +2d +00 +80 +00 +2d +00 +84 +00 +35 +00 +7e +00 +32 +00 +69 +00 +2c +00 +65 +00 +2c +00 +6f +00 +27 +00 +73 +00 +2c +00 +6d +00 +26 +00 +6d +00 +26 +00 +57 +00 +24 +00 +50 +00 +27 +00 +68 +00 +2b +00 +71 +00 +24 +00 +49 +00 +1a +00 +4e +00 +1d +00 +63 +00 +00 +00 +00 +00 +9d +00 +72 +00 +93 +00 +6a +00 +a1 +00 +6c +00 +a3 +00 +78 +00 +a6 +00 +74 +00 +98 +00 +70 +00 +a2 +00 +70 +00 +a8 +00 +62 +00 +a2 +00 +5e +00 +74 +00 +4d +00 +66 +00 +3a +00 +69 +00 +45 +00 +5b +00 +5c +00 +95 +00 +90 +00 +1d +10 +bb +00 +51 +10 +ac +00 +a8 +00 +51 +00 +7a +00 +4d +00 +76 +00 +47 +00 +65 +00 +44 +00 +74 +00 +55 +00 +8a +00 +60 +00 +73 +00 +54 +00 +78 +00 +54 +00 +84 +00 +5f +00 +7e +00 +44 +00 +70 +00 +3f +00 +65 +00 +48 +00 +63 +00 +5c +00 +86 +00 +48 +00 +68 +00 +46 +00 +61 +00 +ab +00 +21 +10 +96 +00 +97 +00 +4a +00 +6a +00 +3b +00 +6b +00 +8b +00 +56 +10 +e2 +00 +f7 +00 +71 +00 +6c +00 +35 +00 +64 +00 +44 +00 +73 +00 +42 +00 +5b +00 +3a +00 +65 +00 +40 +00 +6f +00 +3a +00 +6f +00 +3c +00 +69 +00 +33 +00 +5a +00 +36 +00 +51 +00 +35 +00 +53 +00 +33 +00 +5e +00 +30 +00 +53 +00 +30 +00 +50 +00 +37 +00 +51 +00 +35 +00 +6d +00 +42 +00 +54 +00 +2e +00 +58 +00 +2d +00 +50 +00 +2f +00 +4c +00 +28 +00 +4e +00 +29 +00 +58 +00 +34 +00 +4f +00 +3a +00 +55 +00 +4a +00 +63 +00 +6d +00 +bb +00 +64 +00 +6c +00 +37 +00 +52 +00 +2c +00 +57 +00 +26 +00 +53 +00 +2b +00 +59 +00 +2e +00 +59 +00 +37 +00 +52 +00 +37 +00 +6a +00 +35 +00 +70 +00 +2d +00 +59 +00 +34 +00 +53 +00 +27 +00 +4d +00 +32 +00 +5c +00 +2e +00 +4d +00 +2c +00 +5a +00 +2f +00 +5c +00 +37 +00 +50 +00 +34 +00 +79 +00 +31 +00 +6e +00 +3f +00 +52 +00 +47 +00 +5e +00 +79 +00 +dc +00 +9e +00 +5e +10 +90 +00 +8c +00 +55 +00 +86 +00 +85 +00 +17 +10 +ec +10 +0d +20 +0f +10 +e0 +00 +97 +10 +d7 +10 +5a +10 +5c +20 +22 +20 +42 +40 +d4 +10 +ee +10 +08 +10 +0c +10 +ae +00 +a8 +00 +bf +00 +0f +10 +a7 +00 +c5 +00 +91 +00 +a8 +00 +68 +00 +83 +00 +52 +00 +87 +00 +5a +00 +88 +00 +74 +00 +a0 +00 +95 +00 +9f +00 +92 +00 +b9 +00 +95 +00 +af +00 +8f +00 +d0 +00 +a5 +00 +4e +10 +a3 +00 +af +00 +a2 +00 +e8 +10 +0a +20 +f8 +40 +a7 +20 +41 +30 +1f +20 +bb +10 +d8 +00 +f8 +00 +2e +10 +82 +10 +7e +00 +b4 +00 +63 +00 +97 +00 +58 +00 +ad +00 +70 +00 +b4 +00 +ea +00 +bc +20 +ed +20 +84 +60 +bf +40 +8a +80 +d4 +50 +e4 +80 +fe +30 +42 +30 +74 +20 +1b +20 +fd +20 +8f +60 +b8 +20 +72 +20 +3f +20 +d0 +30 +57 +40 +58 +80 +dc +50 +63 +90 +6f +60 +24 +a0 +44 +70 +cb +a0 +96 +70 +da +a0 +c0 +70 +fb +a0 +6d +70 +c8 +a0 +83 +60 +84 +70 +ee +30 +ee +40 +d5 +50 +5d +a0 +f3 +60 +cf +a0 +a0 +70 +c6 +a0 +36 +70 +09 +b0 +74 +70 +0d +b0 +61 +70 +89 +a0 +84 +60 +da +90 +17 +50 +00 +60 +21 +20 +53 +20 +5e +20 +5d +20 +06 +10 +0c +10 +e0 +00 +dc +10 +85 +00 +b9 +00 +6e +00 +9f +00 +64 +00 +a2 +00 +4a +00 +94 +00 +4b +00 +74 +00 +4d +00 +85 +00 +81 +00 +5e +10 +83 +00 +e6 +00 +70 +00 +8f +00 +56 +00 +7d +00 +6b +00 +ad +00 +4a +00 +7b +00 +47 +00 +73 +00 +58 +00 +dc +00 +66 +00 +b7 +00 +6a +00 +6f +00 +44 +00 +70 +00 +47 +00 +8c +00 +52 +00 +9a +00 +5d +00 +b4 +00 +5e +00 +65 +00 +b0 +00 +f0 +10 +b7 +00 +ac +00 +b6 +00 +eb +10 +c9 +00 +69 +10 +92 +00 +91 +00 +bb +00 +e5 +00 +c4 +00 +f8 +00 +44 +10 +f1 +30 +e1 +30 +b4 +70 +45 +40 +5f +70 +a6 +20 +91 +20 +03 +10 +54 +10 +a6 +00 +af +00 +62 +00 +7b +00 +48 +00 +80 +00 +3d +00 +69 +00 +3d +00 +5e +00 +31 +00 +65 +00 +2b +00 +4c +00 +2e +00 +56 +00 +30 +00 +4d +00 +2d +00 +43 +00 +5f +00 +71 +00 +4b +00 +8d +00 +3b +00 +50 +00 +2b +00 +3a +00 +25 +00 +35 +00 +23 +00 +41 +00 +25 +00 +3d +00 +41 +00 +72 +00 +ee +00 +d1 +20 +dd +00 +b5 +00 +87 +00 +7d +00 +4f +00 +6e +00 +32 +00 +48 +00 +35 +00 +56 +00 +2b +00 +65 +00 +36 +00 +5e +00 +36 +00 +62 +00 +37 +00 +67 +00 +38 +00 +5e +00 +2d +00 +5b +00 +36 +00 +5b +00 +32 +00 +43 +00 +30 +00 +44 +00 +2a +00 +48 +00 +25 +00 +45 +00 +2f +00 +54 +00 +2f +00 +61 +00 +2e +00 +5e +00 +38 +00 +74 +00 +3e +00 +65 +00 +3c +00 +57 +00 +3e +00 +57 +00 +35 +00 +60 +00 +30 +00 +67 +00 +31 +00 +5f +00 +39 +00 +8f +00 +40 +00 +58 +00 +3b +00 +7c +00 +4f +00 +84 +00 +49 +00 +8d +00 +44 +00 +8d +00 +54 +00 +8b +00 +68 +00 +95 +00 +8b +00 +ff +00 +94 +00 +cd +00 +59 +00 +6a +00 +55 +00 +7e +00 +62 +00 +85 +00 +67 +00 +79 +00 +49 +00 +68 +00 +3e +00 +71 +00 +3d +00 +77 +00 +77 +00 +01 +10 +9b +00 +98 +00 +46 +00 +5a +00 +32 +00 +58 +00 +2b +00 +76 +00 +2d +00 +77 +00 +37 +00 +6a +00 +4a +00 +64 +00 +33 +00 +4e +00 +2b +00 +00 +00 +00 +00 +37 +00 +90 +00 +34 +00 +9c +00 +3c +00 +94 +00 +34 +00 +a9 +00 +3c +00 +a2 +00 +3b +00 +a2 +00 +3d +00 +a6 +00 +2c +00 +b0 +00 +3b +00 +86 +00 +30 +00 +79 +00 +25 +00 +6a +00 +26 +00 +67 +00 +24 +00 +67 +00 +2d +00 +b9 +00 +45 +00 +08 +10 +50 +00 +a4 +00 +2e +00 +91 +00 +2d +00 +65 +00 +29 +00 +65 +00 +28 +00 +7b +00 +2b +00 +8d +00 +2f +00 +75 +00 +29 +00 +76 +00 +2c +00 +90 +00 +35 +00 +89 +00 +31 +00 +7c +00 +26 +00 +65 +00 +21 +00 +54 +00 +25 +00 +75 +00 +21 +00 +67 +00 +2a +00 +75 +00 +33 +00 +df +00 +00 +10 +d0 +10 +37 +00 +85 +00 +2a +00 +6e +00 +20 +00 +8b +00 +ef +00 +88 +20 +53 +00 +94 +00 +28 +00 +65 +00 +1e +00 +68 +00 +29 +00 +5e +00 +21 +00 +5e +00 +26 +00 +5c +00 +26 +00 +6d +00 +23 +00 +66 +00 +20 +00 +55 +00 +1f +00 +49 +00 +1f +00 +4a +00 +22 +00 +4b +00 +1f +00 +61 +00 +25 +00 +5c +00 +21 +00 +5e +00 +20 +00 +67 +00 +1a +00 +63 +00 +1b +00 +57 +00 +1e +00 +57 +00 +19 +00 +46 +00 +19 +00 +4c +00 +1a +00 +52 +00 +22 +00 +55 +00 +26 +00 +54 +00 +1c +00 +5f +00 +27 +00 +7f +00 +8c +00 +0d +10 +28 +00 +4f +00 +1c +00 +52 +00 +1a +00 +4b +00 +20 +00 +57 +00 +23 +00 +57 +00 +20 +00 +5f +00 +25 +00 +63 +00 +28 +00 +5e +00 +22 +00 +53 +00 +25 +00 +55 +00 +1e +00 +55 +00 +1d +00 +65 +00 +21 +00 +56 +00 +1f +00 +5b +00 +24 +00 +50 +00 +20 +00 +51 +00 +19 +00 +5f +00 +2b +00 +65 +00 +25 +00 +5d +00 +23 +00 +64 +00 +29 +00 +6d +00 +38 +00 +cd +00 +42 +00 +cb +00 +3a +00 +7a +00 +2f +00 +88 +00 +a6 +00 +b7 +30 +8f +10 +12 +20 +a5 +00 +65 +30 +72 +10 +31 +30 +aa +00 +81 +20 +be +10 +e0 +30 +94 +00 +30 +10 +3a +00 +ba +00 +4c +00 +03 +10 +c6 +00 +66 +10 +5e +00 +bf +00 +34 +00 +a3 +00 +37 +00 +7b +00 +30 +00 +88 +00 +3a +00 +c0 +00 +46 +00 +aa +00 +4b +00 +28 +10 +47 +00 +c6 +00 +2f +00 +a2 +00 +38 +00 +c0 +00 +44 +00 +c6 +00 +3a +00 +a0 +00 +8b +00 +da +20 +f1 +10 +88 +40 +7e +10 +3d +40 +a1 +00 +2a +10 +4e +00 +28 +20 +c8 +00 +26 +10 +3b +00 +9b +00 +3e +00 +a0 +00 +3d +00 +aa +00 +41 +00 +e5 +00 +17 +10 +93 +30 +b5 +10 +25 +60 +6d +30 +cc +90 +5c +30 +4a +80 +57 +10 +c5 +30 +b7 +10 +9a +50 +65 +20 +80 +60 +14 +10 +e3 +20 +27 +20 +94 +70 +54 +30 +5f +90 +af +30 +78 +a0 +d0 +30 +e6 +a0 +d5 +30 +eb +a0 +f2 +30 +f6 +a0 +f6 +30 +a2 +a0 +bf +30 +82 +90 +ae +10 +00 +40 +39 +10 +b0 +60 +97 +30 +91 +a0 +e9 +30 +e5 +a0 +cb +30 +72 +a0 +c1 +30 +f5 +a0 +e2 +30 +02 +b0 +c3 +30 +65 +a0 +58 +30 +14 +80 +71 +10 +e4 +20 +2d +10 +55 +50 +6f +10 +e1 +10 +56 +00 +13 +10 +66 +00 +00 +10 +3d +00 +b2 +00 +38 +00 +99 +00 +37 +00 +81 +00 +34 +00 +9a +00 +34 +00 +6d +00 +2b +00 +8f +00 +40 +00 +93 +00 +4c +00 +a5 +00 +3b +00 +92 +00 +2c +00 +96 +00 +2f +00 +8b +00 +33 +00 +77 +00 +27 +00 +8d +00 +35 +00 +91 +00 +3e +00 +9a +00 +33 +00 +ac +00 +2e +00 +70 +00 +29 +00 +7b +00 +35 +00 +a6 +00 +49 +00 +97 +00 +29 +00 +c8 +00 +8b +00 +e1 +00 +35 +00 +c7 +00 +61 +00 +f5 +00 +4d +00 +bb +00 +3b +00 +9c +10 +31 +10 +e3 +10 +85 +00 +88 +10 +be +10 +44 +70 +1f +30 +2b +60 +ec +10 +17 +40 +8a +00 +3f +10 +88 +00 +20 +10 +39 +00 +87 +00 +3a +00 +86 +00 +34 +00 +83 +00 +28 +00 +68 +00 +1a +00 +5f +00 +23 +00 +54 +00 +25 +00 +62 +00 +22 +00 +4f +00 +23 +00 +4b +00 +24 +00 +f6 +00 +4a +00 +7c +00 +25 +00 +62 +00 +13 +00 +37 +00 +13 +00 +3e +00 +14 +00 +3c +00 +14 +00 +3e +00 +23 +00 +6d +00 +3b +00 +3e +10 +95 +10 +3b +20 +37 +00 +92 +00 +29 +00 +68 +00 +20 +00 +54 +00 +22 +00 +56 +00 +2c +00 +5f +00 +2c +00 +60 +00 +23 +00 +58 +00 +22 +00 +53 +00 +21 +00 +55 +00 +26 +00 +53 +00 +24 +00 +54 +00 +1f +00 +47 +00 +20 +00 +43 +00 +20 +00 +44 +00 +1a +00 +47 +00 +23 +00 +4a +00 +23 +00 +5d +00 +22 +00 +52 +00 +1f +00 +61 +00 +42 +00 +92 +00 +34 +00 +82 +00 +2d +00 +6d +00 +26 +00 +66 +00 +1f +00 +64 +00 +26 +00 +5a +00 +30 +00 +75 +00 +32 +00 +76 +00 +2f +00 +72 +00 +4a +00 +be +00 +33 +00 +84 +00 +34 +00 +8d +00 +32 +00 +89 +00 +2b +00 +93 +00 +40 +00 +eb +00 +83 +00 +de +00 +3e +00 +94 +00 +3a +00 +8c +00 +36 +00 +d2 +00 +48 +00 +a6 +00 +2e +00 +89 +00 +2e +00 +6f +00 +31 +00 +78 +00 +2f +00 +f4 +00 +f3 +00 +58 +10 +3c +00 +74 +00 +2a +00 +5f +00 +20 +00 +57 +00 +1f +00 +56 +00 +28 +00 +6e +00 +25 +00 +81 +00 +3c +00 +61 +00 +21 +00 +49 +00 +00 +00 +00 +00 +91 +00 +65 +00 +93 +00 +6c +00 +91 +00 +6a +00 +9c +00 +66 +00 +a9 +00 +64 +00 +a9 +00 +69 +00 +a0 +00 +76 +00 +ab +00 +80 +00 +ad +00 +74 +00 +83 +00 +63 +00 +63 +00 +58 +00 +6c +00 +42 +00 +6d +00 +5d +00 +75 +00 +77 +00 +b0 +00 +a1 +00 +cd +00 +6b +00 +83 +00 +4b +00 +73 +00 +51 +00 +63 +00 +4e +00 +6f +00 +51 +00 +65 +00 +56 +00 +7d +00 +4b +00 +76 +00 +52 +00 +86 +00 +df +00 +24 +10 +a2 +00 +82 +00 +4e +00 +7c +00 +42 +00 +6e +00 +41 +00 +67 +00 +51 +00 +68 +00 +4c +00 +6a +00 +50 +00 +70 +00 +49 +10 +a2 +30 +2a +10 +0d +10 +89 +00 +76 +00 +5a +00 +7e +00 +97 +00 +05 +20 +c5 +10 +2e +20 +8c +00 +6f +00 +52 +00 +67 +00 +39 +00 +60 +00 +39 +00 +61 +00 +3c +00 +68 +00 +43 +00 +72 +00 +57 +00 +85 +00 +4c +00 +61 +00 +33 +00 +5b +00 +35 +00 +67 +00 +43 +00 +55 +00 +42 +00 +65 +00 +3a +00 +5d +00 +35 +00 +5e +00 +30 +00 +5f +00 +4a +00 +7e +00 +49 +00 +68 +00 +3b +00 +44 +00 +2a +00 +49 +00 +2e +00 +46 +00 +2a +00 +4b +00 +28 +00 +4d +00 +39 +00 +5b +00 +3a +00 +4e +00 +38 +00 +61 +00 +73 +00 +ee +00 +63 +00 +84 +00 +40 +00 +53 +00 +39 +00 +53 +00 +2f +00 +59 +00 +35 +00 +55 +00 +37 +00 +51 +00 +2f +00 +58 +00 +37 +00 +56 +00 +37 +00 +6a +00 +54 +00 +74 +00 +33 +00 +47 +00 +34 +00 +5b +00 +38 +00 +56 +00 +3b +00 +54 +00 +30 +00 +4a +00 +2a +00 +56 +00 +2e +00 +55 +00 +3b +00 +5c +00 +47 +00 +53 +00 +3e +00 +68 +00 +32 +00 +58 +00 +4d +00 +75 +00 +65 +00 +95 +00 +5d +00 +7e +00 +53 +00 +7f +00 +df +00 +db +10 +bf +10 +08 +30 +99 +10 +1c +20 +30 +10 +05 +20 +54 +10 +f7 +10 +7f +10 +94 +20 +57 +10 +0d +20 +d2 +00 +cc +00 +0d +10 +e0 +10 +de +10 +54 +30 +af +10 +c3 +10 +bc +00 +ac +00 +61 +00 +75 +00 +4f +00 +82 +00 +6f +00 +d6 +00 +c2 +10 +d5 +10 +ef +00 +bc +00 +29 +10 +4c +10 +aa +00 +c1 +00 +8e +00 +8b +00 +b5 +00 +51 +10 +cf +00 +b5 +00 +a1 +00 +fe +00 +16 +20 +73 +50 +5b +20 +54 +40 +a5 +10 +c7 +10 +dd +00 +d0 +00 +96 +00 +49 +10 +95 +00 +df +00 +7c +00 +a0 +00 +67 +00 +a4 +00 +70 +00 +ba +00 +bd +00 +47 +10 +1d +10 +13 +20 +91 +20 +13 +60 +98 +50 +52 +90 +1c +50 +ad +50 +4e +30 +9a +50 +6d +40 +b7 +60 +cf +30 +9c +50 +69 +40 +0a +70 +77 +50 +46 +90 +6c +60 +88 +a0 +29 +70 +bc +a0 +69 +70 +e3 +a0 +67 +70 +e1 +a0 +66 +70 +69 +a0 +74 +70 +a7 +a0 +57 +50 +f4 +40 +50 +30 +14 +30 +20 +50 +38 +90 +99 +60 +e0 +a0 +4b +70 +b8 +a0 +76 +70 +ef +a0 +91 +70 +ff +a0 +47 +70 +51 +a0 +ed +40 +36 +60 +de +20 +5c +30 +d0 +10 +34 +30 +20 +20 +3d +30 +1d +10 +5c +10 +bd +00 +d9 +00 +75 +00 +bb +00 +6f +00 +95 +00 +58 +00 +81 +00 +50 +00 +89 +00 +4a +00 +73 +00 +47 +00 +78 +00 +4b +00 +8e +00 +54 +00 +a1 +00 +81 +00 +bd +00 +da +00 +88 +10 +7d +00 +86 +00 +4d +00 +71 +00 +47 +00 +76 +00 +4a +00 +8b +00 +4c +00 +8d +00 +7d +00 +41 +10 +e8 +00 +d1 +00 +4b +00 +77 +00 +4e +00 +80 +00 +c8 +00 +b4 +20 +ad +10 +39 +10 +72 +10 +3b +20 +f0 +00 +cb +00 +95 +00 +a1 +00 +7e +00 +a3 +00 +a1 +00 +99 +00 +a9 +00 +8c +10 +11 +10 +5b +10 +65 +10 +e6 +20 +62 +30 +d3 +50 +49 +20 +bd +30 +86 +10 +92 +10 +c9 +00 +ce +00 +58 +00 +8f +00 +4c +00 +90 +00 +3f +00 +a1 +00 +42 +00 +78 +00 +2f +00 +5b +00 +3a +00 +5a +00 +32 +00 +5c +00 +2c +00 +53 +00 +31 +00 +55 +00 +35 +00 +53 +00 +8d +00 +e8 +00 +4b +00 +5f +00 +41 +00 +42 +00 +21 +00 +3a +00 +27 +00 +39 +00 +2a +00 +39 +00 +33 +00 +60 +00 +a6 +00 +23 +10 +4b +10 +2d +30 +95 +10 +1f +10 +bd +00 +7e +00 +7b +00 +66 +00 +56 +00 +58 +00 +37 +00 +5f +00 +2b +00 +5a +00 +39 +00 +61 +00 +2c +00 +63 +00 +37 +00 +62 +00 +2e +00 +6f +00 +34 +00 +56 +00 +2b +00 +4c +00 +35 +00 +52 +00 +21 +00 +56 +00 +2a +00 +4f +00 +31 +00 +4e +00 +29 +00 +58 +00 +32 +00 +52 +00 +29 +00 +54 +00 +3e +00 +90 +00 +9d +00 +0e +20 +ea +00 +df +00 +66 +00 +62 +00 +3b +00 +67 +00 +31 +00 +5f +00 +37 +00 +71 +00 +48 +00 +82 +00 +56 +00 +86 +00 +54 +00 +81 +00 +48 +00 +91 +00 +4e +00 +92 +00 +67 +00 +ae +00 +60 +00 +a8 +00 +d3 +00 +4b +10 +bf +10 +59 +10 +c7 +00 +cc +00 +8b +00 +a0 +00 +98 +00 +e8 +00 +bc +10 +26 +20 +67 +10 +dc +10 +ac +00 +a7 +00 +55 +00 +7e +00 +50 +00 +81 +00 +6f +00 +94 +10 +79 +00 +a3 +00 +55 +00 +6d +00 +37 +00 +5c +00 +2a +00 +5a +00 +2e +00 +67 +00 +3a +00 +5e +00 +3a +00 +61 +00 +32 +00 +49 +00 +2e +00 +00 +00 +00 +00 +3b +00 +a1 +00 +3a +00 +9a +00 +40 +00 +93 +00 +34 +00 +ad +00 +43 +00 +9e +00 +3c +00 +a7 +00 +3c +00 +b0 +00 +36 +00 +b1 +00 +56 +00 +f5 +00 +3e +00 +84 +00 +2f +00 +7d +00 +21 +00 +6b +00 +2b +00 +67 +00 +2b +00 +7e +00 +2f +00 +a7 +00 +32 +00 +7b +00 +24 +00 +7e +00 +2a +00 +6e +00 +29 +00 +6f +00 +25 +00 +71 +00 +2c +00 +85 +00 +2d +00 +76 +00 +2f +00 +77 +00 +4f +00 +d8 +10 +a4 +00 +17 +10 +2d +00 +84 +00 +2b +00 +6b +00 +2e +00 +62 +00 +29 +00 +6a +00 +2a +00 +77 +00 +29 +00 +66 +00 +39 +00 +57 +10 +7f +10 +1c +30 +5f +00 +b5 +00 +2d +00 +79 +00 +29 +00 +9e +00 +9f +00 +6f +20 +aa +00 +fa +00 +27 +00 +6f +00 +21 +00 +64 +00 +29 +00 +67 +00 +23 +00 +6f +00 +21 +00 +6b +00 +32 +00 +9b +00 +31 +00 +77 +00 +24 +00 +59 +00 +1f +00 +5f +00 +27 +00 +5d +00 +25 +00 +6f +00 +26 +00 +66 +00 +28 +00 +64 +00 +26 +00 +5c +00 +19 +00 +66 +00 +30 +00 +7e +00 +26 +00 +56 +00 +1c +00 +46 +00 +18 +00 +43 +00 +20 +00 +53 +00 +24 +00 +4d +00 +1f +00 +5d +00 +25 +00 +5f +00 +14 +00 +53 +00 +2b +00 +75 +00 +3c +00 +af +00 +30 +00 +70 +00 +26 +00 +51 +00 +20 +00 +4d +00 +1a +00 +51 +00 +20 +00 +5b +00 +1d +00 +55 +00 +20 +00 +59 +00 +27 +00 +66 +00 +1a +00 +77 +00 +2e +00 +63 +00 +1d +00 +51 +00 +22 +00 +5c +00 +1c +00 +50 +00 +20 +00 +52 +00 +1e +00 +52 +00 +1f +00 +52 +00 +1d +00 +5c +00 +26 +00 +64 +00 +25 +00 +5f +00 +24 +00 +60 +00 +22 +00 +5f +00 +20 +00 +7e +00 +2a +00 +77 +00 +33 +00 +72 +00 +37 +00 +f0 +00 +78 +10 +a4 +40 +e9 +00 +0d +20 +bf +00 +6d +10 +79 +00 +85 +10 +c9 +00 +fe +10 +c2 +00 +a8 +10 +5c +00 +f3 +00 +3e +00 +8f +10 +e5 +00 +3c +30 +ed +10 +94 +40 +a8 +00 +14 +10 +35 +00 +8d +00 +27 +00 +88 +00 +35 +00 +8e +00 +9d +00 +7a +30 +f6 +00 +dd +10 +68 +00 +93 +10 +14 +10 +b3 +10 +56 +00 +d0 +00 +39 +00 +c1 +00 +f9 +00 +b1 +20 +4f +00 +ca +00 +6d +00 +d0 +20 +73 +10 +5f +30 +da +00 +f5 +10 +6e +00 +11 +10 +45 +00 +e1 +00 +47 +00 +de +00 +5d +00 +cf +00 +3c +00 +9d +00 +4a +00 +d7 +00 +45 +00 +d3 +00 +63 +00 +4e +10 +c0 +00 +db +20 +96 +10 +8f +70 +6e +30 +56 +80 +bc +10 +98 +30 +2c +20 +9c +70 +f0 +20 +91 +60 +74 +20 +67 +70 +03 +30 +d1 +80 +89 +30 +49 +a0 +a2 +30 +aa +a0 +c8 +30 +e0 +a0 +04 +40 +37 +b0 +e7 +30 +49 +b0 +fc +30 +d9 +a0 +b5 +30 +d7 +80 +55 +10 +2b +30 +57 +10 +dc +60 +67 +30 +97 +a0 +bf +30 +e8 +a0 +df +30 +e2 +a0 +d1 +30 +05 +b0 +e9 +30 +af +a0 +c5 +20 +a5 +50 +3f +10 +44 +30 +c5 +00 +02 +20 +a3 +00 +ff +10 +af +00 +a0 +10 +6e +00 +18 +10 +51 +00 +c4 +00 +45 +00 +a1 +00 +3e +00 +94 +00 +3b +00 +82 +00 +3d +00 +8c +00 +33 +00 +73 +00 +33 +00 +87 +00 +2f +00 +84 +00 +30 +00 +a1 +00 +f1 +00 +9a +30 +8b +00 +e5 +00 +34 +00 +7b +00 +2d +00 +7a +00 +2c +00 +73 +00 +2a +00 +7d +00 +2a +00 +8d +00 +78 +00 +ee +10 +4b +00 +a4 +00 +2d +00 +71 +00 +36 +00 +02 +10 +6e +10 +5a +40 +1d +20 +08 +50 +ef +00 +95 +10 +39 +00 +a0 +00 +24 +00 +82 +00 +5b +00 +da +10 +39 +00 +b8 +00 +f4 +00 +5e +20 +67 +00 +03 +10 +e6 +00 +20 +50 +3a +10 +d2 +20 +b2 +00 +9d +10 +55 +00 +d4 +00 +2d +00 +8f +00 +2f +00 +83 +00 +3e +00 +94 +00 +3b +00 +7d +00 +29 +00 +6a +00 +2e +00 +7a +00 +27 +00 +69 +00 +21 +00 +54 +00 +23 +00 +47 +00 +1d +00 +3a +00 +2c +00 +18 +10 +42 +00 +84 +00 +1e +00 +3d +00 +1a +00 +3c +00 +15 +00 +40 +00 +1a +00 +42 +00 +15 +00 +40 +00 +2f +00 +d7 +00 +48 +10 +ea +20 +7e +10 +51 +30 +66 +00 +1c +10 +7f +00 +14 +10 +30 +00 +70 +00 +25 +00 +54 +00 +1d +00 +5f +00 +22 +00 +65 +00 +21 +00 +64 +00 +21 +00 +68 +00 +22 +00 +60 +00 +2f +00 +53 +00 +1c +00 +5a +00 +26 +00 +5d +00 +1f +00 +50 +00 +24 +00 +50 +00 +1e +00 +54 +00 +2c +00 +5b +00 +1c +00 +40 +00 +26 +00 +5f +00 +2d +00 +66 +00 +29 +00 +e2 +00 +c8 +00 +87 +10 +71 +00 +f3 +00 +2a +00 +64 +00 +2b +00 +64 +00 +2c +00 +64 +00 +2d +00 +75 +00 +4a +00 +4c +10 +34 +00 +90 +00 +36 +00 +79 +00 +34 +00 +a1 +00 +37 +00 +af +00 +54 +00 +b7 +00 +44 +00 +93 +10 +f7 +10 +bf +50 +af +00 +32 +10 +51 +00 +68 +10 +69 +00 +db +00 +92 +00 +28 +40 +84 +10 +8c +20 +06 +10 +41 +10 +3c +00 +9f +00 +39 +00 +85 +00 +2f +00 +a0 +00 +44 +00 +c2 +00 +33 +00 +88 +00 +26 +00 +5e +00 +1f +00 +54 +00 +26 +00 +57 +00 +23 +00 +65 +00 +2b +00 +6a +00 +20 +00 +6a +00 +25 +00 +4e +00 +00 +00 +00 +00 +96 +00 +66 +00 +92 +00 +66 +00 +a2 +00 +74 +00 +a1 +00 +6a +00 +99 +00 +6f +00 +a6 +00 +70 +00 +ab +00 +75 +00 +aa +00 +a8 +00 +26 +10 +4f +10 +38 +10 +b0 +00 +a8 +00 +87 +00 +8e +00 +55 +00 +77 +00 +4e +00 +6b +00 +6a +00 +80 +00 +65 +00 +7e +00 +57 +00 +6a +00 +68 +00 +88 +00 +59 +00 +70 +00 +53 +00 +6f +00 +50 +00 +8a +00 +4d +00 +86 +00 +4f +00 +80 +00 +8e +00 +e2 +00 +4d +20 +0c +40 +7a +10 +f7 +00 +72 +00 +8a +00 +52 +00 +73 +00 +41 +00 +72 +00 +55 +00 +73 +00 +6d +00 +7c +00 +62 +00 +7e +00 +be +00 +bc +10 +ad +10 +e4 +10 +15 +10 +d3 +00 +8b +00 +7e +00 +75 +00 +d5 +00 +8b +00 +ff +00 +7a +00 +7e +00 +46 +00 +68 +00 +3d +00 +5e +00 +49 +00 +6b +00 +42 +00 +67 +00 +59 +00 +ac +00 +dd +00 +46 +10 +63 +00 +68 +00 +38 +00 +66 +00 +35 +00 +53 +00 +54 +00 +ea +00 +73 +00 +80 +00 +54 +00 +c8 +00 +40 +00 +62 +00 +34 +00 +5f +00 +2f +00 +60 +00 +31 +00 +56 +00 +2a +00 +4d +00 +3c +00 +4a +00 +2c +00 +54 +00 +33 +00 +4c +00 +3b +00 +5f +00 +a6 +00 +82 +00 +6a +00 +6a +00 +37 +00 +4c +00 +51 +00 +7b +00 +85 +00 +a8 +00 +59 +00 +72 +00 +34 +00 +56 +00 +36 +00 +62 +00 +32 +00 +5d +00 +2d +00 +57 +00 +2b +00 +59 +00 +36 +00 +58 +00 +30 +00 +55 +00 +35 +00 +5a +00 +2f +00 +47 +00 +30 +00 +55 +00 +2a +00 +57 +00 +2b +00 +56 +00 +33 +00 +57 +00 +2e +00 +4e +00 +38 +00 +4c +00 +3e +00 +8a +00 +5a +00 +67 +00 +3d +00 +5f +00 +38 +00 +61 +00 +47 +00 +6b +00 +53 +00 +6e +00 +4e +00 +7f +00 +57 +00 +72 +00 +26 +10 +40 +30 +13 +30 +2f +60 +71 +20 +06 +20 +23 +10 +64 +10 +14 +10 +df +20 +78 +10 +88 +10 +d8 +00 +05 +10 +95 +00 +b2 +00 +9a +00 +4c +10 +01 +10 +c2 +20 +bb +10 +71 +20 +dd +00 +b0 +00 +53 +00 +6f +00 +5b +00 +8c +00 +73 +00 +d4 +00 +e0 +10 +54 +40 +c0 +10 +25 +20 +04 +10 +5e +10 +55 +10 +73 +20 +1d +10 +f4 +00 +e9 +00 +5a +20 +94 +10 +ae +10 +f8 +00 +f5 +00 +56 +10 +c8 +20 +3e +10 +c7 +10 +00 +10 +08 +10 +a1 +00 +b8 +00 +88 +00 +c9 +00 +e7 +00 +bc +20 +cc +00 +c9 +00 +a5 +00 +6a +10 +97 +10 +54 +10 +d6 +00 +f1 +00 +9b +10 +cc +40 +94 +30 +65 +60 +38 +40 +77 +70 +ca +30 +3a +50 +1f +30 +31 +40 +d3 +20 +6b +60 +07 +30 +89 +50 +24 +40 +31 +80 +bd +50 +ea +90 +df +60 +7f +a0 +6c +70 +cb +a0 +6c +70 +f2 +a0 +9a +70 +34 +b0 +5c +70 +04 +b0 +3c +70 +71 +a0 +2d +60 +15 +60 +cf +30 +89 +40 +bb +50 +83 +90 +c2 +60 +be +a0 +90 +70 +b0 +a0 +3c +70 +15 +b0 +58 +70 +0c +b0 +b7 +60 +0b +70 +db +30 +72 +30 +3f +20 +12 +20 +58 +10 +56 +10 +f2 +00 +54 +10 +d1 +00 +65 +10 +c0 +00 +f9 +00 +78 +00 +c8 +00 +6d +00 +9f +00 +4f +00 +98 +00 +4e +00 +85 +00 +4e +00 +84 +00 +54 +00 +6e +00 +47 +00 +6f +00 +52 +00 +7e +00 +a3 +00 +23 +20 +de +10 +68 +20 +bc +00 +af +00 +64 +00 +78 +00 +47 +00 +76 +00 +4a +00 +5e +00 +52 +00 +79 +00 +99 +00 +a4 +10 +ad +00 +f8 +00 +6b +00 +89 +00 +4d +00 +7b +00 +ca +00 +32 +30 +1d +30 +36 +70 +67 +20 +c8 +20 +37 +10 +0f +10 +8f +00 +76 +00 +72 +00 +83 +00 +72 +00 +d1 +00 +e6 +00 +62 +30 +59 +10 +52 +10 +44 +10 +67 +10 +a3 +10 +9b +20 +df +10 +c0 +10 +2d +10 +ef +00 +86 +00 +96 +00 +4a +00 +6e +00 +40 +00 +8a +00 +3c +00 +8a +00 +35 +00 +6e +00 +33 +00 +67 +00 +37 +00 +7e +00 +31 +00 +5b +00 +2e +00 +47 +00 +33 +00 +58 +00 +38 +00 +55 +00 +4b +00 +79 +00 +3d +00 +58 +00 +32 +00 +3f +00 +2e +00 +39 +00 +2a +00 +3f +00 +24 +00 +37 +00 +40 +00 +67 +00 +d5 +00 +90 +30 +6f +10 +0e +30 +2d +10 +b8 +10 +99 +20 +55 +50 +63 +20 +73 +10 +ac +00 +65 +00 +3b +00 +54 +00 +39 +00 +57 +00 +4d +00 +73 +00 +5d +00 +74 +00 +53 +00 +71 +00 +3b +00 +62 +00 +3d +00 +67 +00 +2e +00 +5d +00 +33 +00 +59 +00 +2a +00 +57 +00 +22 +00 +57 +00 +26 +00 +4f +00 +33 +00 +63 +00 +35 +00 +50 +00 +33 +00 +65 +00 +3f +00 +75 +00 +52 +00 +a6 +00 +68 +00 +cb +00 +60 +00 +7a +00 +40 +00 +64 +00 +3d +00 +73 +00 +59 +00 +75 +00 +5c +00 +97 +00 +53 +00 +c8 +00 +5c +00 +93 +00 +56 +00 +8b +00 +66 +00 +a5 +00 +96 +00 +0c +20 +06 +10 +09 +10 +79 +10 +79 +50 +2b +30 +45 +40 +42 +10 +f8 +00 +df +10 +8f +30 +aa +10 +98 +10 +20 +30 +32 +60 +5f +20 +a1 +10 +01 +10 +d0 +00 +77 +00 +98 +00 +57 +00 +87 +00 +54 +00 +9a +00 +53 +00 +82 +00 +55 +00 +6c +00 +3a +00 +58 +00 +37 +00 +58 +00 +36 +00 +5b +00 +37 +00 +5b +00 +34 +00 +62 +00 +46 +00 +71 +00 +31 +00 +00 +00 +00 +00 +44 +00 +a0 +00 +41 +00 +a9 +00 +3c +00 +af +00 +3d +00 +a3 +00 +34 +00 +b3 +00 +39 +00 +a7 +00 +3f +00 +af +00 +45 +00 +be +00 +a9 +00 +ef +20 +12 +10 +56 +20 +59 +00 +d7 +00 +36 +00 +98 +00 +36 +00 +7f +00 +29 +00 +79 +00 +2c +00 +81 +00 +2e +00 +78 +00 +38 +00 +eb +00 +43 +00 +88 +00 +2a +00 +78 +00 +2e +00 +84 +00 +2f +00 +87 +00 +2f +00 +8a +00 +2c +00 +a1 +00 +af +00 +11 +40 +f7 +10 +41 +40 +6c +00 +b5 +00 +37 +00 +81 +00 +26 +00 +79 +00 +2b +00 +81 +00 +34 +00 +ab +00 +31 +00 +7c +00 +35 +00 +ca +00 +a8 +00 +be +20 +34 +10 +d4 +30 +7b +00 +cb +00 +29 +00 +8c +00 +40 +00 +e0 +00 +3c +00 +92 +00 +25 +00 +60 +00 +2a +00 +69 +00 +21 +00 +79 +00 +25 +00 +65 +00 +27 +00 +6a +00 +95 +00 +29 +20 +53 +00 +a9 +00 +22 +00 +62 +00 +21 +00 +65 +00 +1b +00 +78 +00 +5d +00 +fb +00 +31 +00 +86 +00 +37 +00 +82 +00 +27 +00 +64 +00 +1e +00 +55 +00 +19 +00 +4f +00 +21 +00 +56 +00 +20 +00 +4b +00 +20 +00 +4f +00 +1b +00 +52 +00 +25 +00 +5a +00 +63 +00 +86 +20 +72 +00 +a0 +00 +22 +00 +54 +00 +1c +00 +68 +00 +42 +00 +ea +00 +47 +00 +8f +00 +24 +00 +53 +00 +23 +00 +6c +00 +1f +00 +62 +00 +21 +00 +5b +00 +16 +00 +57 +00 +24 +00 +58 +00 +1d +00 +5d +00 +1e +00 +5a +00 +26 +00 +55 +00 +1a +00 +60 +00 +1f +00 +59 +00 +22 +00 +56 +00 +1e +00 +58 +00 +22 +00 +4d +00 +20 +00 +53 +00 +27 +00 +58 +00 +30 +00 +b8 +00 +2e +00 +6e +00 +29 +00 +64 +00 +1e +00 +54 +00 +28 +00 +7c +00 +27 +00 +7f +00 +29 +00 +74 +00 +36 +00 +59 +10 +d4 +10 +6b +50 +cf +10 +a2 +40 +b4 +00 +1b +10 +84 +00 +60 +10 +73 +00 +57 +10 +5f +00 +e9 +00 +40 +00 +a3 +00 +43 +00 +b2 +00 +56 +00 +39 +10 +bd +00 +29 +20 +8f +00 +21 +10 +2e +00 +86 +00 +3e +00 +88 +00 +2e +00 +91 +00 +47 +00 +26 +20 +ea +10 +b2 +40 +b0 +00 +59 +10 +6d +00 +6e +10 +a2 +10 +e4 +30 +89 +00 +0d +10 +c3 +00 +1b +20 +a2 +00 +6d +10 +3f +00 +51 +10 +94 +00 +b9 +10 +67 +00 +05 +10 +44 +00 +bd +00 +3d +00 +b2 +00 +50 +00 +f1 +00 +87 +00 +31 +10 +41 +00 +df +00 +03 +10 +1e +40 +dd +00 +63 +10 +55 +00 +a6 +10 +c5 +10 +7b +40 +73 +10 +25 +40 +e4 +10 +62 +50 +fb +10 +0e +40 +2c +10 +af +30 +20 +10 +21 +30 +15 +10 +54 +30 +33 +20 +69 +80 +a7 +30 +42 +a0 +c8 +30 +9b +a0 +2f +40 +17 +b0 +08 +40 +db +a0 +e2 +30 +ee +a0 +de +30 +8d +a0 +e0 +30 +00 +a0 +1b +30 +f6 +50 +92 +20 +8a +80 +b8 +30 +4d +a0 +df +30 +a8 +a0 +b6 +30 +c7 +90 +c1 +30 +ec +a0 +d5 +30 +2b +a0 +c7 +20 +39 +60 +89 +10 +c0 +20 +89 +00 +37 +10 +64 +00 +29 +10 +60 +00 +13 +10 +61 +00 +08 +10 +68 +00 +fb +00 +45 +00 +a6 +00 +3e +00 +a4 +00 +35 +00 +76 +00 +3b +00 +8c +00 +2f +00 +81 +00 +33 +00 +7d +00 +27 +00 +7a +00 +35 +00 +ab +00 +f1 +00 +64 +30 +d4 +00 +4c +10 +36 +00 +88 +00 +25 +00 +68 +00 +30 +00 +64 +00 +34 +00 +98 +00 +95 +00 +94 +10 +61 +00 +f4 +00 +47 +00 +ab +00 +23 +00 +79 +00 +2e +00 +bc +00 +b3 +00 +33 +40 +f3 +10 +a8 +30 +00 +10 +ab +10 +4d +00 +97 +00 +29 +00 +71 +00 +28 +00 +7e +00 +2e +00 +dc +00 +b7 +00 +51 +20 +24 +10 +23 +20 +78 +00 +8f +10 +60 +10 +18 +40 +79 +00 +f9 +00 +51 +00 +ae +00 +31 +00 +66 +00 +23 +00 +6c +00 +2f +00 +7b +00 +37 +00 +74 +00 +22 +00 +4b +00 +2b +00 +70 +00 +2f +00 +6f +00 +22 +00 +52 +00 +20 +00 +4d +00 +21 +00 +4d +00 +1f +00 +5a +00 +22 +00 +52 +00 +1c +00 +3d +00 +15 +00 +40 +00 +13 +00 +38 +00 +14 +00 +3b +00 +1b +00 +41 +00 +20 +00 +d1 +00 +c1 +00 +b0 +10 +91 +00 +79 +10 +a5 +00 +f9 +30 +df +20 +ee +50 +94 +00 +ea +00 +22 +00 +5b +00 +1f +00 +5c +00 +1e +00 +7c +00 +63 +00 +16 +10 +36 +00 +7f +00 +2b +00 +63 +00 +2e +00 +71 +00 +23 +00 +5a +00 +20 +00 +5d +00 +21 +00 +4b +00 +18 +00 +56 +00 +1d +00 +57 +00 +27 +00 +63 +00 +21 +00 +5a +00 +1e +00 +5d +00 +27 +00 +6b +00 +2a +00 +78 +00 +27 +00 +9d +00 +2f +00 +84 +00 +2a +00 +71 +00 +26 +00 +67 +00 +39 +00 +bb +00 +43 +00 +93 +00 +40 +00 +af +00 +34 +00 +ac +00 +41 +00 +8a +00 +3a +00 +f4 +00 +68 +00 +ea +00 +9e +00 +d5 +10 +09 +10 +e7 +10 +02 +10 +8a +40 +40 +10 +db +10 +6e +00 +56 +30 +81 +20 +38 +40 +f3 +00 +48 +50 +12 +30 +d5 +50 +9b +00 +2d +10 +52 +00 +b6 +00 +38 +00 +99 +00 +33 +00 +89 +00 +33 +00 +7a +00 +2b +00 +87 +00 +2a +00 +5f +00 +22 +00 +5a +00 +24 +00 +51 +00 +20 +00 +6f +00 +29 +00 +62 +00 +35 +00 +bd +00 +38 +00 +58 +00 +00 +00 +00 +00 +97 +00 +6c +00 +a1 +00 +6b +00 +a4 +00 +78 +00 +a2 +00 +67 +00 +ac +00 +71 +00 +aa +00 +68 +00 +bd +00 +68 +00 +ae +00 +9e +00 +5f +10 +0f +10 +6e +20 +42 +20 +3a +30 +56 +10 +f9 +00 +88 +00 +b3 +00 +8b +00 +9b +00 +65 +00 +95 +00 +53 +00 +74 +00 +7f +00 +99 +00 +b9 +00 +61 +10 +93 +00 +95 +00 +9a +00 +a4 +00 +83 +00 +87 +00 +5a +00 +73 +00 +51 +00 +7f +00 +ea +00 +24 +20 +08 +30 +55 +60 +c2 +20 +85 +20 +bd +00 +af +00 +64 +00 +88 +00 +6a +00 +92 +00 +14 +10 +42 +10 +d5 +00 +b1 +00 +73 +00 +82 +00 +9b +00 +18 +10 +1d +10 +7f +30 +9f +20 +3f +30 +f2 +00 +9f +00 +7f +00 +ad +00 +8f +00 +ac +00 +5d +00 +68 +00 +47 +00 +70 +00 +36 +00 +6a +00 +3d +00 +62 +00 +40 +00 +6c +00 +55 +00 +eb +00 +88 +00 +1b +10 +5d +00 +6a +00 +40 +00 +64 +00 +37 +00 +67 +00 +9a +00 +46 +20 +a0 +00 +95 +00 +45 +00 +78 +00 +3b +00 +6e +00 +3f +00 +56 +00 +2c +00 +51 +00 +38 +00 +59 +00 +35 +00 +57 +00 +28 +00 +52 +00 +22 +00 +48 +00 +24 +00 +54 +00 +6d +00 +6c +10 +d0 +10 +aa +20 +b6 +00 +6b +00 +41 +00 +5d +00 +a7 +00 +61 +10 +95 +00 +9c +00 +4e +00 +5a +00 +33 +00 +5e +00 +33 +00 +60 +00 +43 +00 +62 +00 +34 +00 +57 +00 +2e +00 +58 +00 +3c +00 +56 +00 +38 +00 +69 +00 +30 +00 +50 +00 +2e +00 +4b +00 +29 +00 +6b +00 +35 +00 +5f +00 +3c +00 +61 +00 +2e +00 +47 +00 +35 +00 +49 +00 +37 +00 +56 +00 +37 +00 +69 +00 +39 +00 +7b +00 +45 +00 +60 +00 +39 +00 +53 +00 +4b +00 +a2 +00 +6a +00 +75 +00 +59 +00 +7a +00 +4d +00 +84 +00 +cf +00 +15 +20 +7b +10 +73 +30 +a7 +10 +0a +20 +fd +00 +e2 +00 +a3 +00 +f5 +00 +92 +00 +c7 +00 +9d +00 +b1 +00 +82 +00 +91 +00 +7d +00 +d5 +00 +b6 +00 +2d +10 +b4 +00 +29 +10 +9e +00 +a3 +00 +8c +00 +e8 +00 +be +00 +b0 +00 +bc +00 +de +00 +34 +20 +fc +30 +2c +20 +d6 +20 +60 +10 +3a +10 +35 +10 +89 +30 +f9 +20 +0d +40 +4e +10 +46 +10 +36 +10 +80 +20 +17 +20 +48 +20 +0a +10 +22 +10 +cb +00 +2f +10 +c4 +00 +c8 +00 +a1 +00 +ae +00 +bd +00 +bd +00 +06 +10 +17 +10 +ef +00 +eb +00 +0a +10 +06 +30 +41 +20 +b1 +20 +24 +10 +10 +10 +18 +10 +d8 +10 +62 +10 +44 +20 +f6 +10 +9d +20 +93 +20 +62 +40 +11 +20 +58 +20 +b2 +10 +52 +20 +be +10 +3a +20 +1f +20 +e8 +20 +5e +30 +87 +80 +5b +60 +8c +a0 +53 +70 +57 +b0 +23 +70 +27 +b0 +1b +70 +10 +b0 +73 +60 +d6 +90 +ca +60 +a0 +a0 +b5 +60 +f3 +90 +23 +60 +64 +80 +39 +60 +e8 +90 +b0 +60 +ac +a0 +c3 +60 +64 +a0 +7d +60 +f5 +90 +c5 +60 +96 +a0 +d6 +50 +3b +80 +73 +30 +12 +40 +fe +10 +d0 +10 +27 +10 +30 +10 +c5 +00 +fb +00 +9e +00 +ee +00 +85 +00 +d0 +00 +79 +00 +c2 +00 +66 +00 +95 +00 +5b +00 +96 +00 +60 +00 +8c +00 +6a +00 +8f +00 +66 +00 +8e +00 +4c +00 +8c +00 +46 +00 +7d +00 +87 +00 +f5 +00 +b1 +00 +8e +10 +af +00 +f9 +00 +69 +00 +6d +00 +37 +00 +68 +00 +3a +00 +6f +00 +84 +00 +53 +10 +96 +00 +d2 +00 +6e +00 +9f +00 +52 +00 +7c +00 +51 +00 +67 +00 +86 +00 +ea +00 +fd +00 +0c +20 +4c +10 +50 +20 +3b +10 +55 +10 +c0 +00 +83 +00 +9c +00 +8a +00 +81 +00 +80 +00 +80 +00 +c1 +00 +c8 +00 +f1 +20 +ee +10 +2b +30 +5e +10 +ed +20 +9d +10 +cc +10 +23 +10 +96 +10 +98 +00 +77 +00 +41 +00 +64 +00 +37 +00 +6b +00 +35 +00 +6c +00 +30 +00 +53 +00 +33 +00 +5d +00 +43 +00 +d1 +00 +4d +00 +86 +00 +83 +00 +86 +00 +43 +00 +47 +00 +36 +00 +54 +00 +32 +00 +51 +00 +36 +00 +46 +00 +26 +00 +42 +00 +27 +00 +36 +00 +22 +00 +37 +00 +21 +00 +3d +00 +40 +00 +70 +00 +9e +00 +e0 +00 +99 +00 +19 +10 +c8 +00 +62 +10 +aa +10 +37 +60 +6d +20 +8b +20 +d1 +00 +7d +00 +45 +00 +6c +00 +4d +00 +5d +00 +b2 +00 +49 +20 +4a +10 +f1 +00 +67 +00 +71 +00 +45 +00 +69 +00 +3f +00 +52 +00 +2f +00 +5e +00 +38 +00 +57 +00 +33 +00 +50 +00 +36 +00 +59 +00 +2c +00 +50 +00 +31 +00 +57 +00 +46 +00 +6f +00 +7c +00 +81 +00 +7d +00 +69 +00 +50 +00 +76 +00 +59 +00 +8d +00 +51 +00 +74 +00 +4a +00 +6a +00 +53 +00 +d7 +00 +27 +10 +83 +10 +83 +00 +9c +00 +66 +00 +99 +00 +7c +00 +b7 +00 +87 +00 +9d +00 +ba +00 +12 +20 +c0 +00 +04 +10 +30 +10 +e6 +30 +20 +20 +09 +20 +87 +10 +25 +20 +de +10 +fe +10 +d1 +30 +e1 +70 +01 +50 +c0 +60 +b5 +40 +a7 +80 +5a +40 +9e +30 +9d +10 +e7 +00 +83 +00 +8f +00 +59 +00 +82 +00 +52 +00 +79 +00 +47 +00 +6d +00 +3c +00 +80 +00 +48 +00 +7f +00 +64 +00 +a8 +00 +4d +00 +55 +00 +3e +00 +5e +00 +37 +00 +57 +00 +2c +00 +6d +00 +2f +00 +00 +00 +00 +00 +32 +00 +ab +00 +43 +00 +9f +00 +38 +00 +ac +00 +3d +00 +97 +00 +34 +00 +9f +00 +38 +00 +af +00 +40 +00 +aa +00 +41 +00 +d2 +00 +57 +00 +16 +10 +ba +00 +14 +40 +de +10 +49 +30 +72 +00 +cd +00 +62 +00 +b6 +10 +51 +00 +a7 +00 +2e +00 +94 +00 +32 +00 +9e +00 +5a +00 +bb +10 +bf +00 +4c +10 +64 +00 +57 +10 +55 +00 +c9 +00 +2f +00 +8a +00 +25 +00 +8f +00 +49 +00 +e5 +00 +39 +10 +41 +50 +fc +10 +90 +40 +b5 +00 +13 +10 +2e +00 +9c +00 +2e +00 +94 +00 +48 +00 +b7 +10 +64 +10 +f4 +20 +5a +00 +a0 +00 +2f +00 +af +00 +47 +00 +12 +10 +13 +10 +89 +40 +f4 +00 +7a +10 +3b +00 +9a +00 +51 +00 +24 +10 +39 +00 +87 +00 +27 +00 +6c +00 +22 +00 +5e +00 +27 +00 +62 +00 +27 +00 +78 +00 +2d +00 +6f +00 +39 +00 +a3 +00 +3c +00 +7b +00 +29 +00 +50 +00 +24 +00 +5e +00 +22 +00 +96 +00 +8a +00 +4c +10 +39 +00 +77 +00 +25 +00 +67 +00 +29 +00 +58 +00 +1c +00 +4e +00 +1f +00 +5a +00 +22 +00 +60 +00 +1a +00 +51 +00 +17 +00 +45 +00 +1d +00 +58 +00 +1b +00 +62 +00 +9e +00 +9b +20 +8f +00 +18 +10 +23 +00 +64 +00 +42 +00 +72 +10 +ac +00 +6a +10 +37 +00 +84 +00 +24 +00 +57 +00 +23 +00 +5b +00 +1e +00 +85 +00 +28 +00 +6a +00 +21 +00 +66 +00 +1f +00 +6a +00 +22 +00 +60 +00 +26 +00 +57 +00 +1c +00 +59 +00 +1c +00 +57 +00 +24 +00 +5f +00 +1e +00 +5a +00 +20 +00 +60 +00 +24 +00 +5d +00 +24 +00 +4b +00 +1a +00 +5a +00 +27 +00 +71 +00 +2f +00 +77 +00 +28 +00 +59 +00 +25 +00 +8f +00 +47 +00 +95 +00 +2d +00 +8b +00 +27 +00 +80 +00 +34 +00 +96 +00 +58 +00 +78 +10 +0e +10 +5d +20 +76 +00 +3c +10 +4f +00 +b3 +00 +3b +00 +b3 +00 +40 +00 +b1 +00 +3e +00 +a4 +00 +31 +00 +9e +00 +4a +00 +cc +00 +4a +00 +16 +10 +3e +00 +a8 +00 +38 +00 +ab +00 +c1 +00 +6d +20 +5f +00 +db +00 +5d +00 +c1 +20 +18 +20 +41 +50 +cd +00 +d6 +10 +57 +00 +27 +10 +32 +10 +e2 +40 +9d +10 +81 +20 +6e +00 +f7 +10 +6d +10 +3a +40 +e4 +00 +9e +10 +4c +00 +e7 +00 +51 +00 +cd +00 +42 +00 +d2 +00 +57 +00 +f9 +00 +7f +00 +8f +10 +12 +10 +c0 +20 +6d +00 +2e +10 +5d +10 +2e +50 +0b +10 +cb +10 +61 +00 +06 +10 +69 +00 +83 +10 +91 +00 +da +10 +a4 +00 +92 +20 +dd +00 +23 +20 +88 +00 +bd +10 +89 +00 +b5 +10 +89 +00 +bf +10 +c3 +00 +00 +30 +1c +20 +f0 +70 +95 +30 +60 +a0 +e6 +30 +cd +a0 +e2 +30 +8b +a0 +4b +30 +87 +70 +5c +20 +27 +90 +c7 +30 +b8 +a0 +b2 +30 +83 +90 +71 +30 +dd +90 +a8 +30 +41 +a0 +94 +30 +60 +90 +31 +30 +84 +90 +97 +30 +0e +a0 +58 +30 +24 +80 +9f +10 +9b +30 +e1 +00 +d9 +20 +08 +10 +9e +20 +75 +00 +0d +10 +52 +00 +e9 +00 +5a +00 +f0 +00 +4e +00 +c3 +00 +45 +00 +a8 +00 +42 +00 +8d +00 +3c +00 +a1 +00 +76 +00 +e7 +00 +44 +00 +9f +00 +3e +00 +79 +00 +3b +00 +7f +00 +36 +00 +87 +00 +43 +00 +ed +00 +55 +00 +e6 +00 +65 +00 +bd +00 +29 +00 +64 +00 +2c +00 +63 +00 +30 +00 +88 +00 +6f +00 +be +00 +3c +00 +8d +00 +30 +00 +92 +00 +28 +00 +76 +00 +2d +00 +7f +00 +35 +00 +0c +10 +69 +00 +7a +10 +75 +00 +15 +20 +82 +00 +33 +10 +13 +10 +da +10 +46 +00 +a2 +00 +2c +00 +9a +00 +3a +00 +da +00 +6e +00 +99 +10 +c1 +00 +85 +10 +7b +00 +6e +10 +82 +00 +0d +20 +ec +00 +07 +10 +35 +00 +6f +00 +24 +00 +5d +00 +2d +00 +6a +00 +25 +00 +5e +00 +20 +00 +55 +00 +1f +00 +65 +00 +69 +00 +c0 +00 +55 +00 +bc +10 +7b +00 +98 +00 +1e +00 +50 +00 +21 +00 +52 +00 +1a +00 +3f +00 +17 +00 +35 +00 +16 +00 +30 +00 +17 +00 +3b +00 +1b +00 +3d +00 +1d +00 +62 +00 +39 +00 +4e +10 +6b +00 +c5 +00 +34 +00 +c2 +00 +48 +00 +a1 +10 +52 +10 +5d +30 +ae +00 +36 +10 +2b +00 +60 +00 +20 +00 +6e +00 +3c +00 +32 +10 +17 +10 +96 +20 +49 +00 +ab +00 +2c +00 +66 +00 +21 +00 +69 +00 +20 +00 +5d +00 +20 +00 +4b +00 +2b +00 +5d +00 +22 +00 +63 +00 +2b +00 +58 +00 +24 +00 +53 +00 +26 +00 +66 +00 +7f +00 +88 +20 +83 +00 +f4 +00 +33 +00 +7d +00 +2e +00 +80 +00 +2b +00 +69 +00 +27 +00 +74 +00 +2e +00 +80 +00 +4d +00 +59 +10 +7d +00 +fb +00 +42 +00 +ad +00 +3c +00 +e1 +00 +53 +00 +dc +00 +54 +00 +f6 +00 +6e +00 +10 +10 +65 +00 +55 +10 +b2 +10 +9c +50 +d4 +00 +1b +20 +af +00 +a7 +20 +50 +20 +f5 +70 +a0 +30 +9d +90 +25 +30 +ea +70 +9e +30 +f9 +80 +6f +10 +5a +20 +5a +00 +b6 +00 +35 +00 +92 +00 +33 +00 +7f +00 +35 +00 +8a +00 +25 +00 +78 +00 +29 +00 +86 +00 +48 +00 +e7 +00 +3a +00 +78 +00 +2a +00 +65 +00 +28 +00 +5f +00 +26 +00 +5d +00 +22 +00 +47 +00 +00 +00 +00 +00 +9f +00 +66 +00 +a4 +00 +6d +00 +a6 +00 +67 +00 +97 +00 +60 +00 +a5 +00 +69 +00 +af +00 +69 +00 +a5 +00 +84 +00 +ac +00 +93 +00 +c8 +00 +d3 +00 +6e +10 +95 +20 +cf +50 +29 +20 +c9 +10 +c7 +00 +0d +10 +23 +10 +e8 +10 +aa +00 +93 +00 +75 +00 +bb +00 +58 +10 +be +10 +48 +10 +d5 +10 +5f +10 +69 +20 +57 +20 +1a +30 +3f +10 +b9 +00 +b0 +00 +b1 +00 +9e +00 +b8 +00 +36 +10 +f7 +10 +99 +20 +51 +50 +8a +20 +d8 +20 +17 +10 +d0 +00 +9b +00 +bd +00 +f1 +00 +2a +10 +2c +20 +24 +50 +e2 +10 +f8 +10 +93 +00 +a7 +00 +92 +00 +10 +10 +f5 +00 +13 +20 +2c +20 +3d +40 +19 +10 +b7 +00 +ad +00 +39 +10 +a5 +00 +e3 +00 +5e +00 +74 +00 +4b +00 +66 +00 +4e +00 +6d +00 +5e +00 +7a +00 +5b +00 +73 +00 +5d +00 +7e +00 +51 +00 +8d +00 +46 +00 +6c +00 +37 +00 +64 +00 +3f +00 +58 +00 +4d +00 +8d +00 +53 +00 +90 +00 +4a +00 +72 +00 +2e +00 +60 +00 +31 +00 +49 +00 +36 +00 +58 +00 +37 +00 +5d +00 +33 +00 +57 +00 +30 +00 +4a +00 +33 +00 +47 +00 +35 +00 +5f +00 +72 +00 +fc +00 +9b +00 +1a +10 +7d +00 +70 +00 +46 +00 +78 +00 +bc +00 +58 +20 +e5 +00 +de +00 +59 +00 +67 +00 +35 +00 +56 +00 +34 +00 +5e +00 +3d +00 +7d +00 +40 +00 +60 +00 +32 +00 +59 +00 +32 +00 +69 +00 +31 +00 +57 +00 +39 +00 +52 +00 +35 +00 +57 +00 +36 +00 +5c +00 +32 +00 +5a +00 +2f +00 +58 +00 +37 +00 +52 +00 +2b +00 +57 +00 +37 +00 +5e +00 +3f +00 +6a +00 +4a +00 +7b +00 +47 +00 +64 +00 +36 +00 +5c +00 +61 +00 +d3 +00 +11 +10 +11 +10 +8f +00 +91 +00 +58 +00 +78 +00 +8b +00 +d6 +00 +ea +00 +ca +20 +25 +10 +4d +10 +ac +00 +b0 +00 +7b +00 +92 +00 +67 +00 +a6 +00 +61 +00 +8b +00 +64 +00 +ae +00 +bb +00 +d8 +00 +c8 +00 +dc +00 +8f +00 +ba +00 +82 +00 +91 +00 +32 +10 +e2 +20 +37 +20 +cb +20 +bb +10 +3f +10 +94 +20 +2a +40 +38 +30 +a5 +40 +cd +10 +2d +10 +fe +00 +60 +10 +16 +10 +9b +20 +40 +10 +73 +10 +bd +10 +29 +30 +48 +10 +cd +10 +f5 +00 +fb +00 +c0 +00 +e3 +00 +49 +10 +3c +10 +0e +20 +65 +20 +d7 +20 +5e +40 +b4 +20 +92 +30 +7c +10 +27 +20 +00 +10 +a6 +10 +2d +10 +5f +20 +13 +10 +1b +10 +28 +10 +83 +10 +de +10 +b1 +10 +af +10 +a3 +10 +1f +20 +9e +20 +93 +10 +98 +10 +50 +10 +5a +10 +af +10 +93 +10 +1f +20 +37 +20 +fd +30 +ab +60 +ae +50 +29 +80 +cb +50 +83 +80 +a1 +50 +3c +90 +8c +50 +b1 +70 +c0 +40 +c4 +40 +fa +40 +2f +90 +90 +60 +69 +a0 +2c +60 +72 +90 +29 +60 +e7 +80 +8c +40 +d7 +60 +2e +40 +6a +70 +8c +50 +e3 +80 +d4 +40 +5a +60 +58 +30 +d1 +30 +27 +20 +c7 +20 +99 +20 +b7 +50 +11 +20 +60 +20 +b5 +00 +e8 +00 +92 +00 +fa +00 +c5 +00 +01 +10 +af +00 +c1 +00 +7a +00 +b4 +00 +79 +00 +9a +00 +9f +00 +91 +10 +d7 +00 +d5 +00 +65 +00 +a7 +00 +62 +00 +8b +00 +73 +00 +c4 +00 +7e +00 +ae +00 +84 +00 +c3 +00 +70 +00 +a5 +00 +53 +00 +73 +00 +37 +00 +6a +00 +3f +00 +66 +00 +44 +00 +90 +00 +71 +00 +a0 +00 +64 +00 +79 +00 +43 +00 +69 +00 +4e +00 +86 +00 +71 +00 +8f +00 +a4 +00 +f8 +00 +ba +00 +f0 +00 +95 +00 +de +00 +00 +20 +68 +50 +99 +20 +ed +10 +1b +10 +cb +00 +e8 +00 +c2 +00 +9a +00 +dc +00 +94 +00 +05 +10 +ba +00 +10 +10 +bb +00 +f2 +00 +ee +00 +0b +30 +a3 +00 +b5 +00 +53 +00 +67 +00 +37 +00 +70 +00 +39 +00 +6d +00 +36 +00 +5c +00 +3c +00 +56 +00 +34 +00 +83 +00 +47 +00 +8f +00 +8d +00 +8b +10 +7f +00 +70 +00 +34 +00 +5a +00 +2e +00 +44 +00 +27 +00 +35 +00 +26 +00 +42 +00 +21 +00 +3c +00 +2d +00 +44 +00 +3d +00 +a7 +00 +74 +00 +9d +00 +d4 +00 +5b +10 +93 +00 +96 +00 +93 +00 +a8 +00 +dd +00 +76 +10 +c8 +00 +5e +10 +bc +00 +8d +00 +4c +00 +5e +00 +67 +00 +3f +10 +5e +10 +d0 +20 +fe +00 +27 +10 +87 +00 +95 +00 +42 +00 +5e +00 +42 +00 +64 +00 +33 +00 +55 +00 +33 +00 +61 +00 +3d +00 +72 +00 +46 +00 +9c +00 +41 +00 +66 +00 +3a +00 +60 +00 +9a +00 +b1 +10 +f3 +10 +a3 +40 +2b +10 +22 +10 +87 +00 +01 +10 +4b +00 +7d +00 +3c +00 +76 +00 +4a +00 +6e +00 +57 +00 +b1 +00 +72 +00 +e6 +00 +71 +00 +c6 +00 +91 +00 +75 +10 +2d +20 +95 +30 +23 +20 +7a +10 +ad +10 +c8 +10 +13 +30 +03 +30 +f2 +20 +f0 +30 +ab +40 +16 +70 +1d +40 +b3 +30 +bf +40 +1f +80 +06 +60 +23 +a0 +51 +60 +5c +90 +a4 +50 +87 +90 +22 +40 +de +40 +dd +10 +3e +10 +a1 +00 +b5 +00 +4b +00 +77 +00 +56 +00 +7e +00 +5f +00 +82 +00 +54 +00 +80 +00 +5f +00 +0b +10 +72 +00 +f8 +00 +bc +00 +b8 +00 +4a +00 +5f +00 +33 +00 +57 +00 +34 +00 +56 +00 +29 +00 +00 +00 +00 +00 +37 +00 +99 +00 +38 +00 +9d +00 +3b +00 +96 +00 +3b +00 +a2 +00 +3a +00 +9d +00 +38 +00 +aa +00 +43 +00 +a9 +00 +44 +00 +b7 +00 +41 +00 +e3 +00 +a9 +00 +ed +30 +1b +20 +74 +40 +89 +00 +fe +00 +60 +00 +a0 +10 +7a +00 +f7 +00 +38 +00 +a0 +00 +6e +00 +ba +20 +10 +10 +39 +20 +92 +00 +02 +20 +c7 +10 +92 +50 +85 +10 +a1 +20 +83 +00 +3c +10 +4a +00 +ed +00 +83 +00 +39 +20 +24 +10 +a4 +30 +b0 +10 +02 +40 +e7 +00 +dd +10 +59 +00 +f4 +00 +4b +00 +15 +10 +10 +10 +f4 +30 +c7 +10 +0c +30 +79 +00 +eb +00 +40 +00 +b6 +00 +44 +00 +f8 +00 +c3 +00 +50 +30 +ec +00 +a4 +10 +41 +00 +de +00 +82 +00 +00 +10 +42 +00 +86 +00 +2e +00 +5d +00 +28 +00 +75 +00 +2b +00 +85 +00 +33 +00 +94 +00 +2f +00 +6b +00 +2a +00 +7e +00 +24 +00 +6e +00 +21 +00 +5b +00 +21 +00 +60 +00 +2b +00 +75 +00 +2f +00 +85 +00 +27 +00 +79 +00 +27 +00 +59 +00 +1a +00 +56 +00 +1d +00 +5f +00 +27 +00 +60 +00 +2a +00 +5b +00 +1d +00 +50 +00 +1b +00 +55 +00 +16 +00 +5a +00 +2f +00 +a9 +00 +4a +00 +e6 +00 +43 +00 +a8 +00 +1f +00 +5c +00 +29 +00 +e1 +00 +f0 +00 +d1 +10 +45 +00 +72 +00 +1f +00 +5c +00 +28 +00 +5a +00 +24 +00 +60 +00 +1d +00 +5e +00 +22 +00 +60 +00 +20 +00 +54 +00 +22 +00 +51 +00 +18 +00 +5a +00 +1b +00 +57 +00 +1a +00 +53 +00 +20 +00 +59 +00 +1d +00 +5e +00 +23 +00 +5b +00 +26 +00 +58 +00 +21 +00 +5f +00 +1e +00 +64 +00 +30 +00 +87 +00 +30 +00 +77 +00 +20 +00 +54 +00 +1f +00 +68 +00 +79 +00 +c6 +20 +31 +10 +78 +10 +2f +00 +61 +00 +2b +00 +8d +00 +3a +00 +0b +10 +6e +00 +4c +10 +4e +00 +b9 +00 +33 +00 +85 +00 +2c +00 +84 +00 +34 +00 +7b +00 +36 +00 +8b +00 +56 +00 +8e +10 +fd +00 +fa +10 +51 +00 +b4 +00 +2e +00 +8f +00 +40 +00 +e0 +10 +e7 +10 +9f +40 +c6 +10 +8a +40 +d9 +00 +5f +30 +20 +20 +13 +50 +60 +10 +74 +20 +5f +00 +e8 +00 +61 +00 +95 +10 +8f +00 +5a +10 +62 +00 +f4 +10 +c0 +00 +bf +10 +62 +00 +0d +10 +42 +00 +d6 +00 +cf +00 +5a +30 +bc +10 +8c +50 +3c +20 +c2 +60 +5c +20 +33 +50 +05 +10 +e3 +10 +76 +00 +34 +10 +68 +00 +50 +10 +74 +00 +4d +10 +5d +00 +51 +10 +7d +10 +37 +50 +dd +00 +38 +20 +24 +10 +35 +30 +b2 +10 +6d +20 +84 +00 +49 +10 +dc +00 +58 +30 +50 +10 +4c +30 +50 +10 +e6 +50 +d9 +20 +ba +80 +4a +30 +09 +90 +b0 +20 +0e +70 +60 +20 +c0 +60 +9d +20 +fa +60 +9c +10 +e0 +40 +07 +30 +4c +90 +60 +30 +c4 +80 +06 +30 +1b +80 +22 +20 +f2 +40 +30 +10 +ac +30 +39 +10 +0e +50 +d0 +20 +77 +60 +45 +10 +72 +30 +cf +00 +28 +20 +c3 +00 +68 +20 +1e +10 +91 +20 +b6 +00 +61 +10 +59 +00 +f1 +00 +ac +00 +76 +20 +7d +00 +0b +10 +50 +00 +d2 +00 +41 +00 +c0 +00 +4a +00 +c6 +00 +71 +00 +93 +10 +64 +00 +b9 +00 +4a +00 +9a +00 +31 +00 +ac +00 +61 +00 +e0 +00 +3e +00 +ab +00 +2f +00 +9f +00 +2e +00 +75 +00 +2b +00 +69 +00 +25 +00 +65 +00 +2d +00 +7c +00 +34 +00 +aa +00 +8d +00 +b4 +00 +25 +00 +6d +00 +2c +00 +a8 +00 +40 +00 +8c +00 +39 +00 +a0 +00 +32 +00 +91 +00 +31 +00 +9c +00 +43 +00 +49 +20 +3c +20 +35 +60 +ce +10 +6a +20 +3a +10 +92 +20 +5e +00 +b3 +00 +2c +00 +ba +00 +3b +00 +b4 +00 +42 +00 +d1 +00 +46 +00 +eb +00 +67 +00 +e2 +00 +3a +00 +80 +00 +2a +00 +5d +00 +1b +00 +59 +00 +33 +00 +6c +00 +25 +00 +5a +00 +24 +00 +58 +00 +1f +00 +5f +00 +2f +00 +c2 +00 +60 +00 +a5 +00 +27 +00 +59 +00 +1e +00 +4e +00 +1f +00 +35 +00 +1a +00 +3a +00 +1b +00 +37 +00 +20 +00 +4d +00 +1a +00 +53 +00 +74 +00 +17 +10 +69 +00 +0e +20 +58 +00 +bd +00 +30 +00 +81 +00 +37 +00 +ab +00 +3e +00 +f6 +00 +4c +00 +b9 +00 +29 +00 +67 +00 +22 +00 +87 +00 +78 +00 +b4 +10 +4e +10 +e2 +20 +53 +00 +b7 +00 +27 +00 +7f +00 +23 +00 +61 +00 +23 +00 +58 +00 +28 +00 +62 +00 +39 +00 +d4 +00 +39 +00 +8c +00 +2a +00 +67 +00 +24 +00 +6e +00 +2a +00 +d5 +00 +5a +10 +5d +30 +c6 +00 +90 +10 +4c +00 +b5 +00 +4c +00 +a5 +00 +30 +00 +75 +00 +2f +00 +85 +00 +37 +00 +88 +00 +43 +00 +c8 +00 +4e +00 +db +00 +3a +00 +c6 +00 +8a +00 +a8 +30 +cd +20 +03 +70 +9c +10 +89 +20 +55 +20 +51 +70 +fd +20 +41 +70 +0c +30 +c1 +80 +83 +30 +fe +80 +30 +30 +eb +80 +93 +30 +f8 +90 +14 +40 +f2 +a0 +fd +20 +5f +80 +8c +20 +50 +60 +35 +10 +31 +20 +60 +00 +ce +00 +40 +00 +85 +00 +38 +00 +8d +00 +4f +00 +c0 +00 +34 +00 +85 +00 +34 +00 +b0 +00 +99 +00 +de +00 +63 +00 +a9 +10 +57 +00 +8e +00 +22 +00 +69 +00 +1e +00 +44 +00 +1b +00 +47 +00 +00 +00 +00 +00 +a3 +00 +5f +00 +a6 +00 +73 +00 +99 +00 +66 +00 +aa +00 +6a +00 +a7 +00 +6f +00 +ae +00 +73 +00 +bf +00 +75 +00 +ac +00 +85 +00 +b1 +00 +eb +00 +5c +10 +03 +30 +29 +60 +b0 +20 +69 +20 +37 +10 +4a +10 +39 +10 +26 +10 +c0 +00 +b2 +00 +1b +10 +20 +20 +b7 +10 +5f +30 +5a +10 +7f +10 +fd +00 +92 +20 +8b +20 +ec +50 +fb +20 +62 +40 +83 +10 +5c +20 +b8 +10 +a5 +20 +e1 +10 +6a +30 +8e +20 +8b +40 +cc +20 +dc +40 +91 +20 +ff +10 +3c +10 +21 +10 +3b +20 +88 +40 +a5 +20 +19 +40 +69 +10 +67 +10 +a9 +00 +be +00 +7b +00 +ac +00 +af +00 +1a +10 +dd +00 +8b +10 +af +00 +cd +00 +67 +00 +bb +00 +75 +00 +aa +00 +42 +00 +75 +00 +5c +00 +6d +00 +b3 +00 +47 +10 +9e +10 +27 +20 +b8 +00 +9f +00 +53 +00 +6c +00 +4e +00 +68 +00 +3c +00 +62 +00 +37 +00 +59 +00 +36 +00 +61 +00 +50 +00 +8f +00 +4b +00 +7a +00 +47 +00 +63 +00 +37 +00 +55 +00 +38 +00 +5b +00 +2f +00 +68 +00 +33 +00 +5b +00 +3a +00 +4d +00 +66 +00 +7a +00 +3c +00 +4f +00 +2d +00 +5a +00 +49 +00 +7e +00 +62 +00 +9e +00 +61 +00 +62 +00 +39 +00 +5a +00 +71 +00 +df +00 +70 +00 +d8 +00 +5d +00 +68 +00 +48 +00 +55 +00 +4e +00 +5f +00 +3b +00 +4f +00 +35 +00 +61 +00 +2e +00 +4d +00 +36 +00 +5c +00 +2e +00 +4e +00 +37 +00 +53 +00 +34 +00 +51 +00 +2d +00 +59 +00 +2a +00 +5f +00 +3a +00 +60 +00 +30 +00 +5a +00 +2a +00 +5f +00 +3f +00 +78 +00 +4b +00 +8c +00 +47 +00 +9f +00 +41 +00 +65 +00 +44 +00 +54 +00 +69 +00 +52 +10 +09 +10 +53 +20 +de +00 +e0 +00 +65 +00 +85 +00 +84 +00 +9a +00 +76 +10 +29 +20 +bb +00 +c3 +00 +76 +00 +87 +00 +55 +00 +7d +00 +67 +00 +78 +00 +5f +00 +8c +00 +73 +00 +2b +10 +ff +00 +e2 +10 +d2 +00 +52 +10 +84 +00 +9e +00 +7b +00 +a7 +00 +fc +10 +87 +50 +fb +20 +ca +50 +0d +40 +ed +50 +b3 +20 +66 +50 +0f +40 +77 +60 +21 +20 +7c +10 +06 +10 +00 +10 +19 +10 +5e +10 +e5 +00 +16 +10 +e8 +00 +38 +10 +c8 +00 +06 +10 +a3 +00 +be +00 +a2 +00 +68 +10 +a6 +20 +cd +60 +6c +40 +d0 +70 +e1 +30 +ca +50 +35 +20 +6c +20 +2f +10 +2c +10 +ec +00 +0a +10 +dc +00 +1a +10 +fa +00 +86 +10 +e5 +20 +8f +50 +36 +20 +bd +20 +d0 +10 +f4 +20 +0c +20 +c1 +40 +c3 +10 +c5 +10 +d5 +10 +fc +30 +3c +40 +6c +70 +d0 +40 +8f +50 +98 +40 +22 +60 +83 +30 +b9 +50 +b6 +30 +4d +50 +df +40 +0c +80 +02 +50 +4b +80 +3a +50 +38 +70 +21 +50 +a0 +60 +eb +40 +dc +60 +f5 +30 +c1 +50 +25 +50 +d5 +70 +18 +40 +a8 +30 +dd +20 +ba +20 +b5 +30 +9e +60 +38 +40 +26 +40 +ce +20 +19 +20 +af +10 +9a +10 +20 +10 +98 +10 +d6 +00 +68 +10 +bc +00 +fa +00 +11 +10 +62 +30 +03 +30 +57 +30 +9f +10 +2b +10 +46 +10 +0f +10 +a9 +10 +a0 +10 +d9 +10 +8b +10 +bd +00 +d0 +00 +8c +00 +a2 +00 +60 +00 +9d +00 +70 +00 +11 +10 +c4 +00 +de +00 +67 +00 +88 +00 +5e +00 +84 +00 +42 +00 +7e +00 +43 +00 +70 +00 +3a +00 +78 +00 +42 +00 +7b +00 +59 +00 +f1 +00 +55 +00 +83 +00 +43 +00 +76 +00 +4b +00 +6d +00 +66 +00 +41 +10 +a1 +00 +89 +00 +62 +00 +72 +00 +7b +00 +8f +00 +c7 +00 +03 +20 +3d +10 +65 +40 +41 +20 +48 +50 +55 +10 +19 +10 +91 +00 +90 +00 +70 +00 +82 +00 +68 +00 +94 +00 +7c +00 +f7 +00 +82 +00 +a4 +00 +57 +00 +92 +00 +56 +00 +80 +00 +62 +00 +72 +00 +4e +00 +e2 +00 +80 +00 +73 +00 +40 +00 +56 +00 +38 +00 +58 +00 +3c +00 +62 +00 +3f +00 +7d +00 +43 +00 +6c +00 +3b +00 +51 +00 +29 +00 +41 +00 +2b +00 +3a +00 +2b +00 +3c +00 +27 +00 +46 +00 +29 +00 +3e +00 +2f +00 +5f +00 +57 +00 +cf +00 +de +00 +07 +10 +70 +00 +82 +00 +75 +00 +7f +00 +7e +00 +92 +00 +86 +00 +97 +00 +6f +00 +6f +00 +47 +00 +68 +00 +89 +00 +bf +00 +18 +10 +5c +30 +23 +10 +4a +10 +bc +00 +91 +00 +80 +00 +81 +00 +6b +00 +75 +00 +59 +00 +59 +00 +40 +00 +65 +00 +43 +00 +9c +00 +48 +00 +82 +00 +3b +00 +68 +00 +58 +00 +7e +00 +1f +10 +af +30 +56 +10 +91 +10 +df +00 +ef +00 +86 +00 +8d +00 +44 +00 +76 +00 +52 +00 +89 +00 +57 +00 +9e +00 +6b +00 +a6 +00 +8a +00 +c5 +00 +e0 +00 +50 +10 +af +10 +5e +20 +d8 +20 +14 +60 +28 +50 +13 +80 +a3 +30 +15 +60 +18 +50 +47 +90 +ff +50 +c7 +90 +50 +60 +10 +a0 +87 +60 +09 +a0 +89 +60 +52 +a0 +fc +60 +25 +b0 +d6 +60 +81 +90 +b8 +40 +cc +50 +a2 +30 +f7 +30 +ea +10 +92 +10 +d8 +00 +d3 +00 +71 +00 +8c +00 +b2 +00 +24 +20 +2a +10 +43 +10 +7b +00 +80 +00 +76 +00 +65 +10 +6d +00 +ab +00 +71 +00 +c1 +00 +5c +00 +75 +00 +47 +00 +55 +00 +34 +00 +50 +00 +27 +00 +00 +00 +00 +00 +3d +00 +aa +00 +3a +00 +ab +00 +49 +00 +9a +00 +39 +00 +ae +00 +36 +00 +a9 +00 +37 +00 +a9 +00 +41 +00 +ac +00 +3d +00 +ad +00 +43 +00 +bf +00 +94 +00 +6a +40 +3f +20 +e3 +40 +9e +00 +86 +10 +f0 +00 +7e +30 +7d +00 +e5 +00 +43 +00 +4e +10 +86 +10 +1c +40 +f5 +00 +ef +10 +5d +00 +15 +10 +88 +00 +65 +20 +97 +10 +c9 +40 +91 +10 +f2 +20 +11 +10 +7d +30 +24 +10 +65 +20 +cd +00 +e0 +20 +f7 +00 +a4 +30 +0d +20 +e0 +50 +72 +10 +cc +20 +92 +00 +03 +30 +1b +20 +1b +50 +03 +10 +ff +10 +76 +00 +84 +10 +5b +00 +b7 +00 +37 +00 +b8 +00 +52 +00 +20 +10 +58 +00 +d6 +00 +37 +00 +86 +00 +39 +00 +a7 +00 +37 +00 +6f +00 +2d +00 +7f +00 +72 +00 +97 +10 +13 +10 +02 +40 +89 +10 +5a +20 +45 +00 +8f +00 +22 +00 +65 +00 +2a +00 +62 +00 +2c +00 +5f +00 +20 +00 +5e +00 +23 +00 +6e +00 +22 +00 +7f +00 +26 +00 +5f +00 +1e +00 +59 +00 +19 +00 +52 +00 +23 +00 +59 +00 +27 +00 +60 +00 +23 +00 +58 +00 +23 +00 +03 +10 +4d +00 +81 +00 +23 +00 +56 +00 +1d +00 +61 +00 +22 +00 +78 +00 +23 +00 +66 +00 +13 +00 +59 +00 +22 +00 +84 +00 +39 +00 +b6 +00 +2c +00 +6f +00 +1f +00 +69 +00 +22 +00 +65 +00 +23 +00 +59 +00 +20 +00 +58 +00 +26 +00 +59 +00 +26 +00 +54 +00 +21 +00 +55 +00 +1d +00 +5a +00 +1c +00 +59 +00 +20 +00 +4f +00 +25 +00 +52 +00 +21 +00 +5d +00 +1f +00 +5c +00 +20 +00 +62 +00 +23 +00 +64 +00 +26 +00 +7c +00 +2a +00 +85 +00 +2f +00 +75 +00 +2f +00 +52 +00 +23 +00 +6e +00 +8a +00 +8b +10 +62 +00 +0c +10 +48 +00 +90 +00 +2b +00 +86 +00 +76 +00 +c1 +20 +cf +00 +70 +10 +32 +00 +8e +00 +34 +00 +77 +00 +32 +00 +87 +00 +2a +00 +74 +00 +59 +00 +2d +10 +5a +00 +24 +10 +73 +00 +1c +10 +55 +00 +af +00 +37 +00 +96 +00 +75 +00 +70 +20 +0f +20 +d2 +40 +8f +20 +3c +70 +38 +20 +88 +40 +96 +10 +ac +60 +20 +20 +87 +30 +6c +00 +11 +10 +74 +00 +af +10 +5c +00 +01 +10 +75 +00 +87 +10 +5b +00 +f1 +00 +43 +00 +d3 +00 +41 +00 +c8 +00 +68 +00 +6d +20 +8f +20 +c4 +70 +67 +20 +23 +50 +59 +10 +df +20 +8f +00 +58 +10 +51 +00 +0d +10 +57 +00 +0c +10 +50 +00 +e8 +00 +2a +10 +71 +50 +ea +10 +4c +30 +a6 +00 +d2 +10 +87 +00 +1e +20 +b9 +10 +fb +20 +94 +00 +ce +10 +09 +20 +5e +70 +39 +30 +ec +80 +7f +20 +4c +70 +fb +10 +12 +40 +02 +10 +5c +30 +eb +10 +86 +70 +9d +20 +9a +50 +30 +20 +38 +70 +dd +20 +81 +80 +1a +30 +c6 +70 +b1 +10 +38 +40 +4c +20 +7b +70 +2e +20 +5f +50 +f3 +10 +7f +40 +90 +10 +09 +60 +0d +30 +40 +70 +88 +10 +aa +30 +de +00 +cd +10 +83 +00 +6d +10 +6d +00 +75 +10 +6e +00 +02 +10 +5a +00 +52 +10 +8f +10 +e1 +50 +a9 +20 +18 +50 +08 +10 +7e +20 +64 +10 +fc +40 +e1 +10 +86 +50 +0c +10 +5e +10 +49 +00 +c3 +00 +38 +00 +97 +00 +35 +00 +94 +00 +5a +00 +00 +10 +54 +00 +aa +00 +26 +00 +7f +00 +2c +00 +80 +00 +29 +00 +6d +00 +2d +00 +65 +00 +2e +00 +6a +00 +2d +00 +7c +00 +3b +00 +86 +00 +2f +00 +6f +00 +34 +00 +6f +00 +26 +00 +7e +00 +4c +00 +cd +00 +34 +00 +7a +00 +33 +00 +90 +00 +2d +00 +c7 +00 +50 +00 +6d +10 +91 +00 +01 +20 +c5 +00 +89 +10 +4e +00 +ab +00 +2a +00 +c4 +00 +29 +00 +76 +00 +23 +00 +6c +00 +27 +00 +84 +00 +29 +00 +81 +00 +2d +00 +a1 +00 +8a +00 +ac +10 +5c +00 +7d +00 +8a +00 +06 +10 +2c +00 +67 +00 +18 +00 +50 +00 +20 +00 +4f +00 +25 +00 +61 +00 +2b +00 +67 +00 +2a +00 +56 +00 +1b +00 +3e +00 +1b +00 +34 +00 +18 +00 +32 +00 +15 +00 +39 +00 +18 +00 +42 +00 +20 +00 +50 +00 +23 +00 +64 +00 +35 +00 +ce +00 +4c +00 +c7 +00 +57 +00 +ac +00 +24 +00 +6d +00 +23 +00 +66 +00 +1f +00 +6b +00 +1d +00 +63 +00 +39 +00 +35 +10 +d6 +00 +c7 +10 +7a +00 +73 +10 +ed +00 +49 +10 +3e +00 +b2 +00 +bb +00 +46 +10 +2d +00 +79 +00 +2a +00 +6a +00 +2e +00 +69 +00 +30 +00 +81 +00 +2d +00 +80 +00 +29 +00 +7b +00 +3a +00 +7c +10 +7a +10 +1d +20 +7e +00 +3f +10 +49 +00 +b2 +00 +30 +00 +84 +00 +2d +00 +85 +00 +3c +00 +9b +00 +3b +00 +b5 +00 +51 +00 +d1 +00 +4a +00 +44 +10 +61 +10 +e5 +30 +24 +20 +18 +70 +35 +30 +7d +90 +85 +30 +3a +60 +fb +10 +d0 +80 +e4 +30 +ad +a0 +ea +30 +8c +a0 +f1 +30 +da +a0 +26 +40 +ce +a0 +4b +40 +52 +b0 +1c +40 +bc +a0 +79 +20 +f4 +40 +32 +20 +d7 +40 +e5 +00 +99 +20 +94 +00 +ea +00 +37 +00 +a8 +00 +5b +00 +bf +10 +3a +10 +aa +30 +89 +00 +bc +00 +2a +00 +a7 +00 +66 +00 +bc +00 +37 +00 +d4 +00 +67 +00 +b8 +00 +3a +00 +6a +00 +1d +00 +4c +00 +21 +00 +43 +00 +00 +00 +00 +00 +97 +00 +69 +00 +9b +00 +6c +00 +a3 +00 +76 +00 +a3 +00 +73 +00 +b3 +00 +6d +00 +b2 +00 +72 +00 +a9 +00 +79 +00 +a9 +00 +7b +00 +a7 +00 +16 +10 +fe +10 +bc +30 +b8 +60 +a0 +30 +ec +20 +94 +20 +48 +40 +e8 +20 +b7 +20 +28 +10 +f1 +00 +e0 +00 +3b +20 +2b +10 +fb +10 +f0 +00 +f4 +00 +b6 +00 +24 +10 +f6 +00 +cb +10 +20 +10 +e7 +10 +1e +10 +2e +20 +0e +20 +d9 +30 +5d +10 +9f +10 +4a +10 +83 +10 +74 +20 +4f +50 +b0 +30 +b2 +50 +a7 +30 +de +40 +94 +30 +73 +40 +48 +20 +96 +20 +45 +10 +41 +10 +d5 +00 +71 +10 +7d +00 +9a +00 +7a +00 +b3 +00 +9b +00 +dc +00 +70 +00 +a3 +00 +61 +00 +8d +00 +61 +00 +83 +00 +43 +00 +74 +00 +58 +00 +13 +10 +c7 +00 +c1 +10 +32 +10 +5b +20 +f0 +00 +fa +00 +5f +00 +6d +00 +3a +00 +60 +00 +3c +00 +58 +00 +3c +00 +69 +00 +3d +00 +5e +00 +43 +00 +5f +00 +4e +00 +57 +00 +3a +00 +68 +00 +35 +00 +6d +00 +3c +00 +67 +00 +3c +00 +67 +00 +36 +00 +5f +00 +37 +00 +62 +00 +86 +00 +db +00 +56 +00 +61 +00 +33 +00 +5d +00 +4b +00 +56 +00 +3f +00 +61 +00 +3a +00 +50 +00 +49 +00 +65 +00 +4c +00 +83 +00 +4e +00 +79 +00 +86 +00 +8d +00 +97 +00 +fa +00 +84 +00 +75 +00 +47 +00 +62 +00 +34 +00 +53 +00 +31 +00 +5c +00 +36 +00 +50 +00 +35 +00 +58 +00 +32 +00 +4f +00 +27 +00 +53 +00 +2e +00 +4c +00 +2c +00 +52 +00 +45 +00 +65 +00 +33 +00 +53 +00 +34 +00 +57 +00 +49 +00 +5d +00 +3b +00 +6d +00 +3d +00 +6d +00 +51 +00 +66 +00 +43 +00 +5d +00 +5a +00 +bc +00 +a0 +00 +f3 +00 +ae +00 +bd +00 +8d +00 +84 +00 +c8 +00 +c2 +10 +d5 +00 +6a +10 +b1 +00 +d0 +00 +c4 +00 +be +00 +95 +00 +91 +00 +66 +00 +79 +00 +69 +00 +c2 +00 +85 +00 +fd +00 +91 +00 +f5 +00 +81 +00 +da +00 +76 +00 +77 +00 +90 +00 +1c +10 +4c +10 +77 +30 +c4 +20 +8b +60 +74 +30 +26 +40 +56 +20 +cc +20 +73 +20 +51 +40 +14 +20 +76 +20 +68 +10 +0a +20 +5d +10 +3c +10 +e8 +00 +d3 +00 +b4 +00 +04 +10 +b9 +00 +ec +00 +a0 +00 +aa +00 +bc +00 +e9 +00 +cc +10 +9b +40 +19 +40 +32 +60 +ac +30 +6d +50 +2a +20 +ed +10 +86 +10 +7b +10 +6a +20 +5a +20 +20 +10 +06 +10 +f6 +00 +81 +10 +54 +20 +ef +40 +77 +30 +91 +30 +04 +20 +96 +10 +9b +10 +6b +40 +ad +20 +d8 +20 +7f +30 +29 +60 +3d +50 +53 +90 +f8 +50 +75 +80 +e3 +30 +1c +40 +74 +20 +d0 +20 +31 +30 +40 +60 +e4 +30 +b7 +40 +a9 +20 +47 +30 +3b +30 +2c +70 +c7 +40 +37 +70 +b7 +30 +ec +30 +19 +40 +bb +60 +df +40 +9b +60 +46 +30 +94 +50 +ec +20 +7c +50 +fa +40 +d7 +80 +c9 +50 +d7 +80 +42 +50 +f7 +50 +03 +20 +70 +10 +1a +10 +2e +10 +c1 +00 +ef +00 +9e +00 +e9 +00 +c5 +00 +b0 +10 +d9 +10 +b8 +60 +65 +40 +4d +70 +72 +40 +5b +60 +9c +30 +c5 +40 +e2 +10 +c7 +20 +3d +10 +20 +10 +bb +00 +b3 +00 +7e +00 +99 +00 +63 +00 +9d +00 +50 +00 +a7 +00 +56 +00 +86 +00 +4b +00 +73 +00 +38 +00 +6d +00 +48 +00 +7e +00 +65 +00 +84 +00 +51 +00 +7c +00 +44 +00 +6f +00 +3c +00 +6e +00 +3e +00 +6c +00 +43 +00 +79 +00 +53 +00 +7d +00 +4f +00 +7b +00 +63 +00 +df +00 +74 +00 +9a +00 +8e +00 +e2 +00 +a8 +00 +21 +10 +c5 +00 +1f +10 +99 +00 +d9 +00 +79 +00 +8b +00 +4d +00 +7d +00 +40 +00 +66 +00 +51 +00 +60 +00 +4f +00 +68 +00 +4b +00 +66 +00 +63 +00 +a8 +10 +91 +00 +16 +10 +7c +00 +01 +10 +60 +00 +8c +00 +41 +00 +55 +00 +2d +00 +57 +00 +36 +00 +5b +00 +38 +00 +6b +00 +67 +00 +70 +00 +48 +00 +49 +00 +2f +00 +3c +00 +2c +00 +40 +00 +28 +00 +3c +00 +2b +00 +39 +00 +2b +00 +49 +00 +3c +00 +6b +00 +76 +00 +d2 +00 +8e +00 +cc +00 +63 +00 +e9 +00 +6d +00 +79 +00 +4b +00 +65 +00 +47 +00 +57 +00 +48 +00 +4f +00 +67 +00 +ac +00 +f5 +00 +b3 +10 +c5 +00 +f4 +00 +44 +10 +75 +40 +a1 +20 +b7 +10 +5a +10 +20 +30 +33 +10 +ee +00 +9d +00 +77 +00 +4e +00 +6c +00 +4c +00 +73 +00 +48 +00 +81 +00 +46 +00 +6c +00 +7d +00 +2b +10 +27 +20 +fb +30 +e9 +20 +90 +40 +c1 +10 +ed +00 +89 +00 +88 +00 +51 +00 +74 +00 +88 +00 +46 +10 +39 +10 +50 +10 +7c +10 +68 +10 +24 +10 +e1 +00 +0a +10 +01 +20 +61 +30 +77 +70 +9b +50 +b1 +90 +30 +60 +2f +a0 +7a +50 +16 +50 +7e +50 +59 +a0 +ed +60 +f3 +a0 +60 +70 +f7 +a0 +69 +70 +3c +b0 +87 +70 +97 +b0 +84 +70 +5f +b0 +49 +50 +76 +40 +56 +30 +b4 +30 +00 +30 +4d +30 +89 +20 +7d +10 +d4 +00 +a6 +00 +95 +00 +8d +10 +62 +10 +d6 +20 +f5 +00 +61 +10 +8c +00 +89 +00 +57 +00 +81 +00 +55 +00 +9c +00 +67 +00 +18 +10 +94 +00 +ad +00 +49 +00 +5d +00 +38 +00 +64 +00 +3b +00 +00 +00 +00 +00 +36 +00 +95 +00 +34 +00 +a6 +00 +41 +00 +94 +00 +40 +00 +a8 +00 +38 +00 +b3 +00 +3b +00 +bd +00 +3e +00 +a5 +00 +43 +00 +b2 +00 +49 +00 +ea +00 +07 +10 +94 +50 +ad +20 +4d +70 +7a +10 +9a +30 +20 +20 +5a +60 +a4 +10 +10 +30 +6a +00 +f6 +00 +5c +00 +44 +10 +63 +00 +05 +10 +47 +00 +b4 +00 +49 +00 +35 +10 +6c +00 +4b +10 +66 +00 +07 +10 +7b +00 +15 +20 +f1 +00 +ea +10 +76 +00 +35 +10 +71 +00 +7e +20 +30 +20 +a9 +60 +ea +10 +77 +50 +76 +20 +1b +70 +22 +20 +21 +40 +9c +00 +6e +10 +5e +00 +fb +00 +45 +00 +c1 +00 +30 +00 +82 +00 +35 +00 +d0 +00 +3a +00 +94 +00 +36 +00 +97 +00 +2e +00 +91 +00 +28 +00 +74 +00 +2a +00 +68 +00 +3c +00 +b4 +00 +a5 +00 +3a +20 +78 +00 +03 +10 +36 +00 +86 +00 +23 +00 +64 +00 +20 +00 +53 +00 +20 +00 +62 +00 +21 +00 +63 +00 +21 +00 +59 +00 +26 +00 +59 +00 +1e +00 +5e +00 +2a +00 +6c +00 +28 +00 +62 +00 +26 +00 +63 +00 +2b +00 +61 +00 +21 +00 +5a +00 +2e +00 +95 +00 +33 +00 +7f +00 +25 +00 +56 +00 +20 +00 +61 +00 +21 +00 +66 +00 +21 +00 +49 +00 +1a +00 +63 +00 +23 +00 +5d +00 +20 +00 +76 +00 +2c +00 +97 +00 +b4 +00 +de +10 +82 +00 +5d +10 +33 +00 +6b +00 +21 +00 +5a +00 +1c +00 +52 +00 +26 +00 +54 +00 +24 +00 +55 +00 +25 +00 +53 +00 +25 +00 +4d +00 +18 +00 +4d +00 +19 +00 +56 +00 +22 +00 +7c +00 +41 +00 +70 +00 +2a +00 +5a +00 +1a +00 +5e +00 +20 +00 +66 +00 +27 +00 +5e +00 +35 +00 +8b +00 +34 +00 +80 +00 +25 +00 +76 +00 +48 +00 +97 +10 +6f +00 +0c +10 +47 +00 +a9 +00 +34 +00 +c3 +00 +a9 +00 +65 +10 +56 +00 +d0 +00 +3c +00 +33 +10 +ef +00 +74 +10 +41 +00 +9a +00 +31 +00 +87 +00 +31 +00 +a3 +00 +43 +00 +d3 +00 +48 +00 +d5 +00 +3f +00 +8c +00 +3d +00 +92 +00 +49 +00 +12 +10 +d8 +00 +2b +30 +1c +20 +5f +50 +f4 +00 +5b +20 +89 +00 +1a +20 +09 +10 +15 +30 +5b +10 +87 +20 +33 +10 +ff +30 +9e +00 +6c +10 +47 +00 +e6 +00 +54 +00 +01 +10 +62 +00 +e7 +00 +60 +00 +f9 +00 +98 +00 +59 +20 +e4 +10 +c9 +60 +18 +20 +4b +60 +61 +20 +f5 +30 +9b +00 +9f +10 +9a +10 +d5 +50 +34 +10 +e8 +10 +5f +00 +f2 +00 +d4 +00 +40 +40 +be +10 +1e +60 +f7 +10 +79 +40 +9d +00 +ba +10 +cb +10 +49 +50 +35 +20 +d7 +60 +fe +20 +03 +90 +9b +30 +1b +a0 +2f +30 +e9 +50 +e1 +00 +71 +20 +c9 +00 +c4 +30 +6f +20 +41 +50 +0c +10 +dd +20 +c3 +00 +14 +30 +02 +20 +3a +60 +0a +20 +84 +40 +36 +10 +1b +50 +18 +30 +64 +80 +1a +20 +d3 +30 +03 +10 +5b +20 +3d +10 +38 +60 +74 +30 +b4 +90 +97 +30 +5e +90 +27 +20 +23 +30 +94 +00 +66 +10 +63 +00 +19 +10 +52 +00 +de +00 +57 +00 +07 +10 +76 +00 +19 +20 +2f +20 +80 +70 +e9 +20 +6f +70 +8b +20 +57 +60 +44 +10 +7e +20 +a6 +00 +26 +20 +04 +10 +88 +10 +4e +00 +a5 +00 +3f +00 +a6 +00 +3b +00 +8d +00 +3d +00 +98 +00 +2a +00 +77 +00 +25 +00 +78 +00 +32 +00 +73 +00 +6f +00 +87 +10 +3d +00 +79 +00 +28 +00 +73 +00 +2e +00 +7a +00 +1e +00 +6a +00 +25 +00 +74 +00 +33 +00 +78 +00 +35 +00 +71 +00 +34 +00 +92 +00 +39 +00 +6d +00 +35 +00 +6d +00 +25 +00 +ae +00 +39 +00 +d3 +00 +36 +00 +b9 +00 +3d +00 +a2 +00 +2e +00 +86 +00 +26 +00 +5c +00 +2a +00 +64 +00 +2b +00 +62 +00 +2a +00 +5f +00 +24 +00 +76 +00 +34 +00 +9b +00 +3b +00 +bb +00 +5c +00 +98 +00 +30 +00 +66 +00 +1f +00 +4a +00 +20 +00 +51 +00 +1a +00 +56 +00 +43 +00 +b8 +10 +52 +00 +7b +00 +1f +00 +40 +00 +15 +00 +36 +00 +1c +00 +36 +00 +16 +00 +3c +00 +19 +00 +4e +00 +22 +00 +5e +00 +47 +00 +b5 +00 +a1 +00 +fc +00 +2d +00 +86 +00 +29 +00 +83 +00 +2b +00 +6e +00 +2b +00 +62 +00 +21 +00 +61 +00 +25 +00 +91 +00 +08 +10 +98 +20 +5e +00 +dd +00 +3c +00 +49 +10 +fa +10 +f6 +60 +c3 +10 +75 +20 +23 +10 +57 +20 +70 +00 +f1 +00 +38 +00 +89 +00 +2b +00 +81 +00 +30 +00 +7c +00 +27 +00 +76 +00 +35 +00 +8d +00 +eb +00 +4f +50 +bf +20 +f7 +60 +12 +20 +ae +20 +59 +00 +b2 +00 +34 +00 +82 +00 +36 +00 +ab +00 +65 +10 +50 +40 +0b +20 +b9 +40 +93 +10 +d5 +20 +8c +00 +6a +10 +05 +10 +6b +60 +83 +30 +e7 +90 +e0 +30 +ca +a0 +2b +40 +85 +90 +cc +10 +f0 +70 +f6 +30 +32 +b0 +24 +40 +95 +b0 +39 +40 +60 +b0 +42 +40 +ad +b0 +20 +40 +c7 +b0 +1a +40 +56 +70 +15 +10 +7b +30 +99 +10 +d9 +50 +4b +20 +88 +40 +8c +00 +06 +10 +4c +00 +ab +00 +a9 +00 +df +10 +89 +00 +3f +10 +55 +00 +be +00 +2f +00 +84 +00 +2e +00 +84 +00 +2d +00 +a7 +00 +4c +00 +e5 +00 +46 +00 +7c +00 +28 +00 +59 +00 +2b +00 +6f +00 +00 +00 +00 +00 +a7 +00 +62 +00 +ab +00 +7b +00 +9a +00 +72 +00 +aa +00 +6a +00 +a9 +00 +7a +00 +ab +00 +70 +00 +a5 +00 +6f +00 +b3 +00 +96 +00 +c0 +00 +f2 +10 +45 +30 +b3 +30 +8a +70 +49 +40 +eb +60 +df +30 +f9 +50 +2d +30 +87 +50 +9e +10 +a3 +10 +bb +00 +d3 +00 +a1 +00 +f7 +00 +88 +00 +ac +00 +8c +00 +b7 +00 +c7 +00 +03 +10 +ca +00 +1c +10 +b8 +00 +f2 +00 +cb +00 +98 +10 +e9 +00 +20 +10 +f8 +00 +3f +10 +63 +20 +9c +50 +dc +30 +07 +60 +92 +40 +34 +70 +e0 +40 +23 +70 +61 +30 +ba +20 +6b +10 +34 +10 +9a +00 +c1 +00 +6c +00 +9c +00 +60 +00 +90 +00 +6b +00 +ac +00 +59 +00 +9a +00 +81 +00 +9c +00 +6f +00 +8e +00 +50 +00 +75 +00 +54 +00 +78 +00 +6f +00 +ec +00 +b2 +00 +6e +10 +8c +00 +a3 +00 +56 +00 +68 +00 +3e +00 +65 +00 +40 +00 +59 +00 +49 +00 +6b +00 +50 +00 +65 +00 +4d +00 +4c +00 +3a +00 +66 +00 +40 +00 +7b +00 +38 +00 +5c +00 +4c +00 +66 +00 +3d +00 +62 +00 +45 +00 +60 +00 +37 +00 +59 +00 +3e +00 +6a +00 +4b +00 +60 +00 +2e +00 +50 +00 +39 +00 +56 +00 +39 +00 +66 +00 +4b +00 +53 +00 +59 +00 +7a +00 +4b +00 +5e +00 +67 +00 +b3 +00 +bb +10 +c6 +30 +71 +10 +7d +10 +86 +00 +e0 +00 +43 +00 +58 +00 +39 +00 +57 +00 +31 +00 +52 +00 +35 +00 +57 +00 +37 +00 +51 +00 +30 +00 +66 +00 +2f +00 +57 +00 +3a +00 +49 +00 +2e +00 +60 +00 +3c +00 +a4 +00 +5b +00 +5f +00 +5d +00 +67 +00 +48 +00 +64 +00 +30 +00 +63 +00 +4b +00 +d2 +00 +13 +10 +4f +10 +68 +00 +78 +00 +54 +00 +a1 +00 +d7 +00 +b9 +20 +a9 +10 +6a +20 +1f +10 +c3 +00 +84 +00 +d3 +00 +79 +00 +e3 +00 +a4 +00 +99 +00 +37 +10 +4c +30 +c7 +10 +92 +10 +b8 +00 +8f +00 +64 +00 +94 +00 +5c +00 +99 +00 +8b +00 +c1 +00 +6f +00 +a4 +00 +6a +00 +a2 +00 +c3 +00 +d7 +00 +22 +10 +05 +20 +c5 +20 +e0 +50 +3c +30 +bc +30 +c5 +20 +f1 +10 +08 +20 +eb +10 +c8 +10 +94 +30 +0c +20 +a9 +20 +af +10 +d9 +20 +df +10 +43 +10 +fb +00 +d3 +00 +b1 +00 +91 +10 +de +00 +1a +20 +f3 +10 +77 +30 +a1 +30 +66 +40 +a1 +40 +40 +70 +49 +50 +73 +70 +7d +30 +aa +20 +10 +30 +9e +50 +06 +40 +0e +50 +c9 +10 +5f +10 +35 +10 +63 +20 +76 +30 +dc +40 +0c +30 +fe +30 +f2 +10 +1f +20 +a1 +10 +e3 +30 +4a +30 +f2 +60 +60 +50 +d1 +80 +40 +60 +2a +a0 +a2 +60 +cf +90 +0b +40 +94 +30 +4f +20 +00 +20 +ba +20 +1f +40 +40 +20 +05 +30 +fc +10 +31 +20 +67 +30 +94 +60 +77 +50 +53 +80 +54 +40 +bb +40 +70 +50 +aa +80 +de +40 +32 +50 +62 +20 +89 +20 +9d +10 +11 +20 +0e +30 +dd +70 +bf +50 +0c +a0 +be +50 +f1 +60 +c1 +20 +58 +20 +bd +10 +58 +10 +d2 +00 +f2 +00 +b8 +00 +02 +10 +ea +00 +3e +10 +89 +10 +53 +30 +1d +40 +73 +70 +20 +50 +0a +80 +ed +20 +23 +30 +94 +10 +b6 +10 +dd +10 +e4 +30 +e2 +10 +de +10 +9f +00 +b3 +00 +57 +00 +90 +00 +61 +00 +86 +00 +5f +00 +94 +00 +76 +00 +7f +00 +56 +00 +85 +00 +64 +00 +b9 +00 +76 +00 +c7 +00 +5d +00 +79 +00 +44 +00 +7b +00 +3d +00 +6b +00 +3f +00 +69 +00 +3f +00 +7c +00 +48 +00 +83 +00 +68 +00 +8d +00 +51 +00 +76 +00 +45 +00 +5f +00 +54 +00 +76 +00 +6b +00 +89 +00 +72 +00 +8c +00 +ac +00 +10 +10 +73 +00 +87 +00 +4a +00 +5e +00 +3a +00 +67 +00 +5d +00 +a4 +10 +da +00 +90 +00 +4d +00 +59 +00 +49 +00 +7a +00 +50 +00 +86 +00 +53 +00 +82 +00 +3c +00 +72 +00 +3b +00 +49 +00 +27 +00 +49 +00 +31 +00 +57 +00 +5e +00 +be +00 +a1 +10 +c5 +20 +9b +00 +65 +00 +43 +00 +48 +00 +2c +00 +3e +00 +21 +00 +40 +00 +2a +00 +3a +00 +2b +00 +60 +00 +31 +00 +75 +00 +81 +00 +01 +10 +6c +00 +8e +00 +5b +00 +6c +00 +3e +00 +73 +00 +70 +00 +83 +00 +68 +00 +61 +00 +66 +00 +78 +00 +a5 +00 +6c +10 +a5 +00 +07 +10 +9b +00 +d6 +00 +50 +10 +68 +40 +b7 +30 +a9 +70 +86 +30 +1d +40 +46 +30 +f4 +40 +cc +10 +f8 +00 +7d +00 +82 +00 +41 +00 +7c +00 +46 +00 +7c +00 +42 +00 +6e +00 +a8 +00 +85 +10 +83 +20 +7a +60 +ee +20 +7b +40 +6d +10 +41 +10 +8e +00 +8e +00 +53 +00 +9b +00 +8d +00 +ca +10 +62 +10 +d9 +40 +f8 +20 +07 +50 +d1 +10 +2f +20 +68 +10 +fc +20 +3b +40 +6f +90 +9c +60 +fb +a0 +3b +70 +28 +b0 +70 +60 +e9 +60 +90 +50 +d6 +90 +15 +70 +af +b0 +e2 +70 +96 +b0 +df +70 +e2 +b0 +a3 +70 +d2 +b0 +90 +70 +d8 +a0 +cf +40 +f3 +30 +c1 +40 +11 +80 +41 +50 +71 +70 +a5 +30 +69 +20 +62 +10 +d3 +00 +de +00 +5a +10 +d2 +00 +2c +10 +8f +00 +d1 +00 +77 +00 +7f +00 +52 +00 +71 +00 +44 +00 +94 +00 +5b +00 +a4 +00 +4a +00 +8c +00 +4c +00 +66 +00 +6c +00 +a7 +00 +6f +00 +00 +00 +00 +00 +33 +00 +9b +00 +3e +00 +a2 +00 +41 +00 +a8 +00 +37 +00 +a6 +00 +43 +00 +ae +00 +3e +00 +a0 +00 +44 +00 +b5 +00 +3d +00 +a6 +00 +87 +00 +69 +30 +a9 +10 +3c +50 +77 +20 +59 +70 +66 +20 +ff +50 +e8 +10 +dd +40 +11 +10 +f6 +10 +4d +00 +df +00 +47 +00 +d9 +00 +3a +00 +a8 +00 +39 +00 +a0 +00 +3c +00 +ba +00 +45 +00 +de +00 +38 +00 +c4 +00 +41 +00 +fe +00 +65 +00 +e4 +00 +4e +00 +fe +00 +81 +00 +00 +30 +ff +10 +89 +50 +60 +20 +6d +70 +e9 +20 +02 +80 +a0 +20 +44 +50 +16 +10 +4c +30 +95 +00 +08 +10 +4b +00 +ab +00 +36 +00 +8a +00 +36 +00 +88 +00 +39 +00 +89 +00 +39 +00 +c3 +00 +84 +00 +53 +10 +39 +00 +80 +00 +2e +00 +76 +00 +28 +00 +92 +00 +3d +00 +c2 +00 +4d +00 +b0 +00 +2f +00 +7a +00 +25 +00 +5b +00 +23 +00 +5e +00 +21 +00 +6c +00 +61 +00 +ee +00 +2f +00 +63 +00 +1b +00 +4c +00 +23 +00 +6f +00 +29 +00 +73 +00 +1e +00 +5b +00 +25 +00 +66 +00 +25 +00 +67 +00 +1c +00 +5b +00 +22 +00 +6b +00 +24 +00 +69 +00 +22 +00 +5b +00 +1e +00 +4e +00 +22 +00 +59 +00 +23 +00 +69 +00 +2d +00 +84 +00 +24 +00 +62 +00 +1f +00 +6a +00 +6e +00 +06 +30 +40 +10 +04 +20 +55 +00 +b7 +00 +2f +00 +85 +00 +2a +00 +64 +00 +20 +00 +5a +00 +20 +00 +4f +00 +1e +00 +54 +00 +1f +00 +59 +00 +1c +00 +48 +00 +1e +00 +4f +00 +1e +00 +4f +00 +27 +00 +64 +00 +29 +00 +81 +00 +30 +00 +8a +00 +3e +00 +81 +00 +2a +00 +64 +00 +27 +00 +67 +00 +54 +00 +70 +10 +73 +00 +b6 +00 +27 +00 +7a +00 +2f +00 +cb +00 +fd +00 +09 +40 +56 +10 +e6 +20 +5b +00 +ab +00 +35 +00 +9c +00 +39 +00 +b2 +00 +91 +00 +f0 +10 +97 +10 +e4 +30 +9e +00 +1f +10 +44 +00 +8d +00 +32 +00 +9c +00 +3a +00 +a0 +00 +40 +00 +97 +00 +32 +00 +8d +00 +71 +00 +3a +20 +7a +00 +38 +10 +cc +00 +1b +30 +c4 +10 +45 +50 +11 +20 +bf +50 +e3 +10 +91 +40 +aa +00 +b1 +10 +df +00 +2b +30 +0f +10 +26 +20 +87 +10 +b2 +40 +f5 +00 +d3 +10 +54 +00 +f5 +00 +53 +00 +1f +10 +96 +00 +40 +20 +1f +20 +bb +60 +df +10 +9b +60 +12 +30 +0d +90 +e4 +20 +aa +60 +ee +10 +1b +50 +b2 +20 +0d +80 +25 +20 +74 +30 +64 +00 +20 +10 +5c +10 +83 +60 +58 +20 +79 +50 +9e +10 +cb +20 +8e +00 +79 +10 +11 +10 +78 +40 +d4 +20 +b6 +80 +6b +30 +ed +90 +b2 +30 +63 +a0 +46 +30 +94 +60 +41 +10 +24 +30 +0e +10 +42 +30 +c9 +10 +bf +30 +c4 +00 +f1 +10 +f0 +00 +30 +50 +0e +30 +ec +80 +39 +30 +13 +80 +da +20 +6d +80 +75 +30 +03 +80 +55 +10 +f1 +20 +9a +00 +b2 +10 +a8 +00 +03 +30 +e6 +20 +85 +90 +9f +30 +46 +a0 +63 +20 +0f +40 +65 +10 +a3 +30 +94 +00 +35 +10 +62 +00 +11 +10 +b0 +00 +df +10 +74 +00 +9c +10 +7d +10 +5b +70 +5a +30 +1a +90 +3f +20 +1c +40 +bd +00 +ee +10 +86 +00 +6e +20 +d2 +00 +a2 +20 +90 +00 +23 +10 +38 +00 +7f +00 +29 +00 +92 +00 +5f +00 +27 +10 +4a +00 +38 +10 +4e +00 +aa +00 +39 +00 +a3 +00 +3d +00 +9f +00 +38 +00 +9a +00 +27 +00 +73 +00 +2f +00 +76 +00 +30 +00 +5f +00 +2c +00 +73 +00 +26 +00 +75 +00 +6a +00 +c0 +00 +2c +00 +7c +00 +27 +00 +6b +00 +25 +00 +6b +00 +27 +00 +5a +00 +28 +00 +64 +00 +52 +00 +c2 +10 +47 +00 +8c +00 +33 +00 +8a +00 +30 +00 +78 +00 +33 +00 +74 +00 +79 +00 +74 +20 +3f +00 +8c +00 +2f +00 +79 +00 +2c +00 +70 +00 +30 +00 +68 +00 +2a +00 +5f +00 +25 +00 +4d +00 +1f +00 +3d +00 +26 +00 +4c +00 +1f +00 +58 +00 +96 +00 +17 +30 +b0 +00 +06 +10 +2f +00 +5c +00 +1c +00 +3b +00 +18 +00 +3d +00 +1e +00 +40 +00 +1d +00 +3e +00 +23 +00 +57 +00 +21 +00 +87 +00 +5b +00 +a0 +00 +24 +00 +52 +00 +23 +00 +5d +00 +35 +00 +d4 +00 +a4 +00 +df +00 +27 +00 +7f +00 +83 +00 +58 +10 +53 +00 +cc +00 +4e +00 +b9 +00 +47 +00 +25 +10 +f7 +10 +84 +60 +52 +20 +1d +60 +63 +20 +46 +70 +b1 +20 +9b +30 +68 +00 +b6 +00 +32 +00 +77 +00 +29 +00 +76 +00 +27 +00 +74 +00 +2b +00 +d3 +00 +f1 +00 +6e +30 +c1 +10 +09 +50 +03 +10 +ef +10 +4a +00 +c1 +00 +34 +00 +75 +00 +3d +00 +c2 +00 +64 +00 +33 +10 +dc +00 +7c +40 +db +10 +b7 +20 +71 +00 +47 +10 +df +00 +13 +60 +e8 +30 +cc +a0 +02 +40 +41 +b0 +0f +40 +c1 +a0 +9c +20 +e0 +50 +a3 +30 +15 +b0 +2f +40 +b7 +b0 +32 +40 +ad +b0 +54 +40 +b0 +b0 +3d +40 +f4 +a0 +b6 +30 +4d +60 +5d +10 +85 +60 +9a +30 +74 +90 +e0 +20 +c0 +60 +c9 +00 +5e +10 +53 +00 +ba +10 +9f +00 +ed +00 +46 +00 +ca +00 +3e +00 +9a +00 +37 +00 +6f +00 +34 +00 +85 +00 +35 +00 +83 +00 +39 +00 +7e +00 +2c +00 +78 +00 +29 +00 +9e +00 +ee +00 +3b +10 +00 +00 +00 +00 +ad +00 +77 +00 +a0 +00 +75 +00 +a0 +00 +79 +00 +a1 +00 +71 +00 +a5 +00 +76 +00 +9d +00 +64 +00 +b3 +00 +78 +00 +ae +00 +99 +00 +f7 +00 +ce +10 +41 +40 +64 +20 +05 +40 +83 +20 +96 +40 +0f +20 +d0 +20 +7e +10 +e2 +10 +e1 +00 +eb +00 +85 +00 +a7 +00 +89 +00 +90 +00 +67 +00 +88 +00 +6f +00 +ae +00 +e0 +00 +e4 +00 +ac +00 +a8 +00 +7c +00 +ba +00 +a3 +00 +df +00 +99 +00 +bb +00 +bf +00 +11 +10 +60 +20 +26 +50 +34 +40 +b9 +60 +05 +50 +89 +80 +c0 +50 +03 +80 +2c +40 +c0 +40 +08 +20 +45 +20 +c2 +00 +ce +00 +67 +00 +94 +00 +67 +00 +8f +00 +5e +00 +93 +00 +65 +00 +ac +00 +92 +00 +e5 +10 +fc +00 +0b +10 +5e +00 +6c +00 +50 +00 +6b +00 +66 +00 +87 +00 +78 +00 +bc +00 +63 +00 +78 +00 +46 +00 +72 +00 +3c +00 +59 +00 +39 +00 +5d +00 +84 +00 +17 +20 +ca +00 +d0 +00 +50 +00 +6b +00 +4c +00 +65 +00 +4a +00 +77 +00 +41 +00 +64 +00 +44 +00 +6e +00 +33 +00 +63 +00 +37 +00 +55 +00 +41 +00 +56 +00 +3e +00 +6f +00 +45 +00 +5d +00 +3b +00 +75 +00 +33 +00 +56 +00 +42 +00 +61 +00 +29 +10 +48 +20 +d4 +00 +9d +00 +5c +00 +71 +00 +50 +00 +7e +00 +a2 +00 +62 +10 +a7 +00 +ea +00 +74 +00 +6d +00 +50 +00 +68 +00 +3f +00 +68 +00 +38 +00 +5a +00 +37 +00 +59 +00 +2b +00 +4e +00 +2a +00 +4b +00 +27 +00 +44 +00 +34 +00 +54 +00 +2d +00 +53 +00 +4b +00 +98 +00 +7a +10 +ba +10 +9b +00 +90 +00 +53 +00 +70 +00 +3d +00 +69 +00 +47 +00 +7c +00 +4d +00 +90 +00 +55 +00 +77 +00 +50 +00 +80 +00 +80 +00 +11 +10 +d2 +10 +20 +50 +8a +10 +77 +10 +b2 +00 +8f +00 +6b +00 +95 +00 +4a +10 +a5 +30 +5e +20 +07 +40 +88 +20 +ac +30 +3e +10 +c8 +00 +79 +00 +a3 +00 +72 +00 +9a +00 +7d +00 +a3 +00 +62 +00 +8c +00 +76 +00 +01 +10 +a2 +10 +37 +30 +d1 +10 +fe +20 +60 +20 +b4 +30 +70 +30 +4a +70 +d0 +40 +9d +70 +4f +40 +37 +40 +bf +10 +83 +10 +29 +20 +7a +40 +39 +20 +80 +40 +a9 +30 +03 +60 +f4 +10 +a8 +10 +2f +10 +15 +10 +30 +10 +86 +10 +53 +20 +87 +30 +51 +40 +49 +70 +90 +40 +1c +80 +ba +50 +32 +90 +59 +50 +4b +80 +30 +50 +2d +80 +0f +40 +f4 +60 +83 +20 +33 +20 +55 +10 +d3 +10 +32 +30 +38 +70 +a7 +20 +84 +30 +b6 +10 +b6 +10 +a5 +10 +d8 +10 +02 +30 +d3 +60 +e0 +50 +d8 +90 +27 +60 +23 +a0 +a7 +50 +bb +80 +b1 +30 +21 +40 +e6 +20 +a2 +30 +d6 +20 +50 +50 +a7 +20 +5f +30 +c6 +20 +83 +30 +35 +50 +5d +80 +e7 +50 +7d +90 +77 +60 +92 +90 +80 +60 +96 +90 +42 +40 +38 +40 +31 +20 +a5 +10 +6e +10 +92 +10 +04 +30 +15 +70 +0e +60 +5f +a0 +37 +60 +f9 +80 +ac +40 +9e +60 +76 +30 +f0 +20 +0f +20 +6e +10 +8f +10 +3c +30 +30 +30 +bd +20 +6a +20 +88 +30 +c5 +40 +cb +80 +ba +30 +9f +40 +35 +20 +12 +20 +24 +10 +23 +10 +f5 +00 +43 +10 +f3 +00 +35 +10 +d7 +00 +cf +00 +88 +00 +79 +00 +80 +00 +b3 +10 +6f +10 +99 +10 +c4 +00 +af +10 +9c +00 +d5 +00 +c1 +10 +b7 +10 +eb +00 +b6 +00 +79 +00 +84 +00 +4b +00 +70 +00 +39 +00 +65 +00 +4a +00 +6c +00 +47 +00 +77 +00 +57 +00 +5a +10 +86 +00 +99 +00 +49 +00 +6c +00 +34 +00 +60 +00 +35 +00 +65 +00 +49 +00 +5e +00 +43 +00 +73 +00 +52 +00 +b0 +00 +45 +00 +a8 +00 +41 +00 +77 +00 +3b +00 +b8 +00 +55 +00 +92 +00 +4f +00 +c1 +00 +6e +00 +56 +10 +55 +00 +6f +00 +32 +00 +65 +00 +39 +00 +61 +00 +30 +00 +50 +00 +35 +00 +54 +00 +34 +00 +48 +00 +35 +00 +62 +00 +57 +00 +1d +10 +b3 +00 +25 +10 +7c +00 +9b +00 +43 +00 +40 +00 +20 +00 +3a +00 +24 +00 +3d +00 +1f +00 +3a +00 +29 +00 +4d +00 +32 +00 +57 +00 +47 +00 +65 +00 +40 +00 +6c +00 +3d +00 +4b +00 +3d +00 +66 +00 +98 +00 +f2 +20 +f4 +10 +c4 +10 +a7 +00 +99 +00 +70 +00 +b7 +00 +71 +00 +9f +00 +b2 +00 +d4 +00 +14 +20 +08 +40 +11 +30 +8e +50 +7d +30 +23 +60 +67 +30 +40 +60 +a5 +10 +6f +10 +ab +00 +85 +00 +52 +00 +83 +00 +49 +00 +63 +00 +3f +00 +88 +00 +b9 +00 +6c +20 +95 +20 +ac +60 +5f +20 +8c +20 +2e +10 +fd +00 +8d +00 +8d +00 +58 +00 +9f +00 +89 +00 +d8 +00 +ef +00 +a8 +10 +f3 +10 +56 +50 +17 +20 +b2 +10 +8a +10 +b6 +10 +4d +30 +16 +90 +73 +60 +4a +b0 +16 +60 +f4 +70 +c9 +50 +61 +90 +31 +50 +38 +70 +a4 +60 +46 +b0 +c7 +70 +ac +b0 +ac +70 +c9 +b0 +da +60 +6c +90 +b1 +40 +dc +80 +9f +30 +f5 +40 +6c +40 +b5 +90 +e4 +50 +2f +90 +8c +40 +15 +40 +4b +20 +cf +10 +3b +10 +20 +10 +b6 +00 +b7 +00 +81 +00 +99 +00 +57 +00 +7e +00 +4e +00 +8b +00 +47 +00 +84 +00 +4a +00 +7d +00 +4b +00 +6f +00 +4b +00 +87 +00 +df +00 +b1 +30 +d3 +00 +00 +00 +00 +00 +34 +00 +9a +00 +40 +00 +a9 +00 +37 +00 +a9 +00 +45 +00 +98 +00 +39 +00 +b2 +00 +3f +00 +ad +00 +48 +00 +b0 +00 +41 +00 +cf +00 +5f +00 +0d +20 +d5 +00 +45 +20 +af +00 +3f +20 +ad +00 +14 +20 +80 +00 +90 +10 +68 +00 +fc +00 +3f +00 +af +00 +3c +00 +82 +00 +38 +00 +7f +00 +32 +00 +8c +00 +6e +00 +94 +20 +b0 +00 +ed +00 +38 +00 +9f +00 +3a +00 +b6 +00 +47 +00 +ae +00 +48 +00 +d7 +00 +6a +00 +77 +20 +73 +20 +c6 +60 +68 +20 +e7 +70 +0b +30 +f2 +80 +fa +20 +5f +70 +93 +10 +02 +30 +7f +00 +16 +10 +3a +00 +92 +00 +35 +00 +8e +00 +36 +00 +a3 +00 +31 +00 +a8 +00 +56 +00 +ef +00 +6e +00 +0f +10 +3f +00 +92 +00 +28 +00 +6e +00 +25 +00 +75 +00 +2a +00 +7d +00 +33 +00 +85 +00 +25 +00 +78 +00 +23 +00 +6e +00 +2a +00 +5f +00 +25 +00 +94 +00 +a2 +00 +49 +10 +3a +00 +7d +00 +23 +00 +81 +00 +2e +00 +7e +00 +30 +00 +74 +00 +28 +00 +6c +00 +23 +00 +65 +00 +29 +00 +6a +00 +1a +00 +51 +00 +20 +00 +5d +00 +1c +00 +66 +00 +24 +00 +66 +00 +28 +00 +65 +00 +24 +00 +64 +00 +32 +00 +89 +10 +93 +10 +ae +20 +47 +00 +76 +00 +2a +00 +68 +00 +2b +00 +ab +00 +49 +00 +e4 +00 +36 +00 +9d +00 +24 +00 +6e +00 +20 +00 +5b +00 +20 +00 +64 +00 +22 +00 +66 +00 +23 +00 +5d +00 +1d +00 +4c +00 +14 +00 +49 +00 +1a +00 +4e +00 +1e +00 +4f +00 +1a +00 +5e +00 +64 +00 +f8 +20 +15 +10 +b8 +10 +39 +00 +7c +00 +2d +00 +73 +00 +2c +00 +77 +00 +2d +00 +79 +00 +35 +00 +67 +00 +2a +00 +61 +00 +2a +00 +7c +00 +54 +00 +fe +10 +a9 +10 +70 +30 +63 +00 +bd +00 +29 +00 +79 +00 +37 +00 +98 +10 +f5 +10 +8a +50 +07 +20 +8f +40 +12 +10 +77 +20 +7e +00 +2f +10 +45 +00 +a4 +00 +36 +00 +e4 +00 +55 +00 +9c +00 +2c +00 +7f +00 +4e +00 +6a +10 +bc +00 +4b +20 +cc +10 +83 +40 +3a +10 +89 +30 +ec +10 +89 +60 +bf +20 +f0 +70 +95 +10 +88 +20 +93 +00 +32 +30 +03 +20 +b5 +30 +e3 +10 +a6 +60 +d8 +10 +19 +30 +fb +00 +49 +30 +b8 +00 +d5 +10 +99 +10 +c2 +50 +6d +20 +b3 +70 +09 +30 +c5 +60 +e0 +20 +08 +90 +85 +30 +45 +90 +a7 +20 +e8 +70 +32 +20 +4b +50 +53 +10 +01 +30 +a0 +00 +52 +10 +8e +00 +d4 +30 +ea +10 +dc +30 +b2 +00 +cf +10 +db +00 +20 +30 +92 +10 +b7 +40 +ce +20 +13 +90 +48 +30 +52 +80 +93 +20 +ac +60 +c1 +10 +7f +40 +5d +10 +a3 +30 +3c +10 +71 +30 +8b +10 +fe +30 +ec +10 +24 +50 +ac +20 +2c +80 +5b +30 +5f +90 +c9 +30 +3a +a0 +cd +30 +3e +a0 +70 +30 +11 +70 +16 +10 +3d +20 +7d +00 +55 +10 +a5 +00 +f5 +30 +1b +30 +4e +90 +a9 +30 +f1 +90 +44 +30 +7a +70 +93 +20 +69 +60 +46 +20 +93 +50 +b8 +00 +e7 +10 +2a +20 +17 +70 +1e +20 +f3 +30 +22 +20 +d9 +70 +83 +20 +a1 +40 +f3 +00 +71 +20 +7b +00 +2f +10 +57 +00 +f8 +00 +81 +00 +18 +20 +a1 +00 +4d +10 +46 +00 +ab +00 +2a +00 +8c +00 +b7 +00 +76 +20 +7e +00 +33 +10 +5a +00 +e1 +00 +a2 +00 +72 +40 +45 +10 +df +10 +4e +00 +b0 +00 +38 +00 +70 +00 +2c +00 +76 +00 +2b +00 +70 +00 +26 +00 +63 +00 +2c +00 +71 +00 +35 +00 +7c +00 +34 +00 +81 +00 +2d +00 +65 +00 +25 +00 +5a +00 +20 +00 +64 +00 +1e +00 +61 +00 +24 +00 +8a +00 +37 +00 +8b +00 +38 +00 +6b +00 +33 +00 +72 +00 +28 +00 +75 +00 +33 +00 +8b +00 +30 +00 +94 +00 +3a +00 +8a +00 +25 +00 +56 +00 +20 +00 +4e +00 +20 +00 +53 +00 +2e +00 +56 +00 +28 +00 +61 +00 +2a +00 +60 +00 +23 +00 +5e +00 +62 +00 +4f +10 +53 +00 +c5 +00 +20 +00 +5b +00 +1b +00 +40 +00 +14 +00 +40 +00 +1e +00 +44 +00 +17 +00 +3f +00 +1b +00 +62 +00 +29 +00 +6b +00 +20 +00 +6a +00 +28 +00 +5a +00 +1d +00 +51 +00 +23 +00 +90 +00 +c5 +00 +24 +40 +c9 +00 +03 +10 +33 +00 +93 +00 +30 +00 +a2 +00 +59 +00 +36 +10 +d1 +00 +77 +40 +25 +20 +17 +50 +fc +10 +21 +60 +66 +10 +51 +30 +f9 +00 +11 +20 +53 +00 +b6 +00 +54 +00 +0d +10 +3c +00 +6b +00 +27 +00 +75 +00 +32 +00 +ae +00 +7d +00 +6c +30 +17 +20 +10 +30 +7c +00 +2c +10 +3b +00 +9a +00 +34 +00 +81 +00 +3c +00 +a0 +00 +4c +00 +1b +10 +6e +00 +93 +20 +8d +20 +49 +40 +80 +00 +74 +10 +7f +00 +1e +30 +6f +30 +a0 +a0 +7e +30 +3b +70 +91 +10 +fc +60 +b5 +30 +95 +80 +0b +20 +f7 +80 +13 +40 +85 +b0 +1d +40 +92 +b0 +e2 +30 +21 +80 +a5 +10 +71 +40 +5e +10 +74 +30 +ee +00 +bb +40 +9f +30 +9e +a0 +51 +30 +4b +80 +52 +20 +b5 +40 +b7 +00 +48 +10 +51 +00 +c9 +00 +39 +00 +a0 +00 +43 +00 +b4 +00 +32 +00 +7a +00 +35 +00 +77 +00 +23 +00 +72 +00 +23 +00 +5f +00 +24 +00 +69 +00 +3d +00 +e4 +10 +d3 +00 +37 +10 +00 +00 +00 +00 +9e +00 +6b +00 +a3 +00 +6a +00 +a7 +00 +7a +00 +a4 +00 +73 +00 +b1 +00 +6f +00 +ac +00 +6c +00 +ab +00 +88 +00 +e3 +00 +ed +00 +35 +10 +e2 +00 +7c +10 +16 +10 +83 +10 +22 +10 +7f +10 +2c +10 +65 +10 +fb +00 +15 +10 +8d +00 +ae +00 +5b +00 +99 +00 +57 +00 +8d +00 +48 +00 +7e +00 +6a +00 +bc +00 +45 +10 +9f +20 +d8 +00 +b3 +00 +72 +00 +9f +00 +78 +00 +af +00 +9d +00 +5a +10 +de +00 +07 +10 +a9 +20 +02 +60 +41 +40 +95 +60 +31 +50 +e6 +80 +07 +60 +b2 +80 +a4 +40 +c3 +40 +a1 +10 +5f +10 +b9 +00 +bd +00 +76 +00 +99 +00 +57 +00 +86 +00 +59 +00 +84 +00 +69 +00 +b8 +00 +86 +00 +e1 +00 +70 +00 +b1 +00 +5d +00 +6d +00 +42 +00 +6c +00 +4b +00 +62 +00 +57 +00 +7a +00 +57 +00 +77 +00 +54 +00 +7c +00 +41 +00 +6e +00 +43 +00 +6f +00 +58 +00 +b5 +00 +63 +00 +b7 +00 +73 +00 +80 +00 +95 +00 +a3 +00 +83 +00 +76 +00 +70 +00 +77 +00 +47 +00 +5f +00 +38 +00 +6d +00 +3b +00 +5b +00 +37 +00 +52 +00 +3f +00 +5e +00 +45 +00 +61 +00 +3c +00 +61 +00 +59 +00 +6a +00 +59 +00 +73 +00 +e7 +00 +94 +20 +44 +10 +57 +10 +ac +00 +73 +00 +75 +00 +76 +00 +67 +00 +a8 +00 +86 +00 +8f +00 +4c +00 +60 +00 +33 +00 +6a +00 +37 +00 +61 +00 +33 +00 +64 +00 +3c +00 +5a +00 +33 +00 +49 +00 +24 +00 +50 +00 +31 +00 +4e +00 +27 +00 +43 +00 +2d +00 +53 +00 +48 +00 +9b +00 +e8 +00 +20 +30 +11 +10 +ea +00 +55 +00 +73 +00 +38 +00 +76 +00 +4e +00 +79 +00 +62 +00 +76 +00 +69 +00 +92 +00 +4c +00 +74 +00 +61 +00 +b1 +00 +ee +00 +99 +20 +00 +10 +8c +10 +a3 +00 +77 +00 +52 +00 +89 +00 +0d +10 +df +20 +42 +10 +3a +30 +8a +10 +4d +20 +78 +10 +39 +20 +f3 +00 +0e +10 +74 +00 +a5 +00 +72 +00 +f3 +00 +5e +00 +8a +00 +60 +00 +8e +00 +88 +00 +eb +00 +f1 +00 +f2 +10 +84 +20 +c8 +40 +cb +30 +2e +50 +75 +30 +b9 +40 +34 +30 +80 +50 +0d +20 +9f +10 +39 +20 +b7 +40 +24 +20 +92 +30 +75 +20 +e1 +30 +37 +20 +b7 +30 +eb +10 +f3 +20 +54 +20 +fc +30 +dd +30 +cf +70 +a3 +50 +dd +80 +80 +50 +a1 +60 +bd +50 +6d +90 +c8 +50 +27 +70 +64 +30 +fd +30 +55 +20 +b5 +20 +7c +10 +88 +10 +0c +10 +2f +10 +ac +10 +32 +40 +b5 +10 +2f +20 +43 +10 +e0 +10 +76 +30 +11 +70 +5a +40 +18 +70 +9b +40 +26 +70 +bc +30 +b4 +40 +78 +30 +f9 +30 +9f +30 +bc +50 +e3 +20 +66 +30 +02 +30 +5c +40 +76 +40 +83 +70 +6d +50 +f5 +80 +d3 +50 +0a +90 +af +60 +41 +a0 +e8 +60 +96 +a0 +e0 +60 +2f +a0 +73 +40 +d4 +30 +49 +20 +93 +10 +bf +10 +8c +20 +4d +40 +ec +70 +7d +50 +af +80 +04 +60 +c2 +80 +4d +60 +04 +90 +b8 +50 +b2 +80 +d3 +40 +94 +40 +b8 +30 +b2 +60 +e4 +40 +7e +80 +59 +50 +9b +70 +6e +40 +16 +50 +5c +20 +8b +20 +7f +10 +4c +10 +e2 +00 +dc +00 +14 +10 +c9 +10 +4c +30 +8c +50 +2a +20 +5e +10 +c8 +00 +aa +00 +9e +00 +d0 +00 +84 +00 +0d +10 +bc +00 +e7 +00 +e9 +00 +61 +10 +75 +30 +8a +60 +71 +20 +9f +10 +d7 +00 +9b +00 +53 +00 +6d +00 +42 +00 +7f +00 +54 +00 +7b +00 +5c +00 +74 +00 +58 +00 +7c +00 +40 +00 +84 +00 +4b +00 +83 +00 +41 +00 +5c +00 +39 +00 +52 +00 +32 +00 +98 +00 +39 +00 +5d +00 +3e +00 +6b +00 +3e +00 +6f +00 +34 +00 +5f +00 +38 +00 +61 +00 +3d +00 +72 +00 +41 +00 +6f +00 +42 +00 +5f +00 +39 +00 +5f +00 +3e +00 +55 +00 +26 +00 +53 +00 +31 +00 +57 +00 +52 +00 +60 +10 +92 +00 +ba +00 +38 +00 +59 +00 +4d +00 +90 +00 +50 +00 +ab +00 +58 +00 +61 +00 +3b +00 +44 +00 +26 +00 +37 +00 +24 +00 +3a +00 +2a +00 +3a +00 +2b +00 +48 +00 +71 +00 +db +00 +96 +00 +79 +00 +53 +00 +69 +00 +3c +00 +53 +00 +46 +00 +66 +00 +8f +00 +ef +00 +6c +10 +f6 +20 +d5 +00 +99 +00 +71 +00 +8c +00 +7f +00 +72 +10 +3f +20 +3f +50 +a1 +30 +51 +60 +b2 +30 +e2 +50 +bf +20 +25 +30 +9e +10 +cc +10 +ef +00 +0c +10 +8d +00 +95 +00 +5d +00 +b0 +00 +46 +00 +6e +00 +47 +00 +77 +00 +88 +00 +eb +00 +f7 +00 +7d +20 +4f +10 +7e +10 +e4 +00 +bc +00 +7e +00 +9d +00 +80 +00 +a5 +00 +d2 +00 +e8 +00 +13 +20 +d9 +30 +04 +30 +3c +50 +da +30 +fa +30 +7a +20 +a8 +10 +b3 +30 +ec +70 +7f +50 +5f +70 +f1 +30 +d8 +30 +92 +40 +3c +90 +43 +60 +29 +70 +5f +50 +30 +a0 +e7 +50 +2c +a0 +ef +50 +a5 +80 +cb +30 +9d +30 +c1 +20 +a4 +20 +08 +20 +37 +20 +70 +20 +77 +50 +aa +40 +58 +80 +94 +40 +83 +70 +c1 +40 +27 +50 +4c +20 +5b +10 +ce +00 +b3 +00 +9b +00 +a1 +00 +82 +00 +9f +00 +73 +00 +86 +00 +5a +00 +79 +00 +4f +00 +5c +00 +41 +00 +64 +00 +4c +00 +94 +00 +73 +00 +07 +10 +7b +00 +00 +00 +00 +00 +33 +00 +96 +00 +43 +00 +9c +00 +33 +00 +a6 +00 +41 +00 +a8 +00 +3a +00 +b8 +00 +41 +00 +b0 +00 +3e +00 +c2 +00 +68 +00 +bb +10 +4f +00 +1b +10 +62 +00 +24 +10 +5a +00 +29 +10 +61 +00 +85 +10 +69 +00 +0a +10 +3d +00 +b2 +00 +2d +00 +81 +00 +2d +00 +81 +00 +2d +00 +77 +00 +32 +00 +8b +00 +49 +00 +81 +10 +a5 +00 +2d +10 +32 +00 +9c +00 +30 +00 +b1 +00 +42 +00 +d8 +00 +96 +00 +21 +10 +6d +00 +d7 +20 +2e +20 +84 +60 +8d +20 +2f +80 +e6 +20 +1a +90 +13 +30 +d3 +70 +39 +10 +67 +20 +67 +00 +e3 +00 +40 +00 +a2 +00 +3e +00 +88 +00 +38 +00 +89 +00 +3d +00 +97 +00 +35 +00 +9f +00 +3b +00 +c3 +00 +2d +00 +7b +00 +2a +00 +78 +00 +24 +00 +6b +00 +27 +00 +6d +00 +28 +00 +7f +00 +2e +00 +7a +00 +27 +00 +67 +00 +2b +00 +6f +00 +23 +00 +79 +00 +32 +00 +86 +00 +3a +00 +82 +00 +79 +00 +3f +10 +50 +00 +b9 +00 +38 +00 +b4 +00 +2a +00 +77 +00 +20 +00 +60 +00 +1d +00 +59 +00 +22 +00 +54 +00 +1e +00 +66 +00 +2b +00 +65 +00 +27 +00 +67 +00 +27 +00 +77 +00 +34 +00 +8d +00 +34 +00 +06 +10 +19 +10 +47 +20 +59 +00 +de +00 +33 +00 +93 +00 +31 +00 +8a +00 +28 +00 +84 +00 +24 +00 +68 +00 +23 +00 +60 +00 +1e +00 +60 +00 +1e +00 +56 +00 +22 +00 +58 +00 +23 +00 +5d +00 +1c +00 +4c +00 +1f +00 +50 +00 +19 +00 +58 +00 +1d +00 +51 +00 +1b +00 +4d +00 +2f +00 +df +00 +c8 +00 +a4 +10 +45 +00 +89 +00 +2f +00 +72 +00 +34 +00 +8f +00 +3b +00 +8a +00 +2c +00 +8d +00 +4b +00 +97 +00 +25 +00 +7b +00 +38 +00 +f6 +00 +74 +00 +4a +10 +50 +00 +b5 +00 +2c +00 +7e +00 +2c +00 +f2 +00 +95 +00 +89 +10 +7b +00 +9f +10 +6b +00 +4a +10 +75 +00 +19 +10 +58 +00 +b9 +00 +34 +00 +9a +00 +32 +00 +7f +00 +34 +00 +72 +00 +2e +00 +be +00 +4b +00 +07 +10 +72 +00 +68 +20 +ad +10 +e8 +50 +b7 +20 +f7 +60 +e9 +10 +4f +40 +ff +00 +50 +20 +81 +00 +00 +30 +7b +10 +f5 +20 +da +00 +5d +30 +37 +10 +97 +20 +65 +10 +32 +30 +ba +00 +3c +30 +d6 +10 +16 +50 +dc +20 +2e +90 +76 +30 +e3 +80 +44 +20 +94 +70 +71 +30 +b3 +90 +87 +20 +8f +50 +0b +10 +23 +30 +b7 +00 +c9 +10 +64 +00 +10 +10 +57 +00 +80 +10 +e4 +00 +0d +20 +92 +00 +2b +10 +d4 +00 +f9 +40 +e0 +20 +3d +70 +04 +20 +28 +50 +6b +10 +1c +40 +c3 +10 +a1 +50 +52 +10 +f9 +40 +49 +20 +2d +50 +42 +10 +96 +40 +85 +20 +99 +70 +45 +30 +02 +90 +b2 +30 +4c +90 +a4 +30 +99 +a0 +cc +30 +a8 +a0 +01 +40 +73 +a0 +7f +30 +42 +70 +e3 +10 +91 +30 +b2 +00 +09 +20 +a0 +10 +f0 +50 +c9 +20 +a1 +80 +43 +30 +07 +a0 +a8 +30 +d2 +90 +8f +30 +c9 +90 +bb +30 +41 +90 +99 +20 +95 +60 +0f +30 +c4 +80 +6a +30 +09 +90 +2f +30 +c1 +60 +26 +10 +de +20 +91 +00 +62 +10 +58 +00 +e6 +00 +53 +00 +2a +10 +a4 +10 +5e +60 +e6 +20 +8f +50 +9d +00 +4e +10 +42 +00 +bb +00 +4a +00 +d9 +00 +4f +00 +92 +10 +7b +00 +4e +10 +4b +10 +58 +60 +27 +30 +9d +60 +b8 +00 +23 +10 +33 +00 +79 +00 +26 +00 +76 +00 +28 +00 +95 +00 +47 +00 +d8 +00 +2b +00 +7a +00 +28 +00 +7b +00 +2c +00 +94 +00 +61 +00 +81 +00 +27 +00 +5c +00 +24 +00 +72 +00 +3d +00 +7b +00 +1c +00 +63 +00 +2a +00 +52 +00 +1f +00 +4d +00 +1a +00 +5a +00 +25 +00 +5a +00 +21 +00 +6b +00 +21 +00 +71 +00 +1a +00 +5b +00 +2b +00 +5a +00 +25 +00 +46 +00 +20 +00 +5e +00 +20 +00 +74 +00 +91 +00 +f9 +00 +3e +00 +66 +00 +1d +00 +52 +00 +1e +00 +70 +00 +27 +00 +5f +00 +1d +00 +41 +00 +1c +00 +40 +00 +15 +00 +31 +00 +1e +00 +39 +00 +1c +00 +3e +00 +35 +00 +5c +10 +dc +00 +fc +10 +2e +00 +7f +00 +23 +00 +5d +00 +22 +00 +67 +00 +26 +00 +94 +00 +70 +00 +f4 +20 +ba +00 +37 +10 +37 +00 +80 +00 +31 +00 +a5 +00 +a7 +00 +07 +30 +b6 +20 +c0 +70 +92 +20 +5e +50 +8e +10 +c8 +20 +95 +00 +bd +10 +5f +00 +10 +10 +3e +00 +9a +00 +2c +00 +85 +00 +2e +00 +84 +00 +2f +00 +6d +00 +32 +00 +8c +00 +40 +00 +f4 +00 +75 +00 +9c +10 +65 +00 +e7 +00 +41 +00 +ad +00 +41 +00 +e3 +00 +75 +00 +f6 +10 +bc +00 +e4 +20 +33 +20 +ea +40 +9a +10 +06 +70 +0d +30 +c9 +40 +8d +10 +b5 +60 +95 +30 +ad +90 +a3 +10 +95 +30 +f9 +00 +1d +60 +ad +30 +67 +a0 +dd +20 +e0 +50 +78 +20 +ca +50 +a0 +10 +12 +60 +03 +20 +e6 +30 +c4 +00 +1f +20 +7e +00 +9a +10 +69 +00 +34 +20 +ad +10 +fe +60 +b7 +20 +13 +60 +d6 +20 +fb +80 +73 +30 +08 +70 +a1 +00 +20 +10 +48 +00 +e0 +00 +90 +00 +00 +10 +48 +00 +b7 +00 +36 +00 +24 +10 +47 +00 +7b +00 +20 +00 +63 +00 +26 +00 +75 +00 +31 +00 +bb +00 +3e +00 +b7 +00 +00 +00 +00 +00 +a0 +00 +6d +00 +a7 +00 +6a +00 +ba +00 +70 +00 +a0 +00 +72 +00 +ab +00 +75 +00 +a5 +00 +72 +00 +aa +00 +a2 +00 +f2 +00 +ba +10 +63 +20 +ed +00 +f5 +00 +be +00 +d3 +00 +db +00 +e7 +00 +6a +10 +63 +20 +fb +00 +b9 +00 +70 +00 +8d +00 +57 +00 +71 +00 +45 +00 +72 +00 +57 +00 +7e +00 +69 +00 +a0 +00 +91 +00 +fc +00 +b0 +00 +b3 +00 +a2 +00 +a7 +00 +a2 +00 +b8 +00 +d1 +00 +7b +10 +57 +10 +99 +10 +2d +30 +23 +50 +66 +40 +10 +70 +86 +50 +cb +80 +20 +60 +bc +80 +c0 +40 +43 +50 +20 +20 +58 +10 +f9 +00 +f9 +00 +a1 +00 +a0 +00 +67 +00 +a5 +00 +6a +00 +90 +00 +66 +00 +a0 +00 +69 +00 +b3 +00 +97 +00 +d9 +00 +53 +00 +7c +00 +4a +00 +7a +00 +41 +00 +6b +00 +4c +00 +7b +00 +df +00 +8c +10 +93 +00 +96 +00 +4e +00 +70 +00 +45 +00 +6a +00 +58 +00 +81 +00 +75 +00 +8c +00 +e4 +00 +09 +30 +f8 +10 +50 +20 +a8 +10 +3e +20 +1b +10 +cf +00 +65 +00 +6e +00 +3e +00 +59 +00 +37 +00 +55 +00 +42 +00 +5d +00 +47 +00 +74 +00 +4c +00 +6e +00 +8b +00 +c9 +00 +3a +10 +b3 +10 +95 +00 +86 +00 +76 +10 +50 +40 +e8 +20 +e5 +20 +93 +10 +81 +10 +0a +10 +bd +00 +8d +00 +81 +00 +64 +00 +65 +00 +47 +00 +6e +00 +3e +00 +4b +00 +3c +00 +49 +00 +3a +00 +5d +00 +3d +00 +5a +00 +2e +00 +55 +00 +36 +00 +55 +00 +2d +00 +56 +00 +3a +00 +56 +00 +2b +00 +51 +00 +41 +00 +5f +00 +6f +00 +e3 +00 +6b +00 +c3 +00 +65 +00 +6b +00 +47 +00 +77 +00 +0b +10 +aa +10 +80 +00 +79 +00 +43 +00 +7f +00 +54 +00 +7d +00 +cc +00 +be +00 +ad +00 +eb +00 +a5 +00 +d2 +00 +6b +00 +7a +00 +58 +00 +80 +00 +92 +00 +f8 +00 +f3 +00 +69 +10 +ef +00 +15 +10 +b9 +00 +d7 +00 +7b +00 +ca +00 +6f +00 +a3 +00 +66 +00 +73 +00 +4d +00 +76 +00 +73 +00 +92 +00 +c6 +00 +cc +00 +c9 +00 +11 +10 +83 +10 +c4 +20 +e2 +20 +36 +50 +de +30 +c1 +60 +71 +30 +7d +30 +b1 +10 +e3 +10 +63 +10 +78 +20 +43 +10 +ef +10 +e5 +10 +fa +30 +ce +10 +d6 +20 +be +10 +2e +30 +92 +10 +7f +20 +af +20 +a3 +50 +04 +50 +1c +90 +f6 +40 +bc +60 +2b +40 +f5 +70 +df +40 +9e +60 +04 +30 +e2 +20 +d0 +10 +b5 +10 +1d +10 +1f +10 +c6 +00 +01 +10 +0d +10 +7a +10 +12 +10 +4c +10 +03 +10 +5d +10 +32 +20 +c1 +40 +12 +30 +41 +40 +07 +30 +7c +30 +5c +30 +c7 +30 +e5 +20 +70 +40 +e3 +30 +25 +60 +52 +30 +3a +40 +b1 +40 +78 +80 +a3 +50 +48 +90 +35 +60 +d8 +90 +77 +60 +3c +a0 +e8 +60 +91 +a0 +28 +70 +b8 +a0 +d6 +60 +66 +a0 +a0 +50 +6a +70 +55 +40 +92 +40 +a0 +40 +d7 +60 +9f +50 +28 +90 +a6 +60 +38 +a0 +bd +60 +40 +a0 +c6 +60 +e5 +90 +8e +60 +63 +a0 +2f +60 +bc +90 +d4 +50 +2a +90 +80 +50 +aa +80 +34 +50 +8e +70 +7c +30 +4d +30 +05 +20 +8e +10 +90 +10 +2c +10 +5a +10 +46 +10 +cf +20 +d3 +50 +7e +30 +f5 +60 +13 +30 +f2 +30 +ab +20 +9b +10 +e0 +00 +d3 +00 +b8 +00 +0f +10 +26 +20 +ce +40 +55 +30 +6e +50 +ac +40 +4a +80 +c3 +20 +f3 +20 +25 +10 +c4 +00 +78 +00 +65 +00 +5f +00 +9a +00 +34 +10 +4e +20 +9a +00 +a9 +00 +6c +00 +6f +00 +4e +00 +6d +00 +4c +00 +88 +00 +46 +00 +62 +00 +36 +00 +59 +00 +3d +00 +65 +00 +33 +00 +5e +00 +2f +00 +53 +00 +25 +00 +5a +00 +30 +00 +63 +00 +3e +00 +60 +00 +3c +00 +62 +00 +30 +00 +60 +00 +34 +00 +4d +00 +2d +00 +56 +00 +33 +00 +49 +00 +28 +00 +4a +00 +2b +00 +5f +00 +41 +00 +7b +00 +3d +00 +7e +00 +3d +00 +50 +00 +35 +00 +48 +00 +3c +00 +5a +00 +3d +00 +46 +00 +27 +00 +37 +00 +26 +00 +41 +00 +23 +00 +41 +00 +22 +00 +3b +00 +33 +00 +6b +00 +c2 +00 +b8 +10 +9f +00 +de +00 +6e +00 +75 +00 +58 +00 +9e +00 +f4 +00 +cd +00 +ed +00 +c3 +10 +63 +10 +f5 +10 +ad +00 +a8 +00 +5d +00 +89 +00 +f5 +00 +c1 +20 +e5 +20 +8c +50 +91 +30 +57 +60 +e2 +20 +b3 +20 +a7 +10 +79 +10 +11 +10 +db +00 +b1 +00 +8f +00 +98 +00 +9b +00 +5f +00 +7f +00 +6c +00 +85 +00 +69 +00 +8d +00 +69 +00 +98 +00 +b0 +00 +ec +00 +fc +00 +99 +10 +63 +10 +16 +10 +bb +00 +c4 +00 +21 +20 +0c +50 +8d +30 +6c +50 +e2 +10 +13 +20 +b8 +10 +f1 +20 +d4 +30 +93 +80 +18 +50 +39 +70 +14 +60 +35 +a0 +f3 +50 +2c +60 +3f +40 +f8 +40 +fa +50 +0d +a0 +07 +60 +2f +a0 +d8 +40 +b6 +30 +16 +30 +50 +30 +dd +20 +55 +30 +3b +30 +35 +40 +52 +30 +d0 +20 +96 +10 +3b +10 +c3 +10 +f6 +20 +20 +40 +4e +80 +f4 +40 +02 +60 +46 +40 +96 +80 +4e +30 +49 +40 +0a +20 +3c +10 +44 +20 +c3 +40 +f6 +10 +1c +10 +2e +10 +51 +10 +d5 +00 +16 +10 +62 +00 +5c +00 +4c +00 +71 +00 +7f +00 +f2 +00 +6f +00 +e2 +00 +6f +00 +00 +00 +00 +00 +3c +00 +ad +00 +39 +00 +ae +00 +35 +00 +ad +00 +3d +00 +a0 +00 +35 +00 +aa +00 +42 +00 +c1 +00 +44 +00 +cb +00 +8d +00 +45 +30 +31 +10 +e6 +10 +42 +00 +af +00 +38 +00 +b5 +00 +47 +00 +1c +10 +bd +00 +80 +10 +39 +00 +9c +00 +2e +00 +76 +00 +2c +00 +7f +00 +34 +00 +89 +00 +26 +00 +7e +00 +35 +00 +eb +00 +53 +00 +e6 +00 +76 +00 +9b +10 +57 +00 +cd +00 +52 +00 +25 +10 +7e +00 +7b +10 +49 +10 +5d +50 +14 +20 +41 +50 +79 +20 +31 +80 +17 +30 +f7 +80 +12 +30 +3e +80 +88 +10 +a9 +20 +87 +00 +ce +10 +81 +00 +20 +10 +47 +00 +af +00 +35 +00 +a0 +00 +33 +00 +a5 +00 +38 +00 +92 +00 +38 +00 +aa +00 +41 +00 +a3 +00 +28 +00 +81 +00 +29 +00 +6e +00 +22 +00 +6a +00 +64 +00 +4f +20 +ff +00 +80 +10 +36 +00 +74 +00 +25 +00 +7e +00 +21 +00 +76 +00 +36 +00 +a9 +00 +43 +00 +35 +10 +ee +00 +eb +20 +cf +00 +39 +30 +9a +10 +24 +30 +55 +00 +8d +00 +28 +00 +61 +00 +1a +00 +54 +00 +1a +00 +55 +00 +27 +00 +68 +00 +2b +00 +7a +00 +3f +00 +38 +10 +c2 +00 +5a +20 +bf +00 +76 +10 +43 +00 +ad +10 +f6 +10 +56 +60 +19 +20 +ae +40 +f8 +00 +cc +20 +81 +00 +db +00 +31 +00 +72 +00 +26 +00 +66 +00 +20 +00 +5c +00 +27 +00 +5d +00 +1d +00 +58 +00 +22 +00 +5c +00 +1b +00 +56 +00 +23 +00 +5d +00 +20 +00 +5d +00 +20 +00 +55 +00 +20 +00 +59 +00 +27 +00 +5c +00 +21 +00 +92 +00 +39 +00 +a9 +00 +33 +00 +74 +00 +2a +00 +6b +00 +42 +00 +22 +20 +cc +00 +17 +10 +2a +00 +6f +00 +29 +00 +79 +00 +40 +00 +ab +10 +85 +00 +09 +10 +3e +00 +b5 +00 +35 +00 +70 +00 +2c +00 +66 +00 +22 +00 +a4 +00 +4a +00 +60 +10 +b0 +00 +56 +10 +40 +00 +b7 +00 +38 +00 +b4 +00 +4c +00 +ab +00 +35 +00 +8a +00 +2c +00 +71 +00 +2e +00 +6e +00 +91 +00 +50 +20 +65 +00 +e8 +00 +80 +00 +87 +20 +8f +10 +e5 +30 +04 +20 +22 +60 +ef +10 +e4 +40 +ee +00 +5d +20 +87 +00 +79 +10 +97 +00 +92 +10 +82 +00 +b2 +10 +6a +10 +f7 +20 +94 +00 +a5 +10 +ae +00 +ce +10 +c6 +00 +45 +40 +00 +20 +19 +70 +a5 +20 +f6 +50 +57 +10 +e1 +30 +93 +10 +69 +50 +81 +10 +57 +30 +ae +00 +b5 +10 +5a +00 +f5 +00 +54 +00 +dc +00 +94 +00 +d5 +10 +5b +00 +21 +10 +60 +00 +02 +10 +7d +00 +34 +20 +41 +10 +bb +40 +b8 +10 +fc +30 +b0 +10 +93 +50 +96 +10 +6b +40 +45 +10 +cb +30 +94 +10 +10 +50 +69 +20 +9f +60 +42 +30 +75 +90 +9e +30 +43 +a0 +9a +30 +81 +a0 +e3 +30 +a3 +a0 +bf +30 +a0 +a0 +8b +30 +3c +90 +22 +30 +96 +80 +ff +20 +26 +80 +fa +20 +44 +80 +67 +30 +4f +90 +b6 +30 +12 +a0 +c1 +30 +1f +a0 +8d +30 +63 +a0 +a7 +30 +46 +a0 +ad +30 +1d +a0 +63 +30 +7a +80 +ed +20 +1e +80 +3e +30 +1a +90 +c5 +20 +d2 +40 +dc +00 +27 +20 +e0 +00 +76 +30 +b6 +00 +5e +20 +da +10 +13 +60 +73 +20 +8e +40 +e7 +10 +39 +40 +58 +10 +d3 +40 +00 +10 +72 +10 +54 +00 +db +00 +df +00 +1f +40 +0f +20 +18 +70 +07 +30 +87 +80 +5f +20 +0d +40 +b3 +00 +78 +10 +39 +00 +86 +00 +2e +00 +97 +00 +9d +00 +5b +30 +ea +00 +47 +10 +36 +00 +90 +00 +2e +00 +6e +00 +27 +00 +6b +00 +2a +00 +5f +00 +23 +00 +64 +00 +1e +00 +53 +00 +21 +00 +5b +00 +25 +00 +4b +00 +27 +00 +58 +00 +22 +00 +49 +00 +3c +00 +cf +00 +46 +00 +78 +00 +23 +00 +60 +00 +1e +00 +50 +00 +19 +00 +41 +00 +1b +00 +46 +00 +1f +00 +4f +00 +1d +00 +51 +00 +21 +00 +60 +00 +25 +00 +65 +00 +23 +00 +4e +00 +18 +00 +43 +00 +1c +00 +43 +00 +1a +00 +43 +00 +18 +00 +35 +00 +1b +00 +37 +00 +18 +00 +34 +00 +11 +00 +35 +00 +15 +00 +35 +00 +21 +00 +0b +10 +5f +00 +e8 +00 +4d +00 +cb +00 +26 +00 +73 +00 +ad +00 +a3 +30 +ba +00 +fe +10 +cd +00 +e1 +10 +79 +00 +e2 +00 +31 +00 +75 +00 +43 +00 +fa +10 +90 +10 +a3 +40 +94 +20 +55 +60 +d6 +10 +1d +50 +07 +10 +9f +10 +48 +00 +d1 +00 +4b +00 +f3 +00 +46 +00 +73 +10 +8b +00 +a6 +00 +32 +00 +0d +10 +c0 +00 +ef +00 +37 +00 +89 +00 +33 +00 +9f +00 +43 +00 +40 +10 +9f +10 +f4 +20 +6b +00 +ee +00 +af +00 +ec +40 +08 +30 +d2 +70 +75 +10 +95 +20 +8f +00 +ed +10 +34 +10 +11 +70 +a6 +30 +d5 +90 +ee +20 +56 +80 +01 +40 +cc +a0 +92 +20 +2d +60 +47 +30 +88 +90 +55 +30 +76 +70 +98 +20 +0c +70 +0c +10 +bb +20 +b5 +00 +81 +20 +7a +10 +03 +50 +41 +20 +cb +50 +45 +10 +b6 +10 +5b +00 +3f +10 +06 +10 +e6 +50 +35 +30 +53 +80 +72 +10 +8a +40 +84 +10 +6e +30 +fc +10 +ad +50 +2f +10 +b2 +50 +f5 +20 +e5 +40 +12 +10 +6f +20 +c6 +00 +1d +10 +3d +00 +83 +00 +21 +00 +6d +00 +7b +00 +5e +10 +96 +00 +ed +00 +50 +00 +ae +00 +00 +00 +00 +00 +a3 +00 +6e +00 +ae +00 +74 +00 +a2 +00 +70 +00 +ad +00 +6f +00 +9a +00 +6b +00 +b6 +00 +73 +00 +b1 +00 +92 +00 +0b +10 +fd +00 +7e +20 +eb +00 +0e +10 +a2 +00 +bb +00 +34 +10 +27 +10 +db +10 +77 +20 +4b +10 +13 +10 +63 +00 +88 +00 +56 +00 +81 +00 +48 +00 +77 +00 +48 +00 +84 +00 +57 +00 +83 +00 +83 +00 +d9 +00 +3d +10 +1a +30 +c3 +10 +27 +20 +b1 +10 +9f +20 +96 +20 +26 +30 +f1 +20 +ca +40 +6e +40 +84 +70 +b3 +40 +e9 +50 +65 +50 +e5 +80 +04 +60 +2b +90 +3b +50 +25 +60 +6f +30 +f9 +30 +21 +30 +99 +40 +3b +10 +e2 +00 +74 +00 +ac +00 +5f +00 +a3 +00 +63 +00 +9a +00 +68 +00 +98 +00 +6a +00 +9f +00 +5c +00 +8c +00 +5d +00 +83 +00 +5c +00 +77 +00 +51 +00 +a5 +00 +91 +00 +ac +10 +a5 +00 +a8 +00 +55 +00 +81 +00 +54 +00 +7d +00 +fb +00 +7a +10 +ff +00 +d7 +00 +9c +00 +07 +10 +f0 +00 +25 +20 +14 +30 +b6 +50 +2e +20 +ba +10 +b7 +00 +87 +00 +45 +00 +5e +00 +3e +00 +54 +00 +54 +00 +a5 +00 +d9 +00 +bd +00 +61 +00 +8d +00 +83 +00 +20 +10 +a0 +00 +8a +10 +f8 +00 +22 +10 +f1 +10 +53 +40 +7f +20 +a5 +40 +ac +10 +26 +20 +1c +10 +dc +20 +45 +10 +e2 +00 +5c +00 +6f +00 +49 +00 +6e +00 +40 +00 +5f +00 +3f +00 +52 +00 +31 +00 +5a +00 +36 +00 +62 +00 +45 +00 +5d +00 +45 +00 +5e +00 +53 +00 +8d +00 +43 +00 +58 +00 +38 +00 +56 +00 +45 +00 +66 +00 +64 +00 +8c +00 +5f +00 +81 +00 +46 +00 +63 +00 +49 +00 +7c +00 +b2 +00 +23 +20 +9b +00 +8c +00 +5c +00 +70 +00 +5b +00 +a7 +00 +19 +10 +3f +20 +c6 +00 +c1 +00 +6d +00 +77 +00 +4f +00 +6b +00 +4f +00 +66 +00 +90 +00 +b5 +00 +3f +10 +c0 +10 +d2 +00 +da +00 +87 +00 +a0 +00 +67 +00 +9a +00 +5c +00 +94 +00 +3f +00 +73 +00 +47 +00 +6f +00 +81 +00 +79 +10 +c5 +10 +c9 +20 +ff +00 +11 +20 +2a +20 +75 +50 +0c +30 +c8 +50 +65 +40 +98 +60 +b0 +20 +31 +30 +f9 +10 +fa +10 +24 +10 +4c +10 +32 +10 +56 +10 +7a +10 +64 +20 +0d +20 +57 +20 +2b +10 +2a +10 +08 +10 +75 +10 +cc +10 +a2 +40 +8d +30 +3c +60 +22 +40 +c3 +50 +ae +30 +22 +40 +48 +30 +0d +40 +71 +20 +3a +20 +1f +10 +ef +00 +a5 +00 +d3 +00 +04 +10 +b5 +20 +bd +10 +af +10 +f5 +00 +fd +00 +6b +10 +7d +10 +1c +30 +e7 +50 +f7 +30 +3f +50 +be +20 +90 +40 +2e +30 +2e +50 +50 +30 +01 +50 +18 +30 +f4 +30 +a7 +40 +2c +80 +75 +40 +59 +80 +ba +50 +2d +a0 +46 +60 +45 +a0 +cf +50 +16 +90 +d5 +50 +c8 +80 +3e +60 +6c +90 +5b +60 +09 +a0 +c7 +50 +5d +90 +da +50 +27 +90 +01 +60 +8e +90 +7d +60 +48 +a0 +c2 +60 +70 +a0 +af +60 +40 +a0 +21 +60 +9f +90 +0c +50 +df +70 +81 +50 +b2 +80 +43 +50 +47 +90 +e2 +50 +f0 +90 +44 +60 +a1 +80 +26 +40 +60 +40 +7e +30 +26 +50 +06 +40 +14 +50 +9f +30 +55 +60 +54 +30 +8f +60 +9d +20 +37 +30 +25 +20 +50 +30 +c0 +20 +62 +40 +72 +10 +4f +10 +3e +10 +fa +10 +f8 +20 +b3 +60 +9c +30 +18 +60 +65 +30 +5e +40 +ca +20 +2d +30 +e7 +10 +32 +10 +da +00 +af +00 +26 +10 +1c +30 +a3 +10 +31 +20 +d5 +00 +d2 +00 +77 +00 +78 +00 +56 +00 +6a +00 +41 +00 +72 +00 +41 +00 +6f +00 +5d +00 +84 +00 +61 +00 +61 +00 +3a +00 +49 +00 +29 +00 +5a +00 +28 +00 +47 +00 +2d +00 +5c +00 +39 +00 +79 +00 +39 +00 +54 +00 +2b +00 +57 +00 +2b +00 +47 +00 +2c +00 +43 +00 +23 +00 +45 +00 +21 +00 +4b +00 +28 +00 +62 +00 +2e +00 +59 +00 +36 +00 +58 +00 +27 +00 +3d +00 +30 +00 +48 +00 +2a +00 +45 +00 +2e +00 +3c +00 +29 +00 +37 +00 +24 +00 +47 +00 +24 +00 +40 +00 +23 +00 +37 +00 +27 +00 +56 +00 +4e +00 +c8 +00 +b4 +00 +ec +10 +76 +00 +84 +00 +62 +00 +c0 +00 +c3 +00 +f0 +10 +6d +10 +83 +20 +c5 +00 +db +00 +7d +00 +80 +00 +69 +00 +a1 +00 +54 +10 +af +30 +5e +20 +32 +40 +51 +20 +53 +40 +cd +10 +2b +20 +1b +10 +e7 +00 +97 +00 +90 +00 +65 +00 +97 +00 +8c +00 +d5 +10 +75 +00 +c3 +00 +7c +00 +9d +10 +aa +00 +b6 +00 +82 +00 +94 +00 +8a +00 +ae +00 +61 +10 +a5 +40 +f1 +10 +8e +10 +bb +10 +e1 +10 +92 +30 +6a +80 +38 +30 +5d +30 +39 +20 +db +10 +f8 +20 +17 +50 +91 +50 +2a +a0 +b4 +60 +f8 +a0 +e6 +60 +46 +90 +8d +60 +05 +a0 +2d +60 +94 +90 +41 +60 +b7 +80 +16 +60 +20 +80 +c9 +50 +b4 +50 +bc +30 +92 +30 +49 +40 +a8 +60 +1a +40 +fe +50 +41 +30 +85 +30 +90 +10 +37 +10 +8f +10 +51 +20 +d5 +10 +24 +50 +bf +20 +b0 +30 +11 +20 +4b +20 +d7 +10 +3f +30 +67 +20 +fe +50 +c1 +30 +55 +80 +fb +30 +df +70 +cb +30 +ab +30 +13 +10 +c0 +00 +81 +00 +77 +00 +5d +00 +a0 +00 +71 +00 +ab +00 +7c +00 +b8 +00 +73 +00 +00 +00 +00 +00 +35 +00 +a2 +00 +44 +00 +a8 +00 +3a +00 +a9 +00 +39 +00 +ae +00 +39 +00 +a6 +00 +39 +00 +ae +00 +3e +00 +d4 +00 +4a +00 +0a +10 +6a +00 +ef +00 +3d +00 +ba +00 +ba +00 +0b +30 +28 +10 +24 +30 +ce +10 +bd +30 +70 +00 +c1 +00 +36 +00 +81 +00 +29 +00 +7b +00 +2e +00 +8b +00 +33 +00 +85 +00 +2e +00 +96 +00 +44 +00 +52 +10 +0e +10 +ee +30 +c8 +00 +69 +20 +d1 +10 +b1 +50 +29 +20 +ce +50 +69 +20 +7e +70 +9e +20 +9d +60 +2e +20 +9e +60 +c0 +20 +d7 +80 +3e +30 +bb +80 +7f +20 +13 +60 +6e +20 +1a +60 +64 +10 +1d +20 +52 +00 +b1 +00 +3e +00 +92 +00 +34 +00 +8c +00 +35 +00 +89 +00 +33 +00 +97 +00 +33 +00 +89 +00 +35 +00 +8b +00 +2e +00 +78 +00 +3c +00 +83 +00 +3a +00 +b1 +00 +43 +00 +bf +00 +2e +00 +7b +00 +33 +00 +7c +00 +64 +00 +3a +20 +48 +10 +36 +20 +62 +00 +c7 +00 +53 +00 +1a +10 +12 +10 +0c +50 +41 +20 +66 +40 +83 +00 +eb +00 +28 +00 +71 +00 +20 +00 +74 +00 +25 +00 +6c +00 +4e +00 +7d +10 +5d +00 +c4 +00 +35 +00 +9a +00 +41 +00 +dc +00 +7a +00 +38 +10 +99 +00 +01 +30 +a1 +10 +b7 +40 +d0 +00 +9b +10 +5f +00 +07 +10 +9f +00 +b2 +20 +77 +00 +9b +00 +25 +00 +66 +00 +23 +00 +62 +00 +26 +00 +54 +00 +19 +00 +4b +00 +20 +00 +62 +00 +28 +00 +60 +00 +25 +00 +69 +00 +20 +00 +69 +00 +37 +00 +90 +00 +1f +00 +4e +00 +1f +00 +51 +00 +36 +00 +92 +00 +2b +00 +7b +00 +28 +00 +50 +00 +27 +00 +5a +00 +2b +00 +c0 +00 +4c +00 +c6 +00 +2c +00 +8d +00 +2f +00 +7e +00 +37 +00 +44 +10 +5f +00 +eb +00 +35 +00 +7a +00 +2f +00 +62 +00 +2e +00 +6d +00 +31 +00 +b6 +00 +68 +00 +b7 +10 +7f +00 +19 +10 +3d +00 +92 +00 +2f +00 +8f +00 +31 +00 +81 +00 +2c +00 +71 +00 +24 +00 +66 +00 +25 +00 +80 +00 +69 +00 +d5 +10 +95 +00 +4e +10 +69 +00 +7d +10 +dd +00 +01 +30 +bc +10 +f5 +60 +44 +20 +de +30 +c5 +00 +21 +20 +93 +00 +86 +10 +8d +00 +8b +10 +f3 +00 +a1 +20 +c5 +00 +5f +20 +c5 +00 +85 +10 +59 +00 +f4 +00 +64 +00 +81 +10 +80 +10 +fe +40 +3b +20 +37 +60 +a3 +20 +02 +60 +b1 +10 +60 +50 +7d +10 +b1 +20 +99 +00 +37 +10 +4d +00 +bd +00 +53 +00 +6d +10 +b6 +10 +86 +40 +cb +00 +89 +10 +b0 +00 +1a +30 +d4 +10 +25 +60 +92 +20 +9b +60 +8b +10 +4e +30 +48 +10 +8b +40 +c9 +10 +5d +50 +c3 +10 +8d +40 +71 +20 +1e +80 +2b +30 +a0 +70 +ac +20 +8a +80 +64 +30 +81 +90 +ae +20 +9d +60 +41 +20 +de +60 +2e +30 +d6 +90 +a1 +30 +d6 +90 +f0 +20 +37 +70 +bb +20 +d1 +80 +a5 +30 +f0 +90 +cb +30 +67 +a0 +cd +30 +62 +a0 +8a +30 +9c +90 +14 +30 +f5 +70 +fa +10 +fd +40 +5c +20 +e3 +80 +c5 +20 +19 +60 +ef +20 +78 +90 +7c +30 +ce +90 +71 +30 +85 +70 +55 +10 +07 +40 +ba +20 +ea +70 +e0 +20 +e9 +50 +3e +10 +81 +30 +56 +20 +72 +40 +da +00 +a7 +20 +7a +10 +3a +30 +f7 +00 +7f +20 +2a +10 +0f +20 +8f +10 +63 +40 +ef +10 +1d +60 +9a +10 +d9 +30 +bb +10 +fc +50 +b5 +10 +1a +30 +48 +10 +dc +10 +61 +00 +27 +20 +35 +10 +29 +20 +92 +00 +8e +10 +4b +00 +9c +00 +2f +00 +90 +00 +37 +00 +7c +00 +25 +00 +70 +00 +2d +00 +b2 +00 +f0 +00 +1a +10 +2a +00 +5a +00 +1a +00 +50 +00 +1d +00 +41 +00 +19 +00 +56 +00 +25 +00 +4e +00 +2e +00 +6e +00 +23 +00 +62 +00 +1b +00 +51 +00 +21 +00 +4e +00 +17 +00 +42 +00 +22 +00 +4c +00 +1d +00 +4a +00 +1f +00 +4c +00 +1e +00 +4f +00 +1a +00 +46 +00 +1c +00 +4a +00 +16 +00 +49 +00 +1a +00 +3f +00 +1b +00 +48 +00 +14 +00 +38 +00 +11 +00 +32 +00 +1c +00 +3f +00 +17 +00 +3c +00 +1c +00 +61 +00 +2e +00 +c9 +00 +3d +00 +aa +00 +26 +00 +62 +00 +2a +00 +ac +00 +55 +00 +b3 +10 +b4 +00 +2e +10 +3b +00 +95 +00 +24 +00 +70 +00 +3e +00 +2a +10 +c7 +00 +61 +20 +be +00 +3f +20 +b3 +00 +17 +20 +72 +00 +1c +10 +3d +00 +8f +00 +2d +00 +78 +00 +34 +00 +a8 +00 +43 +00 +c3 +00 +38 +00 +9c +00 +4e +00 +e5 +00 +6c +00 +d2 +00 +3f +00 +bc +00 +43 +00 +82 +10 +4c +20 +cb +30 +71 +10 +8b +30 +e0 +00 +5b +60 +d8 +20 +32 +50 +4f +10 +ef +20 +2c +10 +d7 +50 +44 +30 +ad +90 +0a +40 +3f +b0 +3d +40 +4a +b0 +7e +30 +3f +90 +b6 +30 +ed +80 +82 +30 +1a +a0 +e8 +30 +b7 +a0 +f2 +30 +ed +90 +f6 +20 +a8 +40 +ad +20 +42 +80 +52 +30 +f3 +70 +4c +20 +e3 +40 +23 +10 +bd +20 +71 +00 +76 +10 +86 +00 +f1 +10 +d0 +00 +95 +20 +9c +00 +ec +10 +74 +00 +ab +10 +7c +00 +fd +10 +82 +10 +ec +50 +94 +20 +8a +60 +d5 +20 +58 +60 +0e +10 +77 +10 +42 +00 +8b +00 +57 +00 +b5 +00 +38 +00 +99 +00 +47 +00 +b8 +00 +3d +00 +91 +00 +00 +00 +00 +00 +94 +00 +72 +00 +a3 +00 +76 +00 +9c +00 +69 +00 +a5 +00 +65 +00 +a5 +00 +70 +00 +9e +00 +79 +00 +af +00 +76 +00 +a0 +00 +87 +00 +f5 +00 +7c +00 +9f +00 +d6 +00 +63 +20 +c3 +20 +40 +50 +85 +20 +19 +40 +96 +10 +8b +10 +98 +00 +99 +00 +6e +00 +83 +00 +5f +00 +7a +00 +4f +00 +74 +00 +57 +00 +7c +00 +66 +00 +9a +00 +a3 +00 +42 +10 +01 +10 +f2 +10 +2f +10 +93 +20 +5f +30 +de +60 +c8 +40 +92 +70 +16 +50 +e0 +70 +22 +50 +b0 +70 +65 +50 +a9 +60 +ee +40 +3c +80 +47 +50 +0c +80 +10 +40 +f6 +50 +9e +20 +1c +30 +1b +10 +1d +10 +84 +00 +ae +00 +68 +00 +9a +00 +62 +00 +8e +00 +5f +00 +8f +00 +67 +00 +a9 +00 +7a +00 +a0 +00 +a1 +00 +93 +00 +86 +00 +89 +00 +64 +00 +7c +00 +5d +00 +bf +00 +5b +00 +84 +00 +4a +00 +87 +00 +db +00 +cc +10 +a9 +20 +c1 +40 +8f +10 +32 +10 +91 +00 +e3 +00 +21 +10 +74 +20 +53 +30 +ed +50 +10 +30 +30 +30 +e3 +00 +83 +00 +4d +00 +7a +00 +49 +00 +70 +00 +5b +00 +82 +00 +63 +00 +ba +00 +a1 +00 +a8 +00 +63 +00 +a5 +00 +6a +00 +c8 +00 +8d +00 +53 +10 +3f +10 +0f +20 +2c +10 +03 +20 +ff +00 +01 +10 +95 +00 +d9 +00 +80 +00 +f1 +00 +6d +00 +69 +00 +40 +00 +68 +00 +34 +00 +5f +00 +3a +00 +63 +00 +66 +00 +af +00 +68 +00 +81 +00 +9e +00 +9b +00 +aa +00 +90 +00 +70 +00 +6d +00 +44 +00 +6c +00 +3c +00 +5d +00 +3a +00 +60 +00 +40 +00 +76 +00 +4d +00 +64 +00 +43 +00 +5d +00 +3f +00 +61 +00 +59 +00 +97 +00 +71 +00 +c4 +00 +7f +00 +99 +00 +67 +00 +71 +00 +75 +00 +aa +00 +92 +00 +b5 +00 +5d +00 +79 +00 +46 +00 +69 +00 +65 +00 +da +00 +d5 +10 +17 +30 +77 +10 +c6 +10 +be +00 +cb +00 +80 +00 +ca +00 +b6 +00 +97 +00 +67 +00 +81 +00 +54 +00 +6e +00 +4e +00 +6f +00 +5f +00 +a8 +00 +79 +00 +d6 +00 +a7 +00 +e9 +00 +fb +00 +48 +10 +ab +10 +22 +20 +01 +20 +87 +30 +0f +20 +cb +20 +78 +10 +60 +10 +e2 +10 +8d +30 +ad +10 +39 +40 +39 +30 +0f +40 +d7 +10 +0c +30 +2b +10 +09 +10 +b7 +00 +e0 +00 +3d +10 +33 +20 +77 +20 +50 +40 +4e +30 +79 +50 +9c +30 +12 +50 +1d +30 +7e +30 +84 +10 +6b +10 +c9 +00 +cf +00 +75 +00 +c7 +00 +eb +00 +6d +20 +ff +00 +db +10 +1e +10 +f7 +10 +6b +20 +74 +60 +16 +40 +51 +70 +9d +30 +4c +40 +5f +20 +fa +20 +a6 +20 +06 +40 +e3 +20 +d4 +40 +06 +40 +da +60 +c6 +30 +d6 +50 +5a +30 +4a +40 +73 +30 +e2 +50 +28 +40 +8c +50 +f2 +30 +cc +40 +cd +30 +b2 +60 +c3 +40 +13 +80 +21 +40 +f7 +40 +a7 +30 +33 +50 +13 +50 +41 +90 +b8 +50 +4b +a0 +1e +60 +5f +90 +2b +60 +ca +80 +f6 +50 +b3 +70 +c2 +30 +17 +40 +58 +30 +7f +50 +2d +40 +6b +50 +0a +30 +9c +40 +71 +40 +55 +90 +f9 +50 +a3 +90 +2b +40 +5b +30 +93 +20 +a2 +40 +4d +40 +9e +70 +bb +20 +f8 +20 +73 +20 +8d +50 +85 +30 +d8 +30 +84 +20 +c2 +30 +97 +10 +53 +20 +5f +10 +3f +30 +ce +10 +14 +50 +b2 +20 +fb +30 +1e +20 +f3 +20 +37 +30 +fe +50 +28 +30 +b9 +30 +d3 +20 +c8 +40 +2c +20 +fc +10 +51 +20 +47 +30 +4c +10 +31 +20 +40 +10 +0b +10 +78 +00 +84 +00 +6b +00 +35 +10 +59 +00 +73 +00 +84 +00 +ad +00 +a8 +00 +1d +20 +81 +00 +aa +00 +42 +00 +56 +00 +31 +00 +51 +00 +2b +00 +4e +00 +28 +00 +52 +00 +28 +00 +60 +00 +2c +00 +6a +00 +2c +00 +4b +00 +29 +00 +52 +00 +26 +00 +4b +00 +27 +00 +4f +00 +2d +00 +45 +00 +27 +00 +4c +00 +47 +00 +66 +00 +4e +00 +50 +00 +31 +00 +55 +00 +2f +00 +52 +00 +28 +00 +41 +00 +26 +00 +51 +00 +3a +00 +40 +00 +23 +00 +34 +00 +27 +00 +34 +00 +25 +00 +35 +00 +31 +00 +44 +00 +3f +00 +62 +00 +58 +00 +7b +00 +4c +00 +6f +00 +44 +00 +6f +00 +5b +00 +a8 +00 +8c +00 +dc +00 +78 +00 +a5 +00 +63 +00 +80 +00 +4f +00 +7b +00 +b5 +00 +22 +10 +f1 +00 +88 +10 +2b +10 +7a +10 +c4 +10 +1b +30 +03 +10 +ca +00 +69 +00 +73 +00 +3b +00 +80 +00 +52 +00 +90 +00 +59 +00 +b3 +00 +63 +00 +aa +00 +fe +00 +ff +30 +b0 +10 +40 +10 +ab +10 +d3 +10 +70 +20 +ee +40 +e9 +30 +51 +70 +d2 +20 +73 +20 +f9 +20 +5c +70 +06 +50 +ad +80 +9e +40 +9b +30 +d3 +40 +32 +90 +89 +60 +0d +b0 +39 +70 +c0 +b0 +b9 +70 +76 +b0 +b5 +60 +91 +80 +32 +50 +f3 +70 +66 +50 +07 +a0 +ff +50 +a8 +a0 +74 +60 +13 +a0 +47 +50 +4f +50 +db +40 +f6 +70 +6a +30 +45 +40 +f9 +10 +9b +20 +02 +20 +e8 +10 +0e +10 +17 +10 +34 +10 +ac +10 +5f +10 +9c +10 +62 +10 +48 +10 +33 +10 +43 +10 +46 +10 +08 +20 +04 +20 +90 +50 +bc +30 +39 +60 +20 +20 +fe +10 +22 +10 +d0 +00 +4c +10 +d0 +20 +f9 +00 +ba +00 +e9 +00 +40 +10 +5e +10 +e5 +00 +9a +00 +00 +00 +00 +00 +37 +00 +95 +00 +3e +00 +b0 +00 +39 +00 +ae +00 +36 +00 +9e +00 +38 +00 +af +00 +3a +00 +aa +00 +3d +00 +9b +00 +3e +00 +c5 +00 +41 +00 +af +00 +39 +00 +c0 +00 +e9 +00 +9e +40 +0c +20 +46 +40 +f3 +00 +1f +20 +63 +00 +d1 +00 +53 +00 +ba +00 +36 +00 +88 +00 +2c +00 +7f +00 +39 +00 +8a +00 +2c +00 +84 +00 +2f +00 +a1 +00 +56 +00 +36 +10 +5d +00 +2f +10 +9d +00 +4b +30 +6b +20 +a8 +70 +fb +20 +63 +80 +c9 +20 +e9 +60 +e2 +20 +53 +80 +f3 +20 +16 +70 +30 +20 +bc +60 +37 +20 +51 +50 +64 +10 +52 +40 +e7 +00 +95 +10 +48 +00 +c5 +00 +40 +00 +b2 +00 +42 +00 +a8 +00 +2f +00 +8d +00 +33 +00 +9b +00 +43 +00 +ce +00 +5b +00 +0c +10 +46 +00 +bc +00 +34 +00 +80 +00 +32 +00 +8f +00 +32 +00 +9e +00 +23 +00 +6c +00 +28 +00 +d6 +00 +2f +10 +64 +50 +a0 +10 +86 +20 +4d +00 +d9 +00 +4c +00 +1f +10 +70 +10 +44 +50 +40 +20 +20 +60 +2e +10 +80 +10 +2b +00 +7e +00 +2b +00 +6c +00 +28 +00 +82 +00 +47 +00 +94 +00 +35 +00 +cb +00 +3a +00 +96 +00 +30 +00 +89 +00 +25 +00 +98 +00 +4c +00 +fd +00 +5b +00 +53 +10 +54 +00 +05 +10 +40 +00 +b7 +00 +39 +00 +ba +00 +31 +00 +8e +00 +29 +00 +6a +00 +2b +00 +5c +00 +1a +00 +54 +00 +1f +00 +91 +00 +7d +00 +0e +10 +68 +00 +61 +10 +d0 +00 +19 +20 +48 +00 +9a +00 +2a +00 +70 +00 +20 +00 +57 +00 +20 +00 +49 +00 +20 +00 +6e +00 +2d +00 +63 +00 +2a +00 +68 +00 +26 +00 +62 +00 +24 +00 +85 +00 +2b +00 +97 +00 +9b +00 +9f +10 +3f +00 +8d +00 +34 +00 +c1 +00 +7a +00 +55 +10 +42 +00 +8d +00 +30 +00 +6d +00 +26 +00 +66 +00 +4c +00 +af +10 +d1 +10 +c0 +30 +8d +00 +22 +10 +3c +00 +b1 +00 +60 +00 +03 +10 +45 +00 +8e +00 +31 +00 +87 +00 +30 +00 +8c +00 +27 +00 +78 +00 +36 +00 +b0 +00 +47 +00 +bd +00 +45 +00 +e2 +00 +d9 +00 +a5 +30 +ba +00 +11 +20 +98 +00 +52 +20 +ee +00 +f1 +10 +80 +00 +73 +20 +f1 +10 +99 +30 +28 +10 +57 +40 +99 +10 +1b +30 +ca +00 +c7 +10 +51 +00 +d0 +00 +3e +00 +09 +10 +96 +00 +98 +20 +25 +10 +f5 +30 +31 +20 +98 +60 +de +10 +96 +40 +c9 +00 +dc +10 +62 +00 +ff +00 +46 +00 +c7 +00 +45 +00 +12 +10 +a0 +00 +61 +10 +64 +00 +34 +10 +b1 +00 +1c +30 +82 +10 +53 +50 +90 +20 +1b +50 +2e +10 +f4 +20 +11 +10 +82 +30 +6d +10 +a9 +40 +cb +10 +a1 +40 +6f +10 +dd +30 +60 +10 +db +30 +3a +10 +7c +30 +59 +10 +23 +50 +d3 +10 +23 +60 +a5 +10 +d2 +30 +25 +10 +54 +40 +80 +10 +86 +40 +ab +10 +79 +50 +3b +20 +84 +60 +43 +20 +d0 +60 +ac +20 +94 +80 +3f +30 +9f +90 +8d +30 +03 +90 +ee +10 +1c +40 +f4 +00 +d6 +20 +2a +20 +f5 +50 +42 +10 +0b +30 +e8 +00 +54 +30 +d3 +20 +2d +90 +45 +30 +26 +60 +fc +00 +90 +20 +08 +20 +6c +70 +fc +10 +ea +30 +02 +10 +25 +40 +c5 +10 +9f +50 +20 +10 +59 +20 +8b +00 +0e +20 +e6 +00 +9c +10 +75 +00 +6a +10 +ce +00 +7a +20 +3a +10 +76 +30 +40 +10 +b7 +40 +bb +10 +d6 +30 +b9 +10 +e5 +50 +81 +10 +4e +40 +69 +10 +05 +40 +70 +10 +38 +30 +df +00 +d0 +10 +54 +00 +ab +00 +2b +00 +86 +00 +36 +00 +84 +00 +3e +00 +b2 +10 +c1 +00 +0b +10 +44 +00 +98 +00 +30 +00 +78 +00 +1d +00 +58 +00 +1b +00 +52 +00 +24 +00 +49 +00 +1d +00 +53 +00 +29 +00 +62 +00 +28 +00 +59 +00 +1d +00 +4f +00 +17 +00 +42 +00 +1c +00 +44 +00 +21 +00 +44 +00 +16 +00 +43 +00 +25 +00 +cd +00 +41 +00 +93 +00 +20 +00 +53 +00 +28 +00 +54 +00 +1d +00 +49 +00 +24 +00 +49 +00 +23 +00 +4b +00 +1b +00 +3a +00 +15 +00 +42 +00 +16 +00 +3b +00 +16 +00 +39 +00 +1b +00 +47 +00 +25 +00 +6d +00 +24 +00 +67 +00 +22 +00 +48 +00 +20 +00 +72 +00 +28 +00 +a6 +00 +3d +00 +a7 +00 +29 +00 +75 +00 +32 +00 +78 +00 +3f +00 +da +00 +48 +00 +f9 +00 +43 +00 +0c +10 +6f +00 +96 +20 +43 +10 +8f +10 +48 +00 +91 +00 +34 +00 +7e +00 +2c +00 +7d +00 +3a +00 +b0 +00 +36 +00 +87 +00 +3b +00 +ef +00 +0f +10 +0a +50 +83 +10 +24 +40 +f2 +10 +78 +60 +f8 +10 +8c +60 +bc +20 +e0 +30 +3d +10 +65 +30 +a8 +20 +52 +90 +de +30 +9a +80 +52 +10 +d1 +60 +a2 +30 +7c +a0 +40 +40 +c3 +b0 +5e +40 +bb +b0 +23 +40 +ad +a0 +bc +20 +70 +70 +8a +20 +25 +60 +d4 +10 +0c +60 +8c +30 +dd +a0 +34 +40 +07 +a0 +ac +10 +57 +60 +c2 +20 +d1 +40 +cc +00 +2e +20 +b3 +00 +c5 +20 +8c +00 +36 +10 +56 +00 +2a +10 +6e +00 +5c +10 +ce +00 +d0 +10 +65 +00 +ff +00 +5d +00 +1e +10 +78 +00 +f3 +10 +27 +10 +57 +50 +1c +20 +47 +30 +7d +00 +12 +10 +5d +00 +f2 +20 +b1 +10 +cf +10 +56 +00 +10 +10 +65 +10 +73 +40 +7b +00 +db +00 +00 +00 +00 +00 +a4 +00 +5d +00 +a8 +00 +73 +00 +9f +00 +70 +00 +a2 +00 +74 +00 +ac +00 +5f +00 +a6 +00 +69 +00 +b4 +00 +77 +00 +87 +00 +7a +00 +9e +00 +72 +00 +8d +00 +d9 +00 +5a +10 +40 +20 +cb +40 +b2 +20 +54 +30 +7b +10 +64 +10 +88 +00 +e9 +00 +a9 +00 +af +00 +46 +00 +67 +00 +58 +00 +d8 +00 +62 +00 +89 +00 +50 +00 +8b +00 +7b +00 +d4 +00 +c4 +00 +fe +00 +d8 +00 +52 +10 +29 +20 +a2 +50 +97 +40 +ef +70 +3a +50 +0d +80 +23 +50 +fd +70 +fe +40 +6a +80 +de +40 +e2 +70 +77 +40 +8d +60 +0b +30 +60 +40 +48 +20 +b4 +20 +16 +10 +eb +00 +7b +00 +b0 +00 +6d +00 +c3 +00 +bb +00 +d7 +00 +64 +00 +9a +00 +72 +00 +cb +00 +bb +10 +c1 +30 +ae +20 +22 +30 +70 +10 +01 +10 +71 +00 +7f +00 +5e +00 +7c +00 +4f +00 +85 +00 +4c +00 +8c +00 +d7 +00 +f4 +10 +2e +30 +f5 +50 +fb +10 +90 +10 +bf +00 +ca +00 +81 +10 +4a +30 +8e +30 +db +60 +87 +20 +c5 +20 +e4 +00 +9d +00 +58 +00 +73 +00 +49 +00 +85 +00 +57 +00 +bb +00 +5a +00 +81 +00 +51 +00 +80 +00 +58 +00 +76 +00 +58 +00 +78 +00 +5e +00 +9a +00 +8d +00 +d6 +00 +c0 +00 +de +00 +90 +00 +97 +00 +73 +00 +a2 +00 +75 +00 +9a +00 +71 +00 +7e +00 +40 +00 +66 +00 +35 +00 +58 +00 +34 +00 +50 +00 +57 +00 +e2 +00 +84 +00 +66 +10 +fb +10 +14 +50 +1e +20 +b2 +20 +24 +10 +ce +00 +67 +00 +6d +00 +3e +00 +53 +00 +33 +00 +52 +00 +2d +00 +64 +00 +36 +00 +60 +00 +3b +00 +6e +00 +3d +00 +5e +00 +50 +00 +72 +00 +6c +00 +17 +10 +f4 +00 +42 +10 +76 +00 +6f +00 +ca +00 +42 +30 +8b +10 +5b +10 +95 +00 +87 +00 +af +00 +c9 +00 +76 +00 +85 +00 +c8 +00 +c4 +10 +0e +10 +94 +20 +20 +10 +fa +00 +61 +10 +1d +20 +da +00 +c7 +00 +75 +00 +82 +00 +4c +00 +97 +00 +86 +00 +91 +00 +5e +00 +70 +00 +5c +00 +a8 +00 +76 +00 +a6 +00 +21 +10 +bd +30 +bd +20 +53 +40 +e3 +10 +17 +20 +da +10 +3b +20 +25 +10 +6b +10 +5e +10 +7b +30 +c3 +10 +7e +20 +97 +20 +f4 +50 +fd +10 +e6 +10 +e7 +00 +f5 +00 +b5 +00 +d4 +00 +0f +10 +c4 +20 +a9 +10 +d0 +20 +c6 +10 +c5 +20 +0b +20 +30 +40 +bf +10 +12 +20 +0d +10 +14 +10 +a1 +00 +c0 +00 +71 +00 +b5 +00 +ab +00 +90 +10 +1c +10 +7a +10 +08 +10 +36 +10 +85 +10 +bf +20 +90 +20 +0d +40 +2c +30 +6c +40 +5c +20 +95 +30 +b1 +20 +0f +40 +73 +30 +20 +40 +13 +40 +00 +50 +69 +40 +2b +60 +12 +50 +36 +70 +7d +40 +fe +50 +59 +40 +c4 +60 +0c +30 +57 +30 +d1 +20 +5e +40 +07 +40 +6b +50 +06 +40 +69 +60 +0f +30 +03 +40 +86 +20 +6c +30 +d3 +30 +41 +70 +1a +60 +d3 +90 +19 +60 +ea +90 +eb +40 +02 +50 +89 +20 +63 +20 +49 +30 +37 +60 +c5 +30 +51 +30 +58 +20 +34 +20 +88 +20 +80 +30 +4c +40 +af +80 +59 +40 +66 +40 +bc +20 +30 +50 +83 +30 +b7 +40 +58 +20 +b0 +20 +42 +20 +9e +40 +a6 +10 +24 +20 +4a +10 +52 +10 +05 +10 +73 +10 +0e +10 +2c +10 +00 +10 +55 +10 +3f +10 +20 +30 +70 +20 +7c +30 +f6 +10 +76 +20 +ce +10 +3b +40 +d8 +20 +bd +40 +a3 +30 +46 +60 +a4 +20 +38 +30 +8b +10 +01 +20 +d6 +00 +df +00 +73 +00 +9c +00 +50 +00 +7e +00 +55 +00 +94 +00 +a4 +00 +2e +20 +8d +00 +d4 +00 +55 +00 +7e +00 +41 +00 +4d +00 +3b +00 +5c +00 +31 +00 +45 +00 +25 +00 +54 +00 +2a +00 +4e +00 +31 +00 +5c +00 +2b +00 +4d +00 +1f +00 +44 +00 +23 +00 +49 +00 +27 +00 +48 +00 +1f +00 +4a +00 +2a +00 +5a +00 +79 +00 +19 +10 +66 +00 +6d +00 +39 +00 +5b +00 +31 +00 +43 +00 +2b +00 +40 +00 +2b +00 +50 +00 +24 +00 +42 +00 +26 +00 +36 +00 +21 +00 +36 +00 +22 +00 +40 +00 +23 +00 +3d +00 +31 +00 +4f +00 +3f +00 +5b +00 +50 +00 +58 +00 +49 +00 +55 +00 +51 +00 +6b +00 +60 +00 +78 +00 +57 +00 +73 +00 +48 +00 +81 +00 +d0 +00 +6f +20 +ac +00 +a0 +00 +9b +00 +a9 +00 +be +00 +ef +00 +57 +10 +df +10 +ab +00 +d1 +00 +68 +00 +5f +00 +4d +00 +73 +00 +4a +00 +86 +00 +4a +00 +87 +00 +5a +00 +87 +00 +85 +00 +27 +10 +62 +10 +d1 +50 +66 +30 +c6 +60 +f6 +20 +07 +40 +24 +30 +9e +60 +aa +20 +fc +50 +62 +40 +6f +40 +63 +40 +ae +90 +30 +50 +b3 +50 +72 +40 +39 +90 +55 +60 +42 +b0 +30 +70 +d8 +b0 +c6 +60 +a0 +a0 +1c +50 +bb +60 +8b +30 +5c +50 +ff +30 +28 +60 +b0 +30 +bb +40 +b5 +40 +d4 +90 +7d +50 +8a +70 +a7 +30 +5b +40 +34 +20 +43 +20 +92 +10 +9a +10 +97 +10 +8e +10 +53 +10 +1f +10 +57 +10 +49 +20 +76 +20 +9f +40 +8d +30 +ad +20 +46 +20 +55 +10 +a7 +10 +35 +10 +9d +10 +ba +10 +a8 +10 +d8 +20 +55 +10 +69 +10 +01 +10 +fc +00 +f2 +10 +ad +50 +79 +20 +b7 +20 +84 +20 +bb +40 +97 +20 +a1 +30 +09 +10 +00 +00 +00 +00 +34 +00 +98 +00 +45 +00 +ab +00 +43 +00 +aa +00 +3e +00 +9a +00 +39 +00 +a8 +00 +36 +00 +a8 +00 +41 +00 +b8 +00 +38 +00 +81 +00 +2a +00 +90 +00 +2f +00 +c1 +00 +da +00 +9b +30 +7d +10 +5c +40 +30 +10 +1a +20 +4f +00 +d8 +00 +6c +00 +33 +10 +43 +00 +8b +00 +28 +00 +7a +00 +3b +00 +c6 +00 +34 +00 +85 +00 +2b +00 +a1 +00 +5b +00 +25 +10 +4a +00 +cb +00 +5b +00 +4f +20 +b6 +10 +46 +60 +b9 +20 +1c +80 +8a +20 +02 +70 +cb +20 +55 +70 +fb +10 +e6 +40 +f3 +10 +78 +60 +ce +10 +a2 +40 +78 +10 +3a +30 +a8 +00 +65 +10 +4f +00 +ba +00 +40 +00 +a4 +00 +60 +00 +cc +10 +6d +00 +c6 +00 +3d +00 +a5 +00 +67 +00 +ea +20 +2b +20 +ac +60 +2e +20 +ca +40 +73 +00 +a0 +00 +2c +00 +78 +00 +26 +00 +7c +00 +2c +00 +75 +00 +41 +00 +be +00 +09 +10 +85 +50 +8a +20 +aa +40 +81 +00 +0d +10 +59 +00 +6b +10 +90 +10 +21 +60 +20 +20 +f6 +30 +87 +00 +e3 +00 +2c +00 +79 +00 +25 +00 +68 +00 +32 +00 +98 +00 +29 +00 +7c +00 +26 +00 +7d +00 +24 +00 +6c +00 +21 +00 +63 +00 +2e +00 +75 +00 +32 +00 +9b +00 +3d +00 +b1 +00 +2d +00 +86 +00 +30 +00 +84 +00 +3d +00 +d9 +00 +5d +00 +cc +00 +33 +00 +68 +00 +23 +00 +5c +00 +1d +00 +4b +00 +28 +00 +6a +00 +2f +00 +9e +00 +58 +00 +d0 +10 +ab +10 +d0 +30 +b3 +00 +5d +20 +aa +00 +e1 +00 +2f +00 +5d +00 +1f +00 +60 +00 +1f +00 +61 +00 +21 +00 +5f +00 +27 +00 +6b +00 +2a +00 +67 +00 +22 +00 +54 +00 +27 +00 +6b +00 +47 +00 +06 +10 +5c +00 +b3 +00 +31 +00 +b2 +00 +9a +00 +fe +10 +71 +00 +de +00 +38 +00 +29 +10 +91 +00 +de +00 +27 +00 +e6 +00 +b0 +00 +58 +10 +9f +00 +b5 +10 +2f +10 +95 +30 +56 +10 +ed +10 +4f +00 +9c +00 +2c +00 +78 +00 +35 +00 +aa +00 +2f +00 +80 +00 +22 +00 +75 +00 +2d +00 +89 +00 +41 +00 +df +00 +ec +00 +2f +30 +7f +10 +07 +30 +ef +00 +a5 +20 +ff +00 +d8 +10 +6b +00 +6e +10 +a4 +00 +22 +20 +01 +10 +db +20 +94 +10 +f4 +20 +78 +00 +33 +10 +8c +00 +b9 +10 +86 +00 +50 +10 +ba +00 +f6 +10 +ac +00 +ca +10 +89 +00 +e1 +10 +9b +00 +e8 +10 +69 +00 +3a +10 +5a +00 +bc +00 +46 +00 +a2 +00 +4f +00 +c4 +00 +79 +00 +af +10 +3f +10 +f0 +10 +7c +00 +a3 +20 +0d +10 +06 +30 +62 +10 +88 +50 +dd +10 +8c +30 +4c +10 +e2 +30 +f0 +10 +b9 +60 +ba +20 +e1 +70 +86 +20 +3b +70 +0c +30 +dc +80 +36 +30 +81 +70 +b1 +20 +bb +60 +5d +10 +45 +30 +ae +00 +8f +20 +1e +20 +5c +60 +09 +20 +1f +50 +55 +10 +ed +20 +d6 +00 +5e +20 +dd +00 +71 +40 +fe +20 +1d +90 +30 +30 +8b +80 +e9 +20 +0f +70 +41 +10 +cd +20 +b5 +00 +f0 +30 +e2 +20 +b6 +60 +02 +10 +6e +20 +9a +00 +3e +20 +f3 +00 +d7 +40 +cd +20 +6f +70 +ab +10 +01 +30 +9e +10 +e4 +40 +cc +10 +9a +40 +f4 +00 +bb +20 +c1 +00 +1a +20 +75 +00 +54 +10 +57 +00 +09 +10 +93 +00 +94 +10 +5a +00 +38 +10 +5c +00 +4c +10 +ad +00 +41 +30 +72 +10 +49 +20 +79 +00 +ac +10 +d9 +00 +12 +30 +2a +20 +58 +70 +6b +20 +14 +40 +fb +00 +15 +20 +7d +00 +2a +10 +74 +00 +b8 +00 +32 +00 +83 +00 +29 +00 +7a +00 +2c +00 +a2 +00 +45 +00 +cf +00 +2f +00 +99 +00 +30 +00 +60 +00 +28 +00 +dd +00 +24 +00 +54 +00 +22 +00 +4d +00 +24 +00 +54 +00 +24 +00 +56 +00 +1c +00 +59 +00 +1d +00 +42 +00 +1a +00 +36 +00 +15 +00 +3e +00 +20 +00 +51 +00 +1c +00 +47 +00 +28 +00 +ac +00 +95 +00 +e7 +00 +29 +00 +63 +00 +19 +00 +47 +00 +1a +00 +3f +00 +18 +00 +41 +00 +1d +00 +3d +00 +14 +00 +3c +00 +14 +00 +32 +00 +19 +00 +43 +00 +16 +00 +44 +00 +17 +00 +3e +00 +24 +00 +64 +00 +2b +00 +7c +00 +25 +00 +56 +00 +1c +00 +56 +00 +23 +00 +63 +00 +25 +00 +7a +00 +2a +00 +60 +00 +37 +00 +fc +00 +85 +00 +ed +00 +2c +00 +79 +00 +3b +00 +d7 +00 +a5 +00 +93 +20 +7d +00 +e2 +00 +37 +00 +7b +00 +2f +00 +73 +00 +28 +00 +73 +00 +2d +00 +6a +00 +29 +00 +6d +00 +23 +00 +8d +00 +57 +00 +5e +10 +82 +10 +8c +50 +a8 +10 +29 +40 +da +10 +dc +50 +3d +10 +2f +30 +34 +20 +a0 +70 +3d +20 +d6 +40 +32 +30 +2e +90 +f5 +10 +d8 +40 +e3 +20 +6d +80 +6b +30 +43 +90 +6a +30 +11 +90 +c9 +20 +6f +60 +45 +10 +3b +30 +d2 +00 +54 +30 +37 +20 +1c +60 +81 +10 +a6 +40 +24 +30 +04 +a0 +36 +30 +87 +50 +1f +10 +bd +20 +7c +00 +b8 +10 +f2 +00 +b5 +20 +32 +10 +a1 +20 +7d +00 +7e +10 +9d +10 +4d +50 +0d +30 +6e +80 +06 +30 +0e +60 +a5 +10 +c1 +20 +9e +00 +b3 +10 +71 +00 +8f +10 +77 +00 +56 +10 +55 +00 +f6 +00 +5e +00 +ed +10 +40 +20 +ac +60 +71 +10 +c5 +40 +ae +10 +4b +40 +ff +00 +f2 +10 +00 +00 +00 +00 +a8 +00 +73 +00 +b3 +00 +78 +00 +9f +00 +69 +00 +b8 +00 +66 +00 +9a +00 +67 +00 +a7 +00 +8f +00 +c1 +00 +83 +00 +96 +00 +65 +00 +7f +00 +62 +00 +9d +00 +c7 +00 +0a +20 +69 +20 +42 +50 +b8 +20 +7d +30 +54 +10 +fa +00 +8d +00 +d4 +00 +6e +00 +9b +00 +55 +00 +6e +00 +41 +00 +8b +00 +54 +00 +85 +00 +57 +00 +86 +00 +d9 +00 +64 +20 +13 +10 +e4 +00 +cb +00 +e9 +00 +e6 +10 +f8 +20 +6e +20 +12 +50 +2e +40 +20 +70 +5b +40 +7b +60 +df +20 +7a +30 +31 +20 +e3 +20 +c6 +20 +78 +40 +9c +30 +6c +40 +6c +20 +58 +20 +d6 +00 +cc +00 +82 +00 +c3 +00 +7c +00 +ae +00 +77 +00 +e7 +00 +76 +00 +89 +00 +72 +00 +c4 +00 +b6 +10 +0c +50 +4a +30 +d7 +50 +c6 +10 +f9 +10 +8b +00 +91 +00 +58 +00 +64 +00 +4c +00 +6e +00 +79 +00 +ac +00 +f0 +00 +01 +20 +fa +10 +9e +50 +48 +30 +f4 +20 +46 +10 +fa +00 +05 +20 +73 +40 +39 +20 +88 +30 +65 +10 +8f +10 +94 +00 +88 +00 +46 +00 +5c +00 +50 +00 +6f +00 +51 +00 +79 +00 +46 +00 +69 +00 +4c +00 +69 +00 +47 +00 +61 +00 +3c +00 +5e +00 +43 +00 +62 +00 +6a +00 +98 +00 +70 +00 +71 +00 +5b +00 +72 +00 +67 +00 +af +00 +c6 +00 +ce +10 +8c +00 +a3 +00 +45 +00 +6a +00 +33 +00 +62 +00 +33 +00 +5b +00 +39 +00 +7a +00 +64 +00 +95 +00 +ad +00 +86 +10 +e2 +00 +89 +10 +a9 +00 +16 +10 +84 +00 +a3 +00 +40 +00 +67 +00 +39 +00 +6a +00 +3b +00 +56 +00 +32 +00 +5a +00 +28 +00 +5a +00 +31 +00 +53 +00 +33 +00 +5f +00 +4f +00 +7c +00 +52 +00 +91 +00 +65 +00 +7e +00 +9e +00 +dc +00 +e7 +00 +26 +10 +12 +10 +ec +00 +01 +10 +e4 +00 +bb +00 +96 +00 +ad +00 +ea +00 +8d +00 +04 +10 +e5 +00 +aa +20 +6b +20 +fc +30 +46 +10 +39 +10 +8b +00 +8f +00 +52 +00 +83 +00 +55 +00 +70 +00 +4e +00 +6d +00 +4f +00 +76 +00 +59 +00 +85 +00 +96 +00 +f7 +00 +28 +10 +5a +20 +29 +20 +e4 +20 +ef +10 +62 +30 +32 +10 +72 +10 +f3 +10 +4c +20 +73 +10 +cd +10 +83 +10 +3b +20 +3c +10 +97 +10 +e9 +00 +0c +10 +c3 +00 +fc +10 +00 +10 +38 +10 +df +00 +53 +10 +10 +10 +58 +10 +0c +10 +58 +10 +f2 +00 +3a +10 +b6 +00 +dd +00 +79 +00 +b1 +00 +6a +00 +b0 +00 +a9 +00 +fa +00 +76 +10 +0d +40 +ab +20 +96 +20 +c5 +10 +eb +20 +21 +20 +20 +30 +38 +20 +1a +30 +68 +30 +e1 +50 +2d +50 +c7 +60 +ce +40 +41 +70 +6c +50 +18 +80 +cb +50 +d7 +80 +a9 +50 +1b +90 +be +40 +5c +60 +05 +30 +73 +30 +1c +20 +20 +20 +d4 +10 +af +20 +30 +20 +15 +30 +dd +10 +45 +20 +80 +10 +cf +10 +e2 +10 +8a +20 +60 +40 +de +70 +c7 +40 +53 +60 +43 +30 +5e +40 +36 +30 +4d +30 +69 +20 +4c +20 +36 +40 +fc +70 +f9 +40 +d7 +50 +81 +30 +94 +30 +fb +30 +02 +60 +bc +30 +c1 +40 +43 +20 +12 +30 +e5 +10 +0f +20 +a4 +10 +0e +30 +d4 +10 +a5 +20 +91 +10 +e8 +10 +17 +10 +54 +10 +d3 +00 +df +00 +e0 +00 +21 +30 +52 +10 +a6 +10 +0e +20 +11 +20 +15 +10 +22 +10 +37 +10 +2e +20 +16 +10 +5c +10 +f1 +00 +4c +10 +44 +10 +67 +20 +0b +20 +d9 +40 +d7 +10 +45 +20 +17 +10 +53 +10 +a8 +00 +f7 +00 +71 +00 +90 +00 +58 +00 +7d +00 +42 +00 +75 +00 +4c +00 +8c +00 +46 +00 +86 +00 +4f +00 +66 +00 +36 +00 +56 +00 +3c +00 +65 +00 +30 +00 +4a +00 +28 +00 +4a +00 +2b +00 +5a +00 +2b +00 +4a +00 +2b +00 +43 +00 +26 +00 +48 +00 +22 +00 +40 +00 +1d +00 +41 +00 +20 +00 +45 +00 +2f +00 +55 +00 +80 +00 +e4 +10 +6a +00 +90 +00 +4c +00 +68 +00 +36 +00 +46 +00 +2b +00 +49 +00 +29 +00 +56 +00 +23 +00 +45 +00 +22 +00 +3a +00 +1d +00 +30 +00 +22 +00 +39 +00 +26 +00 +3f +00 +32 +00 +6d +00 +f5 +00 +f6 +00 +c9 +00 +8f +00 +77 +00 +73 +00 +44 +00 +60 +00 +46 +00 +5c +00 +64 +00 +af +00 +65 +00 +76 +00 +62 +00 +98 +00 +65 +00 +95 +00 +55 +00 +72 +00 +9d +00 +cb +10 +9b +00 +ed +00 +7b +00 +9e +00 +57 +00 +91 +00 +5b +00 +68 +00 +38 +00 +69 +00 +3e +00 +68 +00 +58 +00 +6f +00 +88 +00 +d3 +00 +e7 +00 +a5 +10 +4a +10 +9d +20 +dd +10 +44 +40 +ef +20 +8a +40 +18 +20 +8f +20 +1f +30 +ea +70 +58 +40 +70 +50 +25 +40 +3c +70 +91 +30 +eb +40 +f8 +30 +d9 +60 +3a +40 +5d +50 +fb +30 +08 +60 +9c +30 +17 +30 +44 +20 +1b +20 +e1 +10 +d0 +20 +b5 +20 +51 +50 +4e +30 +c1 +50 +e6 +40 +68 +90 +8c +40 +2b +40 +3c +30 +97 +20 +30 +30 +0b +60 +0b +30 +96 +60 +10 +40 +46 +40 +4e +20 +52 +30 +21 +40 +3b +90 +e4 +50 +fb +90 +00 +60 +c8 +80 +bc +40 +da +50 +51 +30 +1b +20 +2a +10 +06 +10 +ec +00 +1f +10 +e8 +10 +3f +20 +42 +10 +e5 +20 +b4 +10 +cc +30 +7e +20 +44 +40 +ac +10 +16 +20 +58 +10 +00 +00 +00 +00 +37 +00 +ad +00 +30 +00 +9c +00 +3e +00 +9c +00 +3d +00 +aa +00 +3d +00 +9c +00 +42 +00 +b0 +00 +63 +00 +e8 +00 +3a +00 +88 +00 +33 +00 +a4 +00 +43 +00 +c5 +00 +9c +00 +6b +20 +61 +10 +b1 +30 +ec +00 +d3 +10 +4b +00 +b7 +00 +40 +00 +8a +00 +28 +00 +78 +00 +27 +00 +78 +00 +2c +00 +7b +00 +2c +00 +7e +00 +32 +00 +fc +00 +54 +10 +3c +30 +5b +00 +c6 +00 +70 +00 +70 +20 +ba +00 +51 +20 +05 +10 +2b +40 +e5 +10 +bf +50 +57 +10 +32 +30 +b7 +00 +0a +20 +c0 +00 +70 +30 +f4 +10 +73 +60 +b8 +10 +ea +30 +ec +00 +6e +10 +3e +00 +c0 +00 +42 +00 +ab +00 +44 +00 +b9 +00 +3a +00 +9b +00 +32 +00 +9a +00 +3f +00 +61 +10 +3d +10 +5e +40 +1d +10 +2e +20 +5d +00 +bf +00 +2c +00 +83 +00 +2c +00 +72 +00 +3d +00 +f8 +00 +94 +00 +13 +10 +76 +00 +c6 +10 +0d +10 +32 +40 +37 +10 +2e +20 +97 +00 +d9 +20 +0f +10 +9f +20 +90 +00 +85 +10 +4f +00 +a6 +00 +2a +00 +73 +00 +22 +00 +66 +00 +21 +00 +6e +00 +25 +00 +70 +00 +25 +00 +6a +00 +1d +00 +67 +00 +27 +00 +53 +00 +21 +00 +56 +00 +23 +00 +5f +00 +24 +00 +72 +00 +26 +00 +6f +00 +46 +00 +25 +10 +4b +00 +c6 +00 +54 +00 +c3 +00 +2e +00 +6d +00 +28 +00 +63 +00 +25 +00 +5f +00 +22 +00 +61 +00 +26 +00 +81 +00 +32 +00 +b9 +00 +53 +00 +f6 +00 +4d +00 +b4 +00 +34 +00 +92 +00 +4a +00 +a9 +00 +2f +00 +66 +00 +2b +00 +5f +00 +25 +00 +58 +00 +23 +00 +4e +00 +23 +00 +5b +00 +1f +00 +56 +00 +25 +00 +56 +00 +28 +00 +8e +00 +34 +00 +86 +00 +36 +00 +a9 +00 +73 +00 +5e +20 +b9 +00 +be +10 +61 +10 +66 +30 +78 +00 +ee +00 +3a +00 +a5 +00 +3e +00 +e0 +00 +5b +00 +f8 +00 +c5 +00 +4b +40 +6a +10 +48 +20 +4d +00 +a7 +00 +34 +00 +94 +00 +2d +00 +85 +00 +27 +00 +70 +00 +24 +00 +6d +00 +26 +00 +7d +00 +32 +00 +a6 +00 +4c +00 +43 +10 +e3 +00 +8d +30 +e4 +00 +24 +20 +a1 +00 +a8 +10 +de +00 +24 +40 +f1 +00 +55 +20 +a4 +00 +ab +10 +7b +00 +a6 +10 +6b +00 +08 +10 +53 +00 +ef +00 +80 +00 +8f +10 +76 +00 +3e +10 +58 +00 +25 +10 +5a +00 +14 +10 +5b +00 +12 +10 +5b +00 +d3 +00 +43 +00 +b2 +00 +40 +00 +a1 +00 +48 +00 +d2 +00 +a6 +00 +21 +20 +83 +10 +0a +50 +3b +10 +6e +20 +b8 +00 +8c +20 +11 +10 +dd +20 +23 +10 +92 +50 +0e +30 +97 +80 +5b +30 +45 +90 +5e +30 +15 +90 +5b +30 +8b +80 +3a +30 +85 +80 +84 +20 +eb +50 +93 +10 +f5 +30 +ef +00 +62 +20 +88 +00 +07 +20 +e4 +00 +35 +20 +aa +00 +fc +10 +81 +00 +82 +10 +84 +00 +01 +20 +da +10 +f5 +60 +bb +20 +49 +60 +59 +10 +a8 +30 +03 +10 +97 +40 +f6 +00 +5c +20 +67 +10 +e3 +60 +65 +30 +36 +90 +01 +30 +77 +60 +99 +20 +a2 +60 +35 +20 +b4 +50 +24 +10 +9d +20 +91 +00 +ad +10 +71 +00 +89 +10 +8d +00 +99 +10 +9b +00 +ab +10 +ab +00 +c7 +10 +57 +00 +cd +00 +45 +00 +ba +00 +6b +00 +50 +10 +59 +10 +3f +50 +e2 +00 +72 +10 +50 +00 +05 +10 +68 +00 +29 +10 +5a +00 +fd +00 +48 +00 +2c +10 +86 +00 +d9 +20 +e2 +00 +18 +20 +79 +00 +1b +10 +55 +00 +c7 +00 +3c +00 +8d +00 +38 +00 +c6 +00 +31 +00 +6c +00 +26 +00 +6d +00 +27 +00 +80 +00 +21 +00 +60 +00 +1f +00 +57 +00 +23 +00 +56 +00 +25 +00 +50 +00 +18 +00 +3e +00 +18 +00 +4c +00 +20 +00 +54 +00 +16 +00 +44 +00 +20 +00 +5c +00 +1f +00 +45 +00 +1f +00 +41 +00 +1b +00 +40 +00 +1d +00 +4a +00 +22 +00 +7d +00 +53 +00 +ca +00 +31 +00 +7b +00 +43 +00 +6b +00 +1a +00 +3c +00 +21 +00 +4b +00 +22 +00 +49 +00 +14 +00 +3f +00 +1b +00 +36 +00 +0f +00 +38 +00 +16 +00 +39 +00 +17 +00 +3f +00 +33 +00 +7e +20 +4b +10 +cb +10 +5b +00 +ba +00 +24 +00 +68 +00 +2a +00 +63 +00 +28 +00 +6e +00 +5b +00 +e5 +00 +30 +00 +80 +00 +2e +00 +92 +00 +31 +00 +72 +00 +25 +00 +9d +00 +3e +00 +c1 +00 +3d +00 +9d +00 +29 +00 +6c +00 +38 +00 +a5 +00 +27 +00 +61 +00 +2c +00 +61 +00 +30 +00 +7b +00 +3a +00 +ba +00 +40 +00 +f2 +00 +66 +00 +74 +10 +7d +00 +d5 +10 +c0 +00 +a4 +40 +2d +10 +45 +20 +9d +00 +c8 +30 +56 +30 +1e +80 +67 +10 +fd +30 +80 +10 +c8 +30 +40 +20 +c0 +60 +90 +10 +ac +30 +fc +00 +6c +40 +e3 +20 +2c +60 +be +00 +ea +10 +76 +00 +a0 +10 +a9 +00 +21 +20 +e4 +00 +ae +20 +00 +10 +f9 +40 +1b +30 +e4 +70 +72 +10 +cb +50 +23 +20 +68 +50 +c0 +10 +5b +40 +28 +30 +66 +80 +82 +20 +5e +30 +5b +10 +51 +70 +e0 +30 +c9 +a0 +22 +40 +bc +a0 +02 +40 +a0 +90 +35 +30 +96 +50 +9e +00 +23 +10 +41 +00 +c6 +00 +22 +10 +ed +30 +bf +00 +80 +10 +7d +00 +be +10 +fc +00 +08 +40 +81 +10 +95 +20 +92 +00 +a9 +10 +00 +00 +00 +00 +9f +00 +6e +00 +a6 +00 +72 +00 +b4 +00 +76 +00 +9c +00 +73 +00 +98 +00 +68 +00 +ad +00 +ae +00 +6c +10 +12 +10 +f7 +00 +75 +00 +8b +00 +52 +00 +8f +00 +ad +00 +f1 +00 +0c +20 +a3 +30 +ad +20 +ca +30 +0e +10 +de +00 +6a +00 +94 +00 +58 +00 +82 +00 +4b +00 +73 +00 +44 +00 +88 +00 +53 +00 +81 +00 +50 +00 +76 +00 +99 +00 +bf +10 +c6 +00 +69 +10 +f0 +00 +df +10 +89 +10 +f0 +10 +6b +10 +d8 +10 +ae +10 +7e +20 +e6 +10 +bc +20 +aa +10 +e2 +10 +8a +10 +b8 +10 +59 +30 +25 +60 +b7 +30 +88 +40 +ab +10 +88 +10 +c2 +00 +c1 +00 +8f +00 +b7 +00 +8a +00 +bd +00 +6a +00 +ba +00 +68 +00 +8f +00 +8d +00 +cf +00 +02 +20 +e8 +30 +1d +20 +91 +20 +2a +10 +13 +10 +75 +00 +9b +00 +42 +00 +72 +00 +4f +00 +8e +00 +e5 +00 +45 +30 +0f +10 +08 +10 +30 +10 +d8 +10 +37 +20 +9a +40 +c7 +20 +94 +30 +c7 +10 +4f +20 +27 +10 +68 +10 +dc +00 +c6 +00 +66 +00 +75 +00 +4e +00 +63 +00 +37 +00 +66 +00 +3e +00 +67 +00 +3d +00 +5e +00 +40 +00 +59 +00 +34 +00 +59 +00 +3d +00 +5b +00 +5a +00 +6f +00 +62 +00 +87 +00 +47 +00 +66 +00 +54 +00 +9f +00 +71 +00 +dc +00 +67 +00 +88 +00 +4c +00 +73 +00 +35 +00 +59 +00 +33 +00 +59 +00 +38 +00 +59 +00 +42 +00 +67 +00 +4b +00 +77 +00 +79 +00 +c1 +00 +82 +00 +c1 +00 +6e +00 +7f +00 +4b +00 +7c +00 +3e +00 +6d +00 +3b +00 +6d +00 +35 +00 +58 +00 +2d +00 +58 +00 +2b +00 +53 +00 +32 +00 +56 +00 +41 +00 +60 +00 +47 +00 +7c +00 +68 +00 +8d +00 +71 +00 +87 +00 +e3 +00 +ce +10 +cc +10 +4b +20 +8a +20 +a4 +50 +30 +30 +f9 +30 +b7 +10 +f4 +00 +f0 +00 +a6 +00 +a8 +00 +cb +00 +b4 +00 +50 +10 +2e +10 +1c +30 +26 +10 +53 +10 +8b +00 +82 +00 +4c +00 +92 +00 +57 +00 +7b +00 +47 +00 +5d +00 +42 +00 +74 +00 +63 +00 +84 +00 +8c +00 +d0 +00 +d0 +00 +64 +10 +01 +10 +ee +10 +46 +10 +6b +10 +ac +10 +de +30 +46 +30 +3b +40 +a9 +20 +5a +30 +3d +20 +53 +20 +56 +10 +ab +10 +d1 +00 +d7 +00 +e2 +00 +13 +20 +4c +20 +5e +20 +f2 +00 +04 +10 +ce +00 +08 +10 +c0 +00 +e7 +00 +93 +00 +25 +10 +90 +00 +ae +00 +74 +00 +b2 +00 +99 +00 +d3 +00 +84 +10 +80 +20 +94 +10 +6b +20 +9f +10 +8a +20 +e4 +10 +ca +20 +7e +20 +91 +30 +0f +30 +06 +50 +0f +50 +92 +80 +10 +60 +c1 +90 +6e +60 +2a +a0 +13 +60 +2a +90 +1b +40 +82 +50 +d1 +20 +1d +40 +d8 +20 +d3 +40 +73 +40 +73 +50 +e2 +20 +2a +30 +5a +20 +23 +40 +a3 +10 +cd +10 +33 +10 +51 +10 +ef +00 +2a +10 +0d +20 +c7 +50 +25 +40 +29 +60 +9c +20 +33 +30 +df +20 +95 +30 +38 +40 +7f +50 +67 +30 +4e +50 +27 +50 +02 +90 +4d +50 +82 +80 +8a +40 +93 +60 +3a +30 +98 +30 +11 +20 +96 +20 +93 +10 +b2 +10 +30 +10 +29 +10 +f7 +00 +2f +10 +38 +10 +ed +20 +30 +10 +39 +10 +cf +00 +cb +00 +89 +00 +ab +00 +92 +00 +c5 +00 +ac +00 +bb +20 +47 +10 +0b +20 +d4 +00 +f9 +00 +a2 +00 +e2 +00 +9b +00 +cb +00 +c6 +00 +bb +00 +e8 +00 +30 +10 +fa +00 +8f +10 +dd +00 +3f +10 +e8 +00 +d3 +00 +78 +00 +a9 +00 +5c +00 +9d +00 +83 +00 +82 +00 +55 +00 +62 +00 +40 +00 +63 +00 +3b +00 +51 +00 +37 +00 +4f +00 +2e +00 +5e +00 +2d +00 +59 +00 +2a +00 +3c +00 +25 +00 +45 +00 +26 +00 +54 +00 +30 +00 +57 +00 +2c +00 +51 +00 +27 +00 +54 +00 +22 +00 +47 +00 +23 +00 +47 +00 +27 +00 +46 +00 +34 +00 +45 +00 +3c +00 +79 +00 +4b +00 +82 +00 +45 +00 +6c +00 +2f +00 +51 +00 +2b +00 +44 +00 +27 +00 +54 +00 +2c +00 +40 +00 +20 +00 +30 +00 +1d +00 +32 +00 +1e +00 +32 +00 +25 +00 +3e +00 +3f +00 +82 +00 +76 +10 +f2 +50 +5b +30 +0f +40 +35 +10 +98 +00 +64 +00 +6b +00 +3c +00 +62 +00 +5d +00 +f7 +00 +62 +00 +89 +00 +47 +00 +66 +00 +4d +00 +76 +00 +3e +00 +61 +00 +51 +00 +79 +00 +53 +00 +83 +00 +55 +00 +69 +00 +42 +00 +62 +00 +3b +00 +60 +00 +42 +00 +65 +00 +40 +00 +88 +00 +e4 +00 +fd +10 +46 +20 +71 +20 +21 +10 +52 +10 +36 +10 +33 +10 +1b +10 +64 +10 +2b +10 +db +10 +51 +10 +b3 +10 +66 +20 +4c +50 +74 +20 +33 +30 +00 +20 +24 +20 +23 +20 +ba +30 +a8 +30 +84 +40 +7c +20 +9e +20 +de +30 +d9 +70 +70 +30 +fe +20 +f1 +10 +5e +10 +6a +10 +5b +10 +79 +10 +9c +10 +d8 +10 +4b +20 +6b +30 +8a +80 +b2 +50 +6c +60 +2e +30 +d5 +30 +eb +10 +4c +20 +23 +20 +60 +40 +38 +30 +69 +60 +a1 +40 +47 +60 +7f +40 +dd +90 +6d +60 +49 +b0 +6d +60 +7a +a0 +20 +50 +83 +70 +64 +20 +f5 +10 +11 +10 +b1 +00 +37 +10 +aa +30 +7e +10 +cb +10 +0d +10 +1d +10 +1d +10 +81 +10 +67 +10 +ea +10 +67 +10 +5c +10 +08 +10 +00 +00 +00 +00 +41 +00 +a4 +00 +37 +00 +b3 +00 +36 +00 +ac +00 +3d +00 +9e +00 +3f +00 +a0 +00 +3f +00 +c9 +00 +80 +00 +54 +20 +7d +00 +cb +00 +2e +00 +85 +00 +32 +00 +8e +00 +76 +00 +52 +30 +ce +10 +5e +50 +ff +00 +b0 +10 +4d +00 +a3 +00 +32 +00 +90 +00 +2f +00 +6f +00 +28 +00 +7c +00 +2d +00 +76 +00 +31 +00 +81 +00 +2b +00 +97 +00 +55 +00 +f3 +00 +4a +00 +e1 +00 +02 +10 +93 +20 +82 +00 +45 +10 +7a +00 +90 +10 +82 +00 +ad +10 +99 +00 +18 +20 +6d +00 +28 +10 +e4 +00 +d7 +40 +7c +20 +86 +60 +28 +10 +22 +20 +62 +00 +d8 +00 +4f +00 +cb +00 +55 +00 +cb +00 +3d +00 +b9 +00 +44 +00 +93 +00 +3e +00 +c1 +00 +86 +00 +48 +30 +1f +20 +0c +50 +a9 +00 +69 +10 +45 +00 +a7 +00 +34 +00 +72 +00 +26 +00 +70 +00 +2a +00 +b0 +00 +8f +00 +73 +10 +5a +00 +26 +10 +e7 +00 +8f +40 +f5 +10 +32 +40 +ab +10 +63 +30 +87 +00 +5e +10 +4b +00 +c5 +00 +31 +00 +7c +00 +1a +00 +67 +00 +28 +00 +62 +00 +22 +00 +60 +00 +2b +00 +5d +00 +1c +00 +64 +00 +1d +00 +55 +00 +22 +00 +59 +00 +29 +00 +c0 +00 +50 +00 +92 +00 +37 +00 +71 +00 +22 +00 +73 +00 +2b +00 +80 +00 +2c +00 +9d +00 +27 +00 +75 +00 +25 +00 +5c +00 +22 +00 +57 +00 +1d +00 +52 +00 +1b +00 +6c +00 +26 +00 +5d +00 +22 +00 +75 +00 +30 +00 +8f +00 +27 +00 +7c +00 +2a +00 +6d +00 +1c +00 +5e +00 +1a +00 +61 +00 +23 +00 +51 +00 +1e +00 +52 +00 +20 +00 +4f +00 +1a +00 +50 +00 +1d +00 +56 +00 +23 +00 +61 +00 +32 +00 +94 +00 +5f +00 +c6 +00 +4f +00 +81 +10 +ee +00 +54 +20 +cd +00 +f9 +30 +78 +20 +a7 +60 +bb +10 +5a +40 +fa +00 +59 +10 +36 +00 +a8 +00 +41 +00 +e9 +00 +5d +00 +44 +10 +98 +00 +76 +10 +4a +00 +9f +00 +25 +00 +90 +00 +3b +00 +97 +00 +2b +00 +6d +00 +22 +00 +6a +00 +29 +00 +ad +00 +59 +00 +e3 +00 +52 +00 +e5 +00 +61 +00 +36 +10 +6e +00 +b2 +10 +e2 +00 +71 +20 +75 +10 +0d +50 +a2 +10 +f8 +30 +2d +20 +ef +40 +cb +10 +b2 +20 +74 +00 +1f +10 +43 +00 +ef +00 +5e +10 +7f +40 +d2 +00 +8d +10 +4d +00 +00 +10 +60 +00 +e8 +00 +54 +00 +b6 +00 +62 +00 +ec +00 +45 +00 +b5 +00 +41 +00 +eb +00 +14 +10 +3b +40 +b9 +10 +98 +20 +c4 +00 +c3 +20 +ff +00 +f6 +20 +58 +10 +1b +30 +67 +10 +d3 +50 +e9 +20 +a1 +80 +7c +30 +07 +a0 +b8 +30 +16 +a0 +5f +30 +a4 +80 +2d +20 +bf +40 +25 +10 +de +20 +de +00 +f4 +20 +69 +20 +91 +70 +8b +20 +93 +60 +d8 +10 +80 +30 +70 +10 +2f +30 +94 +00 +57 +10 +67 +00 +18 +10 +6c +00 +cb +10 +58 +20 +cd +60 +86 +10 +37 +30 +d6 +00 +df +20 +5b +20 +66 +70 +e3 +20 +3d +60 +f3 +20 +99 +80 +98 +30 +57 +90 +31 +20 +f7 +50 +b7 +10 +89 +40 +00 +10 +52 +20 +9d +00 +1c +20 +71 +00 +13 +10 +4c +00 +db +00 +4f +00 +7b +10 +f2 +00 +c3 +10 +5f +00 +d7 +00 +4d +00 +a6 +00 +31 +00 +93 +00 +42 +00 +d2 +00 +65 +00 +4a +10 +7e +00 +1b +10 +41 +00 +b1 +00 +40 +00 +b4 +00 +3a +00 +dd +00 +50 +00 +c9 +00 +41 +00 +01 +10 +45 +00 +ec +00 +3e +00 +d2 +00 +44 +00 +84 +00 +31 +00 +8d +00 +86 +00 +c6 +10 +4b +00 +7c +00 +20 +00 +5a +00 +23 +00 +57 +00 +17 +00 +52 +00 +1b +00 +49 +00 +1f +00 +52 +00 +1b +00 +49 +00 +1d +00 +46 +00 +16 +00 +4b +00 +1d +00 +4a +00 +1f +00 +58 +00 +26 +00 +58 +00 +20 +00 +4b +00 +19 +00 +42 +00 +19 +00 +3a +00 +1e +00 +4d +00 +1f +00 +60 +00 +2a +00 +74 +00 +21 +00 +5e +00 +20 +00 +58 +00 +1d +00 +4b +00 +1d +00 +4b +00 +1e +00 +4d +00 +1e +00 +39 +00 +13 +00 +2b +00 +14 +00 +2b +00 +12 +00 +3f +00 +17 +00 +45 +00 +35 +00 +d1 +10 +59 +20 +6c +70 +e1 +10 +33 +20 +32 +00 +7b +00 +2a +00 +5e +00 +27 +00 +8b +00 +56 +00 +ad +00 +25 +00 +64 +00 +26 +00 +62 +00 +28 +00 +62 +00 +26 +00 +61 +00 +26 +00 +7c +00 +21 +00 +72 +00 +27 +00 +67 +00 +28 +00 +6b +00 +2b +00 +73 +00 +2a +00 +6c +00 +39 +00 +22 +10 +4e +20 +a4 +60 +56 +10 +8c +10 +6f +00 +2c +10 +4a +00 +f7 +00 +54 +00 +2b +10 +58 +00 +1d +10 +6b +00 +4c +30 +37 +10 +9a +20 +93 +00 +d9 +10 +71 +00 +96 +10 +e5 +00 +ce +30 +f5 +00 +65 +20 +e9 +00 +a8 +40 +3b +20 +3f +40 +ce +00 +d5 +10 +08 +10 +c9 +10 +6a +00 +52 +10 +79 +00 +57 +20 +ee +00 +1a +40 +75 +30 +f5 +90 +86 +20 +e3 +30 +de +00 +10 +20 +c0 +00 +7d +30 +8b +10 +a8 +40 +70 +30 +ed +80 +eb +10 +b1 +40 +51 +30 +cd +90 +ae +30 +6f +90 +24 +30 +ba +70 +aa +10 +c5 +20 +6d +00 +ed +00 +6e +00 +be +20 +c2 +00 +95 +10 +77 +00 +12 +10 +50 +00 +ef +00 +f1 +00 +3c +30 +7b +00 +8f +10 +ad +00 +a7 +10 +00 +00 +00 +00 +a6 +00 +67 +00 +a9 +00 +7c +00 +a7 +00 +6e +00 +a0 +00 +66 +00 +a5 +00 +75 +00 +b0 +00 +c7 +00 +d1 +10 +68 +10 +b7 +20 +b1 +00 +ad +00 +66 +00 +96 +00 +c7 +00 +50 +10 +56 +20 +2f +50 +25 +20 +4c +20 +e0 +00 +b5 +00 +66 +00 +8d +00 +4b +00 +72 +00 +3f +00 +7a +00 +48 +00 +78 +00 +3d +00 +7e +00 +49 +00 +7b +00 +68 +00 +c3 +00 +77 +00 +a1 +00 +a9 +00 +9b +10 +fb +00 +8f +10 +f9 +00 +00 +10 +09 +10 +33 +10 +13 +10 +62 +10 +f5 +00 +18 +10 +9f +10 +33 +30 +64 +30 +23 +60 +28 +30 +fb +30 +55 +10 +2a +10 +ff +00 +76 +10 +8e +10 +84 +10 +03 +10 +de +00 +83 +00 +a5 +00 +93 +00 +d6 +00 +97 +10 +a6 +20 +2a +30 +a6 +50 +64 +20 +8b +20 +fe +00 +cd +00 +63 +00 +84 +00 +4a +00 +69 +00 +52 +00 +77 +00 +5d +00 +c3 +00 +92 +00 +e3 +00 +e5 +00 +d9 +10 +cf +10 +91 +30 +7e +10 +35 +20 +01 +10 +97 +10 +c8 +00 +b5 +00 +74 +00 +8d +00 +4b +00 +6c +00 +3a +00 +6c +00 +3b +00 +5a +00 +3e +00 +58 +00 +36 +00 +53 +00 +32 +00 +54 +00 +33 +00 +66 +00 +3a +00 +56 +00 +5c +00 +8e +00 +90 +00 +90 +00 +7e +00 +6f +00 +4d +00 +79 +00 +4c +00 +89 +00 +48 +00 +83 +00 +41 +00 +67 +00 +2f +00 +4b +00 +37 +00 +68 +00 +36 +00 +57 +00 +2f +00 +72 +00 +38 +00 +5a +00 +4e +00 +6f +00 +46 +00 +68 +00 +4e +00 +58 +00 +3b +00 +51 +00 +38 +00 +54 +00 +39 +00 +5f +00 +38 +00 +50 +00 +2f +00 +58 +00 +33 +00 +59 +00 +32 +00 +4d +00 +2f +00 +5f +00 +41 +00 +6c +00 +4a +00 +84 +00 +98 +00 +64 +10 +fd +10 +66 +30 +1c +10 +3a +10 +49 +10 +11 +30 +c2 +20 +4c +70 +5b +40 +8f +60 +55 +20 +6a +10 +f2 +00 +b5 +00 +55 +10 +98 +10 +d8 +00 +1e +10 +a9 +00 +bf +00 +79 +00 +8e +00 +ba +00 +62 +10 +95 +00 +ab +00 +60 +00 +67 +00 +45 +00 +71 +00 +9c +00 +9e +20 +2c +10 +23 +10 +b1 +00 +ee +00 +c8 +00 +ce +10 +d9 +10 +21 +40 +9e +20 +63 +40 +c3 +20 +41 +30 +a1 +20 +b2 +50 +d4 +30 +01 +70 +68 +20 +07 +20 +ca +00 +be +00 +d4 +00 +e6 +10 +21 +10 +aa +10 +d9 +00 +07 +10 +d0 +00 +f6 +00 +7b +00 +ad +00 +77 +00 +be +00 +a4 +00 +76 +10 +99 +00 +b7 +00 +e0 +00 +8f +20 +20 +20 +d5 +40 +ab +10 +3b +20 +e4 +10 +3a +30 +8c +20 +c5 +30 +fd +20 +06 +50 +76 +40 +54 +80 +19 +50 +a6 +80 +52 +50 +74 +80 +83 +50 +e9 +70 +59 +50 +c3 +60 +09 +30 +e8 +20 +fc +10 +11 +20 +e7 +20 +5f +60 +ce +30 +52 +50 +68 +20 +3a +30 +95 +10 +4e +20 +cf +10 +64 +20 +20 +10 +2b +10 +de +00 +67 +10 +91 +20 +e8 +50 +d0 +20 +b1 +30 +b6 +20 +bc +20 +5d +30 +81 +60 +fe +30 +35 +70 +2d +30 +46 +60 +21 +40 +39 +80 +ba +40 +c8 +70 +61 +40 +46 +50 +d1 +20 +ce +20 +c8 +10 +e1 +20 +5b +20 +22 +20 +12 +10 +e8 +00 +ab +00 +c1 +00 +b8 +00 +12 +10 +9b +00 +f7 +00 +a1 +00 +c4 +00 +81 +00 +90 +00 +62 +00 +a5 +00 +84 +00 +c6 +00 +88 +00 +ff +00 +93 +00 +ba +00 +7f +00 +92 +00 +ab +00 +32 +10 +1d +20 +ad +20 +08 +10 +be +00 +ad +00 +b4 +00 +88 +00 +89 +00 +65 +00 +8d +00 +4b +00 +85 +00 +90 +00 +da +10 +0d +10 +94 +10 +6a +00 +6d +00 +3d +00 +56 +00 +2d +00 +55 +00 +2c +00 +52 +00 +24 +00 +55 +00 +2c +00 +47 +00 +27 +00 +43 +00 +28 +00 +41 +00 +2b +00 +49 +00 +35 +00 +50 +00 +46 +00 +52 +00 +33 +00 +53 +00 +2b +00 +47 +00 +26 +00 +39 +00 +26 +00 +3e +00 +27 +00 +51 +00 +2d +00 +5d +00 +3f +00 +61 +00 +31 +00 +4a +00 +2c +00 +43 +00 +31 +00 +48 +00 +26 +00 +59 +00 +2c +00 +48 +00 +20 +00 +33 +00 +1d +00 +37 +00 +24 +00 +33 +00 +22 +00 +43 +00 +45 +00 +7e +00 +9e +10 +f3 +40 +6e +20 +63 +30 +50 +10 +f4 +00 +91 +00 +6e +00 +4b +00 +66 +00 +3e +00 +6b +00 +4e +00 +83 +00 +41 +00 +56 +00 +3a +00 +5a +00 +34 +00 +51 +00 +33 +00 +5a +00 +39 +00 +66 +00 +3d +00 +66 +00 +68 +00 +71 +00 +43 +00 +6b +00 +40 +00 +72 +00 +49 +00 +75 +00 +a5 +00 +7a +20 +68 +10 +84 +20 +ea +00 +fc +00 +b0 +00 +b3 +00 +a3 +00 +c7 +00 +c6 +00 +ef +00 +d4 +00 +04 +10 +3b +10 +8f +20 +5f +10 +a5 +10 +3c +10 +23 +10 +59 +10 +98 +10 +e9 +10 +b3 +20 +b8 +30 +62 +50 +ee +40 +9c +60 +be +30 +79 +30 +b4 +30 +1c +40 +e9 +20 +d0 +10 +e9 +10 +b8 +10 +76 +30 +57 +70 +7c +50 +65 +90 +c7 +50 +84 +70 +e6 +30 +e8 +20 +09 +30 +11 +40 +7e +30 +1c +60 +aa +20 +c6 +50 +23 +30 +f4 +30 +2b +30 +12 +60 +ca +50 +91 +a0 +51 +60 +6d +90 +ea +30 +3b +40 +b1 +10 +4f +10 +23 +10 +1d +10 +60 +10 +fe +10 +0e +10 +34 +10 +e3 +00 +a1 +00 +de +00 +ae +20 +f7 +10 +70 +20 +b4 +10 +c1 +30 +2d +10 +00 +00 +00 +00 +3d +00 +ab +00 +44 +00 +af +00 +39 +00 +a8 +00 +3f +00 +b2 +00 +3c +00 +ab +00 +36 +00 +e0 +00 +b9 +00 +0b +20 +7e +00 +07 +10 +39 +00 +a0 +00 +2b +00 +ab +00 +cf +00 +f2 +20 +ea +00 +56 +20 +6c +00 +ff +00 +37 +00 +91 +00 +2d +00 +85 +00 +31 +00 +67 +00 +2a +00 +6e +00 +29 +00 +69 +00 +27 +00 +7c +00 +25 +00 +80 +00 +47 +00 +b1 +00 +3d +00 +a4 +00 +59 +00 +2a +10 +5e +00 +c4 +00 +4a +00 +f4 +00 +52 +00 +0b +10 +5d +00 +f2 +00 +63 +00 +0f +20 +84 +10 +d4 +40 +c0 +10 +a3 +40 +e5 +00 +a9 +10 +59 +00 +fe +00 +54 +10 +9f +40 +3e +10 +77 +20 +61 +00 +c7 +00 +40 +00 +d2 +00 +ec +00 +8c +30 +ee +10 +66 +50 +81 +10 +74 +30 +7b +00 +20 +10 +40 +00 +9d +00 +31 +00 +82 +00 +30 +00 +7d +00 +34 +00 +85 +00 +44 +00 +2d +10 +52 +00 +c1 +00 +7e +00 +a5 +10 +8e +00 +7c +10 +74 +00 +13 +10 +4a +00 +c2 +00 +34 +00 +76 +00 +2a +00 +73 +00 +23 +00 +58 +00 +2a +00 +66 +00 +20 +00 +66 +00 +21 +00 +57 +00 +1f +00 +5e +00 +21 +00 +5d +00 +25 +00 +66 +00 +2e +00 +7f +00 +59 +00 +f3 +00 +38 +00 +9e +00 +27 +00 +76 +00 +2e +00 +71 +00 +28 +00 +70 +00 +24 +00 +65 +00 +21 +00 +57 +00 +23 +00 +5e +00 +2a +00 +63 +00 +1f +00 +63 +00 +20 +00 +61 +00 +21 +00 +59 +00 +20 +00 +4d +00 +1f +00 +54 +00 +22 +00 +50 +00 +24 +00 +69 +00 +25 +00 +5f +00 +1d +00 +5d +00 +1c +00 +58 +00 +1f +00 +57 +00 +1d +00 +53 +00 +1b +00 +4d +00 +28 +00 +69 +00 +29 +00 +70 +00 +2f +00 +af +00 +33 +10 +70 +40 +ff +00 +d3 +10 +61 +00 +2e +10 +7f +00 +12 +20 +19 +20 +82 +70 +c3 +20 +a7 +50 +96 +00 +04 +10 +63 +00 +84 +20 +10 +10 +7e +10 +43 +00 +c0 +00 +37 +00 +a1 +00 +58 +00 +59 +10 +23 +10 +ef +10 +44 +00 +81 +00 +26 +00 +58 +00 +23 +00 +8e +00 +c2 +00 +ec +10 +70 +00 +f0 +00 +4e +00 +c6 +00 +c8 +00 +72 +30 +0d +10 +b3 +20 +c7 +10 +24 +60 +cb +10 +aa +30 +55 +10 +3c +40 +37 +20 +1f +40 +b3 +00 +1b +10 +46 +00 +ff +00 +8f +00 +19 +20 +8b +00 +26 +10 +5a +00 +a7 +10 +67 +00 +d6 +00 +40 +00 +a6 +00 +3c +00 +d8 +00 +78 +00 +57 +10 +66 +00 +21 +10 +cf +00 +f4 +10 +e3 +00 +7b +20 +f5 +00 +e8 +20 +7a +10 +7d +30 +00 +20 +69 +50 +1b +20 +c7 +50 +42 +20 +64 +60 +62 +20 +9a +60 +21 +30 +23 +90 +4b +30 +23 +90 +bf +10 +8b +30 +b9 +00 +f1 +10 +dd +00 +82 +40 +90 +20 +9a +50 +42 +10 +d0 +20 +b1 +00 +cd +10 +90 +00 +e9 +10 +aa +00 +7f +10 +63 +00 +f3 +00 +99 +00 +1c +30 +26 +20 +43 +40 +10 +10 +64 +30 +0b +20 +27 +60 +f3 +10 +b6 +40 +76 +10 +55 +30 +14 +10 +68 +30 +2b +20 +22 +80 +3c +30 +0e +70 +1c +20 +21 +40 +c0 +00 +ca +10 +f9 +00 +78 +30 +27 +10 +24 +20 +4e +00 +ba +00 +3d +00 +c7 +00 +4e +00 +d2 +00 +45 +00 +bf +00 +aa +00 +39 +10 +35 +00 +9a +00 +3b +00 +a4 +00 +36 +00 +ca +00 +40 +00 +ac +00 +2e +00 +8e +00 +2f +00 +af +00 +72 +10 +87 +50 +90 +10 +28 +20 +50 +00 +c3 +00 +32 +00 +81 +00 +2d +00 +6d +00 +2e +00 +7a +00 +30 +00 +bd +00 +e9 +00 +87 +10 +4e +00 +b0 +00 +2a +00 +68 +00 +24 +00 +5c +00 +29 +00 +6c +00 +22 +00 +5b +00 +1c +00 +4b +00 +1d +00 +40 +00 +1a +00 +4b +00 +20 +00 +48 +00 +1b +00 +66 +00 +7e +00 +fa +00 +23 +00 +58 +00 +24 +00 +4c +00 +1d +00 +42 +00 +1a +00 +34 +00 +1a +00 +46 +00 +1c +00 +58 +00 +1e +00 +56 +00 +1f +00 +4b +00 +1a +00 +3f +00 +21 +00 +4c +00 +1e +00 +49 +00 +20 +00 +4c +00 +16 +00 +39 +00 +15 +00 +34 +00 +10 +00 +38 +00 +16 +00 +34 +00 +1b +00 +4d +00 +3a +00 +d0 +10 +3e +20 +6b +40 +df +00 +b7 +10 +4b +00 +c3 +00 +2d +00 +75 +00 +2c +00 +79 +00 +27 +00 +6a +00 +25 +00 +57 +00 +22 +00 +5c +00 +25 +00 +54 +00 +25 +00 +54 +00 +26 +00 +68 +00 +22 +00 +60 +00 +2b +00 +0a +10 +7b +00 +9b +00 +2b +00 +6f +00 +27 +00 +66 +00 +30 +00 +bb +00 +7f +00 +76 +10 +87 +00 +32 +10 +4a +00 +b3 +00 +41 +00 +ab +00 +3e +00 +10 +10 +58 +00 +d1 +00 +45 +00 +24 +10 +65 +00 +61 +10 +62 +00 +12 +10 +55 +00 +27 +10 +90 +00 +15 +20 +33 +20 +e2 +70 +67 +30 +47 +90 +4a +30 +79 +80 +d9 +20 +4e +80 +18 +30 +fe +60 +d3 +10 +f1 +30 +17 +10 +df +40 +07 +30 +41 +90 +cf +30 +51 +a0 +8c +30 +68 +70 +35 +10 +12 +40 +ad +10 +ee +40 +71 +10 +1e +30 +fb +00 +ff +20 +ce +00 +cf +20 +b2 +20 +67 +90 +89 +30 +87 +90 +0f +20 +db +30 +a6 +00 +96 +10 +4b +00 +ff +00 +11 +10 +92 +20 +7f +10 +07 +20 +53 +00 +c6 +00 +40 +00 +d4 +00 +ce +00 +ab +30 +ea +00 +02 +20 +99 +00 +5a +10 +00 +00 +00 +00 +92 +00 +72 +00 +aa +00 +70 +00 +ae +00 +6c +00 +9e +00 +70 +00 +ac +00 +74 +00 +a1 +00 +97 +00 +fb +00 +9e +00 +00 +10 +a2 +00 +d5 +00 +ac +00 +c7 +00 +9b +00 +06 +10 +ff +00 +99 +10 +f2 +00 +0a +10 +90 +00 +9d +00 +5d +00 +87 +00 +4b +00 +7b +00 +49 +00 +66 +00 +50 +00 +74 +00 +46 +00 +68 +00 +4a +00 +69 +00 +59 +00 +92 +00 +5d +00 +82 +00 +74 +00 +bb +00 +9e +00 +cc +00 +c9 +00 +c3 +00 +4e +10 +4e +10 +03 +10 +f9 +00 +ba +00 +ec +00 +e2 +00 +af +10 +6f +10 +3b +30 +a5 +10 +c2 +10 +e3 +00 +eb +00 +e9 +00 +38 +20 +bb +20 +f9 +40 +b1 +10 +4a +10 +ac +00 +c0 +00 +d5 +00 +a4 +20 +c1 +20 +5a +50 +a1 +30 +5e +50 +8c +20 +44 +20 +bb +00 +93 +00 +5b +00 +7f +00 +5a +00 +e4 +00 +63 +00 +8f +00 +4f +00 +a0 +00 +73 +00 +c7 +00 +90 +00 +cb +00 +af +00 +3d +10 +b6 +00 +10 +10 +a0 +00 +be +00 +75 +00 +75 +00 +47 +00 +6c +00 +45 +00 +67 +00 +3c +00 +67 +00 +3a +00 +5c +00 +3c +00 +50 +00 +3c +00 +5a +00 +32 +00 +59 +00 +35 +00 +65 +00 +35 +00 +74 +00 +eb +00 +4c +20 +96 +20 +c3 +20 +24 +10 +b3 +00 +6a +00 +78 +00 +4e +00 +77 +00 +40 +00 +4e +00 +3f +00 +5c +00 +3f +00 +5c +00 +39 +00 +67 +00 +37 +00 +63 +00 +39 +00 +55 +00 +30 +00 +56 +00 +38 +00 +4f +00 +36 +00 +52 +00 +3a +00 +58 +00 +39 +00 +4d +00 +30 +00 +50 +00 +5c +00 +7d +00 +45 +00 +67 +00 +2e +00 +4a +00 +31 +00 +54 +00 +30 +00 +44 +00 +38 +00 +63 +00 +34 +00 +64 +00 +45 +00 +6f +00 +89 +00 +b0 +10 +71 +10 +87 +20 +fe +00 +00 +10 +e7 +00 +35 +10 +62 +10 +e0 +20 +18 +40 +19 +80 +35 +40 +90 +30 +6c +10 +5a +10 +06 +10 +5c +20 +1f +10 +20 +10 +a4 +00 +a0 +00 +81 +00 +a9 +00 +e7 +00 +29 +30 +03 +10 +4f +10 +81 +00 +66 +00 +39 +00 +75 +00 +56 +00 +c2 +00 +6a +00 +04 +10 +85 +00 +c7 +00 +8a +00 +1b +10 +9d +10 +31 +20 +84 +10 +a7 +20 +6f +20 +d7 +50 +a1 +30 +54 +60 +ff +20 +3d +30 +69 +10 +b9 +10 +d8 +00 +c6 +00 +06 +10 +44 +20 +62 +10 +e7 +10 +d2 +00 +34 +10 +e5 +00 +ae +10 +9a +00 +b4 +00 +6d +00 +b7 +00 +6b +00 +ba +00 +df +00 +7f +10 +c3 +00 +41 +10 +1b +10 +b4 +10 +50 +10 +6a +30 +c4 +10 +42 +20 +49 +20 +23 +40 +21 +30 +77 +40 +70 +40 +32 +70 +73 +50 +34 +80 +1f +50 +8d +80 +a3 +60 +18 +a0 +6c +50 +1a +50 +f4 +20 +2b +20 +d0 +20 +12 +40 +f3 +30 +bb +60 +6f +20 +11 +30 +b0 +10 +c4 +10 +1f +10 +79 +10 +20 +10 +32 +10 +d8 +00 +f1 +00 +cd +00 +27 +10 +11 +20 +57 +50 +ab +20 +9e +40 +2e +40 +23 +70 +65 +30 +f5 +40 +22 +20 +d6 +20 +05 +20 +4f +20 +4b +20 +19 +30 +05 +40 +27 +80 +e7 +40 +ea +50 +b6 +20 +37 +20 +9c +10 +0b +20 +b9 +10 +58 +30 +43 +10 +54 +10 +a4 +00 +b2 +00 +87 +00 +ba +00 +9b +00 +f1 +00 +d7 +00 +fd +00 +b9 +00 +d7 +00 +74 +00 +8d +00 +5d +00 +9c +00 +68 +00 +b0 +00 +68 +00 +84 +00 +57 +00 +79 +00 +c5 +00 +06 +30 +08 +20 +2c +40 +05 +20 +82 +20 +b2 +00 +94 +00 +7d +00 +86 +00 +60 +00 +78 +00 +58 +00 +b0 +00 +be +00 +78 +10 +70 +00 +c2 +00 +51 +00 +78 +00 +3a +00 +4d +00 +31 +00 +5a +00 +31 +00 +49 +00 +2c +00 +57 +00 +24 +00 +3e +00 +27 +00 +4c +00 +2e +00 +4a +00 +2c +00 +4c +00 +41 +00 +1c +10 +6b +00 +a3 +00 +38 +00 +4b +00 +27 +00 +4c +00 +22 +00 +3b +00 +25 +00 +4d +00 +26 +00 +4e +00 +2e +00 +58 +00 +2e +00 +56 +00 +28 +00 +3b +00 +27 +00 +4f +00 +29 +00 +52 +00 +29 +00 +48 +00 +27 +00 +48 +00 +22 +00 +37 +00 +1c +00 +35 +00 +1e +00 +34 +00 +27 +00 +43 +00 +80 +00 +ed +00 +94 +10 +b8 +20 +7e +10 +4f +20 +56 +10 +7d +20 +8e +10 +23 +10 +60 +00 +73 +00 +3e +00 +63 +00 +41 +00 +66 +00 +3c +00 +5a +00 +2b +00 +58 +00 +29 +00 +59 +00 +2b +00 +57 +00 +37 +00 +67 +00 +3c +00 +79 +00 +8d +00 +01 +20 +84 +00 +85 +00 +4f +00 +63 +00 +45 +00 +77 +00 +72 +00 +f7 +00 +97 +00 +1d +10 +b4 +00 +ca +00 +8c +00 +a0 +00 +8c +00 +11 +10 +e3 +00 +e1 +10 +f4 +00 +f0 +00 +9d +10 +44 +20 +e3 +10 +c0 +10 +5a +20 +40 +20 +50 +20 +59 +40 +b5 +30 +71 +40 +37 +40 +69 +90 +2a +50 +7c +90 +07 +60 +30 +a0 +7e +60 +06 +a0 +e6 +50 +4c +90 +be +50 +78 +80 +49 +50 +07 +70 +02 +50 +7b +70 +ce +40 +b0 +70 +0b +40 +83 +50 +df +20 +17 +30 +16 +20 +5d +20 +ad +10 +dc +10 +72 +10 +c2 +10 +0f +20 +6e +40 +e9 +20 +bb +40 +d7 +20 +dc +40 +15 +20 +b9 +10 +37 +10 +30 +10 +6f +20 +1f +50 +cb +20 +63 +60 +bf +20 +fa +10 +ea +00 +99 +00 +c3 +00 +c3 +10 +7c +10 +01 +20 +05 +10 +17 +10 +be +00 +00 +00 +00 +00 +3e +00 +a3 +00 +38 +00 +a6 +00 +44 +00 +9d +00 +3b +00 +a7 +00 +3c +00 +b4 +00 +3d +00 +af +00 +52 +00 +d4 +00 +42 +00 +ac +00 +ae +00 +6b +20 +4e +00 +a5 +00 +40 +00 +df +00 +63 +00 +26 +10 +3d +00 +a2 +00 +39 +00 +90 +00 +27 +00 +7e +00 +33 +00 +69 +00 +2f +00 +66 +00 +2d +00 +6b +00 +2f +00 +5d +00 +22 +00 +75 +00 +2b +00 +85 +00 +32 +00 +8c +00 +43 +00 +ca +00 +4b +00 +d0 +00 +76 +00 +8e +20 +bc +00 +9e +10 +98 +00 +2e +10 +49 +00 +dd +00 +5d +00 +8b +10 +87 +00 +97 +10 +61 +00 +ec +00 +41 +00 +cc +00 +e6 +00 +e3 +30 +d5 +10 +e8 +30 +7c +00 +fa +00 +3f +00 +dd +00 +a2 +00 +8b +20 +b0 +10 +7d +60 +3e +20 +11 +40 +85 +00 +fd +00 +2e +00 +8f +00 +33 +00 +8b +00 +5a +00 +ee +00 +34 +00 +8f +00 +2c +00 +91 +00 +3c +00 +9e +00 +36 +00 +d1 +00 +49 +00 +f7 +00 +3c +00 +bd +00 +36 +00 +79 +00 +2f +00 +72 +00 +25 +00 +6e +00 +1f +00 +63 +00 +27 +00 +5b +00 +25 +00 +5f +00 +1f +00 +61 +00 +22 +00 +5f +00 +20 +00 +6c +00 +27 +00 +64 +00 +3b +00 +16 +10 +bc +10 +07 +60 +10 +20 +71 +30 +55 +00 +99 +00 +2e +00 +6e +00 +2c +00 +64 +00 +1f +00 +59 +00 +21 +00 +5d +00 +21 +00 +4c +00 +24 +00 +60 +00 +25 +00 +63 +00 +1f +00 +5a +00 +1b +00 +5d +00 +26 +00 +55 +00 +25 +00 +5e +00 +21 +00 +57 +00 +23 +00 +61 +00 +25 +00 +9d +00 +8d +00 +cb +00 +26 +00 +5e +00 +21 +00 +4b +00 +1c +00 +53 +00 +23 +00 +5d +00 +23 +00 +54 +00 +1e +00 +63 +00 +26 +00 +81 +00 +69 +00 +b6 +10 +83 +00 +5e +10 +4e +00 +c5 +00 +5b +00 +5a +10 +f0 +00 +96 +50 +31 +30 +23 +80 +a2 +10 +50 +20 +53 +00 +0c +10 +ac +00 +f5 +10 +60 +00 +c7 +00 +6f +00 +fc +00 +46 +00 +ee +00 +b3 +00 +82 +10 +57 +00 +aa +00 +27 +00 +70 +00 +2e +00 +7f +00 +3b +00 +aa +00 +44 +00 +bd +00 +42 +00 +a9 +00 +87 +00 +86 +30 +84 +10 +85 +20 +bb +00 +bb +20 +af +10 +3f +50 +6d +20 +c6 +50 +fa +00 +fd +10 +63 +00 +e3 +00 +65 +00 +17 +20 +dd +00 +6c +20 +7c +00 +2c +10 +67 +00 +29 +10 +63 +00 +00 +10 +45 +00 +a7 +00 +40 +00 +a7 +00 +53 +00 +4c +10 +88 +00 +48 +10 +81 +00 +02 +20 +9f +00 +bc +10 +bd +00 +fe +10 +f6 +00 +ff +30 +a5 +10 +e2 +40 +a9 +20 +30 +80 +3e +30 +90 +90 +89 +20 +be +60 +4a +30 +98 +90 +c6 +30 +be +80 +bc +10 +f3 +30 +2e +10 +d2 +40 +87 +20 +49 +70 +21 +20 +a4 +30 +d7 +00 +f8 +10 +7b +00 +6c +10 +aa +00 +7e +10 +6b +00 +04 +10 +5c +00 +f2 +00 +a4 +00 +32 +30 +2d +10 +6e +30 +7f +20 +ff +60 +3d +20 +44 +40 +ef +00 +9d +20 +e5 +00 +3c +20 +95 +00 +ea +10 +b0 +00 +14 +40 +15 +30 +52 +80 +d7 +10 +67 +30 +a1 +00 +d4 +10 +42 +10 +99 +30 +43 +10 +82 +20 +79 +00 +0e +10 +49 +00 +af +00 +48 +00 +b2 +00 +da +00 +c7 +20 +84 +00 +ea +10 +6d +00 +ad +00 +39 +00 +93 +00 +2d +00 +81 +00 +36 +00 +90 +00 +31 +00 +77 +00 +2e +00 +bd +00 +00 +10 +20 +30 +31 +10 +8b +20 +ad +00 +2b +10 +3e +00 +1d +10 +9d +00 +ba +00 +2e +00 +78 +00 +3a +00 +df +00 +4a +00 +d1 +00 +34 +00 +8c +00 +2c +00 +56 +00 +20 +00 +58 +00 +20 +00 +58 +00 +1a +00 +52 +00 +23 +00 +45 +00 +1f +00 +39 +00 +20 +00 +49 +00 +20 +00 +4f +00 +1f +00 +51 +00 +2f +00 +76 +00 +31 +00 +68 +00 +19 +00 +44 +00 +1f +00 +3f +00 +1b +00 +43 +00 +19 +00 +53 +00 +25 +00 +4f +00 +1c +00 +44 +00 +1d +00 +42 +00 +14 +00 +4b +00 +21 +00 +59 +00 +26 +00 +4d +00 +1c +00 +4c +00 +1a +00 +30 +00 +13 +00 +2a +00 +14 +00 +31 +00 +15 +00 +33 +00 +15 +00 +82 +00 +25 +10 +dc +30 +8f +00 +a4 +10 +d2 +00 +98 +10 +33 +10 +6c +20 +5a +00 +a8 +00 +25 +00 +62 +00 +21 +00 +62 +00 +27 +00 +60 +00 +27 +00 +5f +00 +2c +00 +5f +00 +25 +00 +4e +00 +24 +00 +5d +00 +20 +00 +5a +00 +2a +00 +9b +00 +61 +00 +c4 +00 +31 +00 +68 +00 +2b +00 +6c +00 +2b +00 +74 +00 +31 +00 +e1 +00 +49 +00 +bd +00 +42 +00 +ab +00 +35 +00 +bd +00 +cf +00 +d3 +10 +9b +00 +ed +10 +cd +00 +c4 +20 +73 +20 +83 +50 +b0 +10 +95 +50 +c5 +20 +7a +50 +a4 +10 +58 +60 +a2 +20 +d5 +40 +75 +20 +71 +70 +1b +30 +21 +a0 +36 +40 +02 +b0 +19 +40 +da +a0 +c1 +30 +bb +90 +70 +30 +d4 +80 +08 +30 +33 +80 +cf +20 +b3 +60 +79 +10 +9f +40 +a2 +20 +80 +50 +e6 +00 +89 +20 +91 +00 +79 +10 +5f +00 +3c +10 +5b +00 +4e +10 +96 +00 +44 +20 +d4 +00 +c4 +20 +d5 +00 +e5 +10 +51 +00 +d5 +00 +ee +00 +fb +50 +8f +20 +02 +60 +ce +10 +4d +40 +6c +10 +67 +10 +36 +00 +b0 +00 +05 +10 +03 +30 +7d +00 +38 +10 +53 +00 +78 +10 +00 +00 +00 +00 +b4 +00 +6d +00 +a4 +00 +6e +00 +a9 +00 +77 +00 +ad +00 +6f +00 +a9 +00 +76 +00 +b3 +00 +81 +00 +a9 +00 +80 +00 +bd +00 +79 +00 +9e +10 +23 +10 +9c +10 +93 +00 +ae +00 +9d +00 +e7 +00 +9c +00 +a8 +00 +6b +00 +b6 +00 +86 +00 +91 +00 +5c +00 +6e +00 +50 +00 +68 +00 +41 +00 +73 +00 +3d +00 +76 +00 +44 +00 +72 +00 +4c +00 +72 +00 +4f +00 +77 +00 +69 +00 +95 +00 +bc +00 +d6 +00 +d0 +10 +bf +20 +fa +10 +c0 +20 +4d +20 +2d +30 +34 +10 +e9 +00 +ae +00 +09 +10 +ec +00 +44 +10 +e0 +00 +ff +00 +8d +00 +a7 +00 +b0 +00 +8b +10 +44 +20 +98 +40 +49 +20 +bb +20 +31 +10 +f2 +00 +f7 +00 +2c +10 +41 +20 +26 +50 +76 +30 +64 +60 +f8 +10 +ab +10 +a2 +00 +92 +00 +5c +00 +80 +00 +54 +00 +98 +00 +5b +00 +92 +00 +56 +00 +74 +00 +55 +00 +a1 +00 +68 +00 +97 +00 +89 +00 +bc +00 +95 +00 +97 +00 +73 +00 +75 +00 +58 +00 +75 +00 +41 +00 +6a +00 +36 +00 +64 +00 +34 +00 +6d +00 +5f +00 +7d +00 +64 +00 +78 +00 +33 +00 +53 +00 +2c +00 +51 +00 +2f +00 +69 +00 +3e +00 +72 +00 +d7 +00 +22 +30 +e0 +20 +9e +60 +0c +20 +c3 +10 +9f +00 +9a +00 +63 +00 +73 +00 +4f +00 +55 +00 +36 +00 +56 +00 +38 +00 +5a +00 +3b +00 +50 +00 +40 +00 +64 +00 +3f +00 +72 +00 +3f +00 +58 +00 +39 +00 +64 +00 +66 +00 +6b +00 +4d +00 +70 +00 +42 +00 +5f +00 +3b +00 +57 +00 +40 +00 +9e +00 +4e +00 +80 +00 +3c +00 +66 +00 +2f +00 +4f +00 +37 +00 +58 +00 +3c +00 +6c +00 +3c +00 +63 +00 +3f +00 +7a +00 +6b +00 +e4 +00 +93 +00 +f9 +00 +dc +00 +cf +00 +46 +10 +b2 +10 +1a +20 +87 +20 +70 +30 +64 +70 +3e +40 +71 +60 +02 +20 +2e +10 +bb +00 +10 +10 +b6 +00 +27 +10 +68 +10 +5c +10 +cb +00 +dc +00 +9a +00 +9c +10 +9f +00 +f2 +00 +7a +00 +69 +00 +42 +00 +71 +00 +4a +00 +85 +00 +58 +00 +9c +00 +67 +00 +a2 +00 +7b +00 +f3 +00 +bb +10 +83 +40 +db +10 +45 +20 +49 +20 +db +40 +b8 +20 +06 +50 +3a +20 +b8 +20 +35 +10 +07 +10 +b0 +00 +d5 +00 +cb +00 +a4 +10 +d6 +00 +3c +10 +d9 +00 +2e +10 +8d +00 +10 +10 +96 +00 +d0 +00 +64 +00 +98 +00 +7f +00 +b2 +00 +a0 +00 +26 +10 +bc +00 +0e +10 +dd +00 +c7 +10 +b6 +10 +f4 +10 +ed +20 +b6 +50 +c4 +30 +94 +50 +62 +40 +25 +80 +53 +50 +a4 +90 +ba +50 +9c +70 +63 +60 +94 +90 +af +60 +55 +a0 +96 +60 +bb +80 +82 +50 +8b +70 +dd +30 +a5 +50 +7e +20 +18 +40 +e3 +10 +49 +20 +49 +10 +57 +10 +82 +10 +56 +20 +ca +10 +87 +10 +2d +10 +10 +10 +b8 +00 +22 +10 +05 +10 +c9 +10 +64 +20 +f4 +50 +fe +20 +a3 +30 +e5 +10 +5f +20 +d6 +10 +d3 +30 +4e +30 +b7 +20 +81 +20 +15 +20 +ea +30 +9c +60 +b4 +40 +d0 +50 +4e +20 +d5 +10 +34 +10 +92 +10 +41 +10 +45 +30 +2f +10 +7b +10 +d8 +00 +f7 +00 +8b +00 +c2 +00 +78 +00 +40 +10 +9f +00 +64 +10 +b7 +00 +2d +10 +73 +00 +8a +00 +53 +00 +79 +00 +4b +00 +7c +00 +50 +00 +7e +00 +5d +00 +75 +00 +7a +00 +f5 +00 +b0 +00 +92 +10 +c2 +00 +3b +10 +9a +00 +c4 +00 +a7 +00 +e2 +10 +6d +00 +8c +00 +51 +00 +81 +00 +63 +00 +91 +00 +53 +00 +80 +00 +4c +00 +55 +00 +31 +00 +53 +00 +28 +00 +5a +00 +22 +00 +59 +00 +24 +00 +4f +00 +29 +00 +3e +00 +2b +00 +50 +00 +2c +00 +53 +00 +2b +00 +4f +00 +2a +00 +5a +00 +3a +00 +66 +00 +2a +00 +53 +00 +25 +00 +3f +00 +25 +00 +39 +00 +21 +00 +44 +00 +25 +00 +4d +00 +2b +00 +50 +00 +27 +00 +50 +00 +27 +00 +3f +00 +27 +00 +43 +00 +29 +00 +61 +00 +2d +00 +5d +00 +30 +00 +3f +00 +22 +00 +2c +00 +21 +00 +35 +00 +20 +00 +3c +00 +2c +00 +36 +00 +c0 +00 +c8 +30 +d3 +10 +4f +20 +14 +10 +ff +10 +2c +10 +1d +10 +95 +00 +df +00 +6a +00 +68 +00 +40 +00 +51 +00 +30 +00 +6b +00 +2a +00 +56 +00 +31 +00 +75 +00 +32 +00 +55 +00 +2e +00 +59 +00 +29 +00 +55 +00 +3a +00 +6e +00 +45 +00 +80 +00 +3f +00 +82 +00 +52 +00 +67 +00 +48 +00 +71 +00 +55 +00 +83 +00 +72 +00 +a2 +00 +e4 +00 +ff +00 +ab +00 +ae +00 +bf +00 +12 +10 +f8 +00 +46 +20 +70 +20 +c9 +60 +8f +30 +7a +60 +5e +40 +42 +60 +7c +40 +83 +80 +8d +30 +71 +30 +71 +20 +78 +60 +21 +30 +9a +40 +e9 +30 +ff +60 +0d +60 +a5 +a0 +56 +60 +3b +a0 +f4 +50 +fd +80 +d0 +50 +3a +90 +1c +60 +14 +a0 +0c +60 +d9 +80 +40 +40 +53 +60 +36 +30 +17 +40 +d9 +10 +48 +20 +31 +10 +58 +10 +04 +10 +e4 +00 +fd +00 +e1 +10 +0d +10 +3e +10 +2e +10 +a8 +10 +4b +10 +58 +10 +ff +00 +d5 +00 +e2 +00 +87 +10 +a6 +10 +78 +40 +19 +20 +fc +20 +57 +10 +a8 +20 +fd +00 +b5 +00 +a1 +00 +35 +10 +c7 +00 +42 +10 +e5 +00 +dd +00 +19 +10 +00 +00 +00 +00 +3c +00 +b6 +00 +38 +00 +a8 +00 +46 +00 +a1 +00 +40 +00 +9a +00 +3f +00 +a3 +00 +49 +00 +a2 +00 +38 +00 +ae +00 +2d +00 +93 +00 +8c +00 +a6 +10 +5a +00 +c7 +00 +34 +00 +a3 +00 +37 +00 +9c +00 +3c +00 +99 +00 +44 +00 +e1 +00 +4f +00 +8e +00 +25 +00 +76 +00 +2a +00 +6e +00 +2b +00 +80 +00 +1f +00 +72 +00 +2e +00 +7b +00 +2d +00 +7c +00 +35 +00 +a1 +00 +39 +00 +e2 +00 +66 +00 +c0 +20 +81 +10 +51 +30 +4c +10 +8b +40 +28 +10 +05 +20 +73 +00 +e5 +00 +4b +00 +ed +00 +53 +00 +03 +10 +43 +00 +9e +00 +40 +00 +ac +00 +6a +00 +8b +20 +69 +10 +3c +30 +f6 +00 +25 +30 +c7 +00 +81 +10 +7e +00 +0a +30 +be +10 +65 +40 +3b +10 +74 +20 +71 +00 +bf +00 +37 +00 +8e +00 +2d +00 +77 +00 +34 +00 +9f +00 +36 +00 +a5 +00 +30 +00 +83 +00 +32 +00 +84 +00 +33 +00 +8c +00 +2f +00 +ab +00 +4d +00 +7e +00 +29 +00 +ad +00 +28 +00 +6a +00 +28 +00 +60 +00 +24 +00 +61 +00 +21 +00 +84 +00 +ab +00 +96 +10 +35 +00 +68 +00 +1c +00 +63 +00 +2b +00 +5e +00 +29 +00 +66 +00 +34 +00 +9f +00 +a7 +00 +48 +30 +b4 +10 +61 +30 +aa +00 +17 +10 +49 +00 +2c +10 +49 +00 +64 +00 +23 +00 +55 +00 +23 +00 +6e +00 +23 +00 +5d +00 +28 +00 +68 +00 +24 +00 +5b +00 +26 +00 +68 +00 +27 +00 +60 +00 +28 +00 +d1 +00 +6b +00 +ae +00 +1e +00 +5e +00 +21 +00 +62 +00 +28 +00 +6a +00 +25 +00 +5c +00 +24 +00 +67 +00 +21 +00 +54 +00 +17 +00 +52 +00 +25 +00 +64 +00 +1f +00 +64 +00 +29 +00 +69 +00 +3d +00 +c7 +00 +34 +00 +c8 +00 +3f +00 +df +00 +bf +00 +6f +20 +68 +10 +c9 +40 +91 +10 +0f +50 +9a +20 +66 +70 +8b +10 +a5 +20 +52 +00 +bf +00 +44 +00 +ea +00 +fc +00 +5f +40 +1a +10 +61 +10 +3d +00 +f3 +00 +57 +00 +d6 +00 +33 +00 +89 +00 +25 +00 +61 +00 +26 +00 +60 +00 +29 +00 +72 +00 +34 +00 +85 +00 +3c +00 +9f +00 +87 +00 +7f +20 +24 +10 +05 +20 +9c +00 +14 +20 +ea +00 +18 +30 +00 +10 +af +20 +9b +00 +46 +10 +44 +00 +a2 +00 +4f +00 +06 +10 +68 +00 +64 +10 +51 +00 +21 +10 +6b +00 +0c +10 +55 +00 +e7 +00 +4d +00 +bc +00 +49 +00 +d1 +00 +56 +00 +ff +00 +83 +00 +12 +10 +52 +00 +ef +00 +9f +00 +67 +20 +a7 +10 +63 +60 +ae +20 +fb +50 +5c +10 +75 +40 +3b +20 +eb +70 +58 +30 +ff +80 +d0 +20 +20 +90 +9b +30 +48 +a0 +d4 +30 +c4 +a0 +9c +30 +e0 +90 +c2 +20 +2d +50 +3d +10 +08 +30 +d4 +00 +39 +20 +8e +00 +55 +10 +89 +00 +64 +20 +d9 +10 +c2 +40 +56 +10 +b2 +20 +77 +00 +16 +10 +64 +00 +23 +10 +8a +00 +55 +30 +4d +20 +34 +40 +ec +00 +5c +20 +97 +00 +95 +10 +51 +10 +03 +60 +59 +20 +4f +50 +d2 +10 +ae +60 +28 +30 +0c +80 +cd +10 +52 +30 +90 +00 +3f +10 +68 +00 +3f +10 +9a +00 +91 +10 +75 +00 +43 +10 +5f +00 +c4 +00 +40 +00 +a9 +00 +42 +00 +c5 +00 +48 +00 +d1 +00 +3f +00 +b4 +00 +2e +00 +77 +00 +30 +00 +77 +00 +30 +00 +74 +00 +2d +00 +81 +00 +33 +00 +97 +00 +43 +00 +ec +00 +52 +00 +fd +00 +4b +00 +aa +00 +39 +00 +9a +00 +3e +00 +ab +00 +2e +00 +7a +00 +2a +00 +7f +00 +30 +00 +75 +00 +28 +00 +59 +00 +29 +00 +52 +00 +28 +00 +53 +00 +23 +00 +39 +00 +1f +00 +36 +00 +19 +00 +42 +00 +1f +00 +4b +00 +1e +00 +53 +00 +17 +00 +4a +00 +23 +00 +5b +00 +1c +00 +57 +00 +1e +00 +54 +00 +1d +00 +49 +00 +18 +00 +4b +00 +22 +00 +48 +00 +17 +00 +4b +00 +1f +00 +59 +00 +1e +00 +40 +00 +1e +00 +3e +00 +1a +00 +47 +00 +26 +00 +53 +00 +21 +00 +87 +00 +2b +00 +4a +00 +17 +00 +3b +00 +12 +00 +35 +00 +17 +00 +2f +00 +14 +00 +35 +00 +13 +00 +86 +00 +b4 +00 +be +10 +7c +00 +28 +10 +57 +00 +f3 +00 +47 +00 +c5 +00 +45 +00 +98 +00 +29 +00 +69 +00 +25 +00 +55 +00 +26 +00 +4c +00 +2f +00 +55 +00 +2c +00 +54 +00 +1f +00 +5c +00 +23 +00 +55 +00 +20 +00 +59 +00 +20 +00 +68 +00 +28 +00 +73 +00 +21 +00 +6c +00 +2a +00 +6f +00 +2c +00 +68 +00 +29 +00 +7d +00 +4d +00 +23 +20 +ff +00 +3a +10 +5e +00 +4c +10 +79 +00 +20 +10 +73 +00 +6d +20 +71 +20 +7f +50 +8c +20 +87 +60 +5f +20 +47 +80 +45 +30 +89 +50 +cd +00 +8b +20 +32 +10 +03 +30 +f2 +00 +a6 +40 +da +20 +c1 +80 +66 +30 +97 +90 +a8 +30 +38 +a0 +9d +30 +2a +90 +b6 +30 +65 +a0 +f2 +30 +9a +90 +61 +20 +25 +60 +ee +10 +76 +30 +c8 +00 +d3 +10 +8f +00 +4a +10 +50 +00 +1f +10 +6a +00 +09 +10 +70 +00 +20 +10 +47 +00 +03 +10 +4f +00 +f7 +00 +39 +00 +b5 +00 +3c +00 +d5 +00 +61 +00 +80 +10 +bf +00 +2d +20 +9d +00 +8e +10 +62 +00 +0f +10 +3e +00 +bf +00 +4f +00 +19 +10 +61 +00 +f7 +00 +4b +00 +5e +10 +00 +00 +00 +00 +ac +00 +6a +00 +b1 +00 +68 +00 +c1 +00 +71 +00 +ac +00 +6d +00 +a8 +00 +7f +00 +a9 +00 +86 +00 +a1 +00 +70 +00 +8d +00 +72 +00 +ca +00 +7d +00 +d7 +00 +74 +00 +93 +00 +7b +00 +95 +00 +63 +00 +93 +00 +60 +00 +96 +00 +4d +00 +8c +00 +55 +00 +82 +00 +45 +00 +72 +00 +4b +00 +79 +00 +3c +00 +75 +00 +41 +00 +73 +00 +4c +00 +77 +00 +55 +00 +8c +00 +15 +10 +e8 +10 +b7 +10 +39 +20 +b0 +10 +a8 +20 +70 +20 +3b +40 +56 +20 +59 +30 +81 +10 +41 +20 +d3 +00 +e5 +00 +0a +10 +3e +10 +f3 +00 +d7 +00 +65 +00 +a2 +00 +96 +00 +dc +00 +f5 +00 +d7 +10 +10 +10 +73 +10 +1e +10 +cf +20 +ce +20 +91 +40 +8d +20 +39 +30 +89 +10 +14 +20 +03 +10 +04 +10 +7a +00 +8f +00 +48 +00 +88 +00 +48 +00 +81 +00 +5e +00 +ab +00 +58 +00 +8a +00 +57 +00 +89 +00 +48 +00 +73 +00 +56 +00 +76 +00 +55 +00 +76 +00 +64 +00 +86 +00 +6f +00 +ca +00 +44 +00 +68 +00 +35 +00 +5e +00 +3c +00 +67 +00 +6c +00 +9f +10 +8e +00 +ca +00 +45 +00 +54 +00 +3f +00 +b3 +00 +3c +00 +58 +00 +30 +00 +67 +00 +84 +00 +c8 +00 +e6 +00 +1d +20 +af +10 +e4 +30 +05 +10 +b0 +00 +73 +00 +f1 +00 +4e +00 +5b +00 +3d +00 +61 +00 +39 +00 +5e +00 +31 +00 +5e +00 +33 +00 +5e +00 +42 +00 +69 +00 +3d +00 +5d +00 +3f +00 +69 +00 +ec +00 +9e +20 +be +00 +99 +00 +48 +00 +64 +00 +37 +00 +68 +00 +3b +00 +62 +00 +3f +00 +6b +00 +35 +00 +4f +00 +30 +00 +57 +00 +42 +00 +5b +00 +3e +00 +61 +00 +43 +00 +68 +00 +3b +00 +71 +00 +5f +00 +94 +00 +67 +00 +ad +00 +e7 +00 +dc +20 +d5 +10 +7f +40 +aa +30 +48 +70 +13 +40 +5d +50 +6d +20 +32 +30 +62 +10 +12 +10 +a0 +00 +a6 +00 +c9 +00 +c5 +10 +d4 +10 +53 +30 +06 +10 +ec +00 +8a +00 +b1 +00 +6b +00 +8f +00 +59 +00 +5b +00 +3d +00 +57 +00 +3b +00 +63 +00 +43 +00 +73 +00 +4b +00 +7d +00 +73 +00 +f9 +00 +ea +00 +1e +20 +10 +10 +65 +10 +2e +10 +9f +10 +50 +10 +1a +20 +16 +10 +60 +10 +c3 +00 +c3 +00 +6f +00 +a4 +00 +7a +00 +f7 +00 +86 +00 +df +00 +80 +00 +d6 +00 +7d +00 +ee +00 +75 +00 +f1 +00 +92 +00 +37 +10 +cd +00 +8a +10 +bf +00 +62 +10 +a7 +00 +03 +10 +bc +00 +55 +10 +ca +10 +b5 +50 +00 +40 +57 +60 +6e +30 +86 +30 +f1 +20 +21 +30 +9d +30 +a7 +70 +df +50 +3e +80 +89 +60 +1e +a0 +e4 +60 +e7 +a0 +d5 +60 +64 +a0 +84 +50 +e1 +50 +a7 +20 +db +20 +c1 +10 +17 +20 +3d +10 +66 +10 +00 +10 +54 +10 +48 +20 +de +50 +4c +30 +fa +40 +72 +10 +7b +10 +eb +00 +0c +10 +2b +10 +75 +10 +c3 +20 +d1 +50 +09 +20 +a6 +20 +8f +10 +70 +10 +2e +10 +b4 +10 +e1 +20 +ff +60 +6d +40 +34 +70 +e4 +40 +15 +70 +47 +40 +3b +50 +45 +20 +cf +10 +0a +10 +0e +10 +d7 +00 +22 +10 +ba +00 +6b +10 +be +00 +f9 +00 +70 +00 +b3 +00 +78 +00 +bb +00 +69 +00 +b6 +00 +69 +00 +a9 +00 +62 +00 +90 +00 +51 +00 +7d +00 +58 +00 +8c +00 +d8 +00 +0c +10 +77 +00 +85 +00 +69 +00 +9e +00 +83 +00 +b6 +00 +74 +00 +a6 +00 +60 +00 +86 +00 +5c +00 +98 +00 +42 +00 +7f +00 +50 +00 +58 +00 +48 +00 +6f +00 +42 +00 +61 +00 +35 +00 +59 +00 +2d +00 +53 +00 +29 +00 +58 +00 +27 +00 +43 +00 +1f +00 +49 +00 +2a +00 +48 +00 +28 +00 +53 +00 +2a +00 +54 +00 +2a +00 +41 +00 +2b +00 +54 +00 +34 +00 +5b +00 +2c +00 +4a +00 +2b +00 +50 +00 +2e +00 +51 +00 +2a +00 +40 +00 +25 +00 +4a +00 +2b +00 +54 +00 +2c +00 +3f +00 +2e +00 +49 +00 +2a +00 +59 +00 +32 +00 +4f +00 +2c +00 +4b +00 +24 +00 +3b +00 +24 +00 +32 +00 +1d +00 +33 +00 +1e +00 +33 +00 +2a +00 +44 +00 +5e +00 +bd +00 +94 +00 +1d +10 +b6 +00 +cc +00 +80 +00 +bd +00 +a2 +00 +d9 +00 +9f +00 +92 +00 +45 +00 +59 +00 +34 +00 +5d +00 +33 +00 +5d +00 +23 +00 +64 +00 +2d +00 +50 +00 +33 +00 +63 +00 +2d +00 +4d +00 +30 +00 +5f +00 +41 +00 +61 +00 +4e +00 +6a +00 +5f +00 +6f +00 +42 +00 +6e +00 +40 +00 +5d +00 +58 +00 +97 +00 +86 +00 +a5 +10 +4e +10 +cf +30 +7d +20 +12 +20 +10 +10 +06 +10 +44 +10 +60 +30 +69 +30 +25 +70 +8b +40 +08 +70 +b4 +40 +34 +80 +70 +30 +00 +30 +58 +20 +07 +20 +cb +20 +55 +40 +40 +40 +8e +50 +df +50 +af +a0 +11 +70 +c4 +a0 +11 +50 +ed +50 +7d +30 +e3 +40 +dd +30 +42 +80 +a0 +40 +9a +60 +81 +30 +67 +30 +e9 +10 +dc +10 +40 +10 +45 +10 +c8 +00 +c9 +00 +92 +00 +29 +10 +89 +00 +bd +00 +86 +00 +b8 +00 +a1 +00 +a4 +00 +88 +00 +92 +00 +b0 +00 +b8 +10 +35 +10 +60 +10 +ea +00 +62 +10 +ee +00 +72 +10 +fe +00 +ea +00 +c1 +00 +bb +00 +d2 +00 +12 +10 +ca +10 +3e +20 +d5 +00 +b4 +00 +7b +00 +00 +00 +00 +00 +41 +00 +b0 +00 +3d +00 +a9 +00 +3d +00 +ab +00 +49 +00 +b8 +00 +3d +00 +b1 +00 +46 +00 +d9 +00 +42 +00 +9c +00 +38 +00 +87 +00 +32 +00 +d7 +00 +39 +00 +8f +00 +2d +00 +74 +00 +2f +00 +aa +00 +3e +00 +8a +00 +2c +00 +87 +00 +2c +00 +83 +00 +34 +00 +73 +00 +25 +00 +7e +00 +2c +00 +7c +00 +2d +00 +69 +00 +24 +00 +6e +00 +23 +00 +6b +00 +4e +00 +da +10 +3a +10 +17 +30 +af +00 +e5 +10 +00 +10 +8b +30 +6e +10 +74 +30 +17 +10 +1f +20 +71 +00 +f6 +00 +4f +00 +33 +10 +15 +10 +ba +20 +5c +00 +b1 +00 +35 +00 +a1 +00 +3d +00 +04 +10 +6c +00 +46 +10 +61 +00 +29 +10 +08 +10 +e1 +40 +29 +20 +8a +40 +ba +00 +cc +10 +75 +00 +4d +10 +40 +00 +a4 +00 +36 +00 +82 +00 +27 +00 +75 +00 +29 +00 +7a +00 +34 +00 +a6 +00 +27 +00 +84 +00 +32 +00 +7f +00 +2c +00 +7f +00 +2a +00 +7a +00 +28 +00 +64 +00 +30 +00 +a7 +00 +31 +00 +92 +00 +26 +00 +6d +00 +21 +00 +58 +00 +2b +00 +82 +00 +44 +00 +a0 +00 +28 +00 +6c +00 +1e +00 +62 +00 +2b +00 +66 +00 +25 +00 +5c +00 +25 +00 +70 +00 +31 +00 +f7 +00 +65 +00 +9b +10 +b7 +00 +62 +10 +3d +00 +7e +00 +2f +00 +7e +00 +22 +00 +62 +00 +21 +00 +5b +00 +29 +00 +88 +00 +22 +00 +6d +00 +2d +00 +6f +00 +1d +00 +69 +00 +29 +00 +6d +00 +44 +00 +79 +10 +e1 +00 +a2 +10 +33 +00 +6f +00 +24 +00 +63 +00 +26 +00 +62 +00 +1d +00 +62 +00 +2b +00 +5f +00 +23 +00 +52 +00 +1b +00 +70 +00 +25 +00 +5e +00 +2d +00 +6f +00 +28 +00 +81 +00 +29 +00 +6e +00 +26 +00 +8a +00 +47 +00 +0a +10 +86 +00 +c2 +10 +64 +10 +51 +50 +9c +20 +30 +60 +6f +10 +0e +30 +9b +00 +70 +10 +3c +00 +90 +00 +32 +00 +bc +00 +b4 +00 +62 +30 +ed +00 +a2 +10 +51 +00 +d7 +00 +30 +00 +96 +00 +2b +00 +64 +00 +1f +00 +56 +00 +24 +00 +60 +00 +29 +00 +55 +00 +2a +00 +76 +00 +33 +00 +90 +00 +4d +00 +ff +00 +65 +00 +2d +10 +57 +00 +1d +10 +6c +00 +90 +10 +80 +00 +62 +10 +59 +00 +dc +00 +3a +00 +aa +00 +33 +00 +aa +00 +3c +00 +9d +00 +41 +00 +c6 +00 +40 +00 +c6 +00 +4c +00 +a6 +00 +3b +00 +ad +00 +56 +00 +2d +10 +7b +00 +0a +10 +59 +00 +d9 +00 +59 +00 +ee +00 +67 +00 +86 +10 +bd +10 +8c +60 +73 +20 +c2 +70 +08 +20 +95 +40 +51 +10 +51 +40 +95 +20 +5b +80 +37 +30 +ca +90 +bf +30 +ab +a0 +07 +40 +bf +a0 +ae +30 +78 +80 +6d +10 +52 +30 +b3 +00 +fa +10 +80 +00 +59 +10 +60 +00 +2d +10 +85 +00 +7c +20 +6d +20 +30 +60 +1a +10 +4c +20 +79 +00 +33 +10 +64 +00 +56 +10 +4e +10 +86 +40 +90 +10 +5a +30 +a3 +00 +9c +10 +5e +00 +27 +10 +86 +00 +0f +30 +46 +20 +88 +60 +77 +20 +79 +70 +e1 +20 +6c +80 +ad +10 +e2 +20 +9d +00 +29 +10 +65 +00 +fb +00 +58 +00 +f1 +00 +58 +00 +e0 +00 +53 +00 +bb +00 +51 +00 +a0 +00 +3f +00 +b9 +00 +31 +00 +92 +00 +32 +00 +84 +00 +30 +00 +7b +00 +37 +00 +89 +00 +5a +00 +7b +20 +ad +00 +03 +10 +29 +00 +8c +00 +39 +00 +7f +00 +39 +00 +87 +00 +38 +00 +7e +00 +35 +00 +82 +00 +36 +00 +86 +00 +28 +00 +62 +00 +2d +00 +5d +00 +1f +00 +5c +00 +25 +00 +56 +00 +23 +00 +53 +00 +1d +00 +47 +00 +1d +00 +53 +00 +1d +00 +43 +00 +1a +00 +3f +00 +1f +00 +4e +00 +21 +00 +52 +00 +1f +00 +57 +00 +1d +00 +4a +00 +1a +00 +52 +00 +24 +00 +4b +00 +1e +00 +4e +00 +21 +00 +54 +00 +1c +00 +47 +00 +21 +00 +43 +00 +1d +00 +42 +00 +1f +00 +41 +00 +19 +00 +40 +00 +18 +00 +45 +00 +1c +00 +4e +00 +20 +00 +4c +00 +1c +00 +3d +00 +17 +00 +33 +00 +13 +00 +30 +00 +19 +00 +34 +00 +12 +00 +39 +00 +18 +00 +66 +00 +3a +00 +c0 +00 +44 +00 +04 +10 +38 +00 +8f +00 +52 +00 +05 +20 +eb +00 +12 +20 +43 +00 +7a +00 +26 +00 +61 +00 +23 +00 +50 +00 +29 +00 +57 +00 +27 +00 +57 +00 +1d +00 +62 +00 +1f +00 +5f +00 +26 +00 +61 +00 +22 +00 +65 +00 +27 +00 +77 +00 +3c +00 +8b +00 +27 +00 +6e +00 +28 +00 +64 +00 +2b +00 +6d +00 +34 +00 +cf +00 +55 +00 +b6 +10 +48 +20 +c2 +50 +e4 +00 +6c +10 +4b +00 +30 +10 +4e +10 +f7 +60 +5d +30 +f9 +60 +cc +20 +8c +70 +b0 +20 +05 +50 +34 +10 +5a +40 +cc +00 +8d +40 +26 +30 +b3 +80 +2d +20 +a9 +60 +f6 +30 +d1 +a0 +a4 +20 +eb +40 +08 +10 +35 +30 +d8 +00 +59 +30 +77 +20 +75 +70 +56 +10 +69 +30 +ae +00 +f0 +10 +6a +00 +33 +10 +4d +00 +be +00 +37 +00 +a5 +00 +43 +00 +c7 +00 +3d +00 +c3 +00 +37 +00 +a3 +00 +2d +00 +83 +00 +2b +00 +c7 +00 +c2 +00 +90 +10 +58 +00 +03 +10 +41 +00 +d8 +00 +64 +00 +29 +10 +52 +00 +21 +10 +eb +00 +0c +20 +df +00 +cd +30 +dc +00 +29 +10 +3f +00 +b4 +00 +00 +00 +00 +00 +a6 +00 +6e +00 +ab +00 +6f +00 +a6 +00 +6a +00 +af +00 +6c +00 +b1 +00 +9f +00 +0a +10 +4e +10 +48 +10 +8e +00 +a0 +00 +7c +00 +ae +00 +94 +00 +a6 +00 +6e +00 +96 +00 +65 +00 +91 +00 +73 +00 +3b +10 +70 +00 +86 +00 +63 +00 +86 +00 +65 +00 +7f +00 +49 +00 +79 +00 +48 +00 +6f +00 +56 +00 +6a +00 +46 +00 +62 +00 +48 +00 +6e +00 +54 +00 +8e +00 +da +00 +fa +20 +92 +10 +de +10 +19 +10 +18 +20 +1b +20 +69 +30 +aa +10 +2a +20 +ec +00 +11 +10 +a8 +00 +df +00 +c8 +10 +5b +40 +41 +10 +5f +10 +80 +00 +a0 +00 +88 +00 +b2 +00 +bf +00 +f9 +00 +b8 +00 +e6 +00 +02 +10 +3c +20 +5a +30 +8c +60 +4a +20 +17 +20 +11 +10 +2d +10 +c4 +00 +c6 +00 +7b +00 +7e +00 +50 +00 +7e +00 +43 +00 +77 +00 +4e +00 +89 +00 +54 +00 +89 +00 +44 +00 +75 +00 +47 +00 +70 +00 +51 +00 +7a +00 +3f +00 +7a +00 +41 +00 +77 +00 +44 +00 +74 +00 +4a +00 +68 +00 +41 +00 +6c +00 +36 +00 +6e +00 +55 +00 +89 +00 +5f +00 +87 +00 +4b +00 +5e +00 +36 +00 +58 +00 +33 +00 +52 +00 +2d +00 +59 +00 +53 +00 +7b +00 +90 +00 +e4 +00 +a3 +00 +e9 +00 +83 +00 +98 +00 +48 +00 +72 +00 +3d +00 +61 +00 +3a +00 +5f +00 +41 +00 +8f +00 +60 +00 +8b +00 +4b +00 +6b +00 +5f +00 +99 +00 +41 +00 +6e +00 +78 +00 +21 +10 +3c +20 +e1 +40 +1e +10 +ec +00 +5a +00 +59 +00 +45 +00 +68 +00 +3c +00 +67 +00 +43 +00 +6a +00 +41 +00 +5e +00 +48 +00 +5f +00 +5a +00 +6a +00 +4e +00 +73 +00 +56 +00 +66 +00 +4b +00 +70 +00 +3f +00 +74 +00 +6a +00 +a6 +00 +82 +00 +cc +00 +c4 +00 +76 +10 +6b +10 +22 +30 +fe +10 +e6 +20 +a5 +10 +ad +10 +d7 +00 +c6 +00 +6f +00 +71 +00 +8e +00 +e4 +00 +f4 +00 +fc +10 +cc +00 +e3 +00 +88 +00 +ad +00 +52 +00 +7b +00 +3d +00 +53 +00 +3f +00 +53 +00 +38 +00 +6f +00 +4c +00 +65 +00 +50 +00 +88 +00 +56 +00 +9a +00 +7c +00 +dd +00 +9c +00 +ce +00 +a1 +00 +02 +10 +b9 +00 +33 +10 +da +00 +01 +10 +91 +00 +99 +00 +64 +00 +99 +00 +62 +00 +ae +00 +6d +00 +a7 +00 +75 +00 +ac +00 +59 +00 +a7 +00 +58 +00 +9e +00 +62 +00 +d5 +00 +78 +00 +e4 +00 +7c +00 +d3 +00 +c3 +00 +f4 +00 +e8 +00 +1b +10 +ff +10 +d6 +30 +24 +30 +3a +70 +4c +50 +fd +70 +9e +50 +a4 +70 +db +50 +81 +80 +a4 +50 +09 +90 +e2 +60 +80 +a0 +39 +70 +22 +b0 +22 +70 +a7 +a0 +cf +40 +5b +40 +ab +20 +ec +10 +b1 +10 +82 +10 +06 +10 +2b +10 +e8 +00 +33 +10 +ce +10 +c9 +40 +fd +10 +e0 +20 +8d +10 +8b +10 +51 +10 +30 +10 +66 +20 +90 +50 +5e +30 +99 +60 +ff +10 +08 +20 +22 +10 +0a +10 +e6 +00 +66 +10 +fd +20 +20 +70 +55 +50 +d2 +80 +03 +60 +14 +90 +4c +40 +48 +40 +23 +20 +24 +20 +52 +10 +e6 +00 +da +00 +cd +00 +98 +00 +be +00 +77 +00 +bd +00 +76 +00 +ae +00 +63 +00 +af +00 +61 +00 +8f +00 +65 +00 +85 +00 +53 +00 +77 +00 +48 +00 +6f +00 +a4 +00 +5f +10 +1f +10 +c7 +10 +8a +00 +b9 +00 +66 +00 +83 +00 +83 +00 +96 +00 +69 +00 +9e +00 +69 +00 +7b +00 +4e +00 +7c +00 +3f +00 +64 +00 +34 +00 +5b +00 +2e +00 +54 +00 +2b +00 +6a +00 +30 +00 +56 +00 +2d +00 +4c +00 +2d +00 +4a +00 +2f +00 +42 +00 +27 +00 +3b +00 +27 +00 +4a +00 +24 +00 +50 +00 +30 +00 +5d +00 +2f +00 +4b +00 +2e +00 +4f +00 +2a +00 +4d +00 +2e +00 +48 +00 +28 +00 +50 +00 +28 +00 +4a +00 +26 +00 +3e +00 +27 +00 +3f +00 +28 +00 +4c +00 +29 +00 +35 +00 +2b +00 +47 +00 +28 +00 +43 +00 +27 +00 +42 +00 +20 +00 +3c +00 +28 +00 +3c +00 +17 +00 +2b +00 +21 +00 +32 +00 +23 +00 +39 +00 +29 +00 +3c +00 +6a +00 +94 +00 +61 +00 +94 +00 +73 +00 +81 +00 +5b +00 +86 +00 +7e +00 +7c +10 +9a +00 +cf +00 +4b +00 +68 +00 +29 +00 +66 +00 +33 +00 +53 +00 +31 +00 +54 +00 +33 +00 +5d +00 +38 +00 +6a +00 +5f +00 +7b +00 +73 +00 +77 +00 +61 +00 +76 +00 +f7 +00 +80 +30 +1d +10 +b3 +00 +69 +00 +67 +00 +5f +00 +7e +00 +63 +00 +8f +00 +9e +00 +06 +10 +72 +10 +d7 +40 +c9 +20 +f6 +20 +78 +10 +fa +00 +2d +10 +a9 +10 +e7 +10 +c4 +40 +b1 +20 +76 +50 +50 +30 +94 +70 +3e +40 +f0 +50 +ac +40 +c1 +50 +83 +30 +cf +70 +a5 +50 +bb +90 +b3 +50 +83 +60 +bd +50 +ba +80 +eb +30 +de +20 +8e +20 +2a +20 +bc +20 +a6 +30 +9a +30 +0c +50 +d6 +30 +62 +40 +56 +20 +fe +10 +1c +10 +be +00 +81 +00 +ad +00 +60 +00 +a0 +00 +7b +00 +f6 +00 +f4 +00 +05 +10 +6c +00 +7b +00 +4f +00 +6f +00 +ac +00 +84 +30 +4f +10 +60 +10 +ba +00 +9c +00 +8b +00 +95 +00 +72 +00 +a4 +00 +8f +00 +71 +10 +e1 +00 +90 +20 +39 +10 +67 +10 +c9 +00 +be +00 +70 +00 +00 +00 +00 +00 +33 +00 +9f +00 +3f +00 +b8 +00 +3b +00 +b7 +00 +46 +00 +bc +00 +3e +00 +b4 +00 +a1 +00 +eb +20 +7a +00 +dc +00 +38 +00 +bf +00 +3e +00 +a1 +00 +3c +00 +8b +00 +2b +00 +7e +00 +33 +00 +8e +00 +37 +00 +a1 +00 +38 +00 +84 +00 +60 +00 +5f +10 +41 +00 +77 +00 +39 +00 +6f +00 +35 +00 +7c +00 +21 +00 +6c +00 +24 +00 +7f +00 +2e +00 +74 +00 +30 +00 +cf +00 +ce +00 +4d +20 +8d +00 +1c +10 +bb +00 +5d +30 +1f +10 +95 +20 +7a +00 +25 +10 +53 +00 +c9 +00 +a1 +00 +a4 +20 +40 +10 +86 +20 +5f +00 +d7 +00 +4a +00 +22 +10 +56 +00 +d1 +00 +4a +00 +da +00 +49 +00 +e6 +00 +2e +10 +76 +50 +42 +20 +bb +30 +86 +00 +23 +10 +55 +00 +12 +10 +57 +00 +ce +00 +2f +00 +7f +00 +32 +00 +93 +00 +2f +00 +71 +00 +37 +00 +7e +00 +30 +00 +8c +00 +28 +00 +78 +00 +28 +00 +77 +00 +28 +00 +62 +00 +2c +00 +6a +00 +24 +00 +73 +00 +2a +00 +6d +00 +20 +00 +61 +00 +1d +00 +64 +00 +24 +00 +77 +00 +2d +00 +98 +00 +2c +00 +67 +00 +1a +00 +55 +00 +20 +00 +61 +00 +1d +00 +58 +00 +1e +00 +5b +00 +22 +00 +82 +00 +2b +00 +be +00 +38 +00 +a1 +00 +26 +00 +77 +00 +27 +00 +71 +00 +23 +00 +5e +00 +21 +00 +5e +00 +45 +00 +a7 +00 +36 +00 +6c +00 +23 +00 +c4 +00 +44 +00 +9d +00 +2e +00 +73 +00 +7d +00 +92 +30 +9e +10 +5a +20 +3f +00 +79 +00 +1f +00 +70 +00 +2b +00 +5a +00 +29 +00 +69 +00 +2c +00 +5b +00 +1d +00 +5b +00 +2c +00 +83 +00 +26 +00 +7c +00 +2e +00 +85 +00 +37 +00 +82 +00 +2e +00 +75 +00 +33 +00 +86 +00 +40 +00 +ad +00 +3e +00 +d4 +00 +64 +00 +82 +10 +95 +00 +c1 +10 +81 +00 +88 +10 +4f +00 +d3 +00 +2a +00 +89 +00 +21 +00 +76 +00 +3c +00 +07 +10 +5c +00 +0f +10 +3e +00 +cc +00 +31 +00 +77 +00 +28 +00 +64 +00 +24 +00 +49 +00 +1b +00 +61 +00 +2a +00 +ba +00 +33 +00 +78 +00 +29 +00 +86 +00 +3a +00 +a6 +00 +45 +00 +d1 +00 +47 +00 +b2 +00 +45 +00 +d0 +00 +61 +00 +e7 +00 +45 +00 +ac +00 +3c +00 +a3 +00 +39 +00 +97 +00 +4d +00 +c2 +00 +5f +00 +e0 +00 +41 +00 +97 +00 +35 +00 +9e +00 +3a +00 +aa +00 +46 +00 +c0 +00 +4a +00 +c8 +00 +4f +00 +85 +10 +c2 +00 +89 +10 +f5 +00 +13 +40 +7e +10 +ad +30 +57 +20 +c8 +70 +63 +30 +01 +90 +63 +30 +49 +90 +39 +30 +48 +80 +6f +30 +2f +a0 +ff +30 +e3 +a0 +fc +30 +3a +b0 +70 +30 +b3 +60 +3a +10 +01 +30 +a9 +00 +3d +20 +ac +00 +5a +10 +58 +00 +20 +10 +70 +00 +55 +20 +3c +10 +c3 +20 +d3 +00 +11 +20 +98 +00 +af +10 +ff +00 +60 +40 +d3 +10 +0e +40 +3d +10 +e7 +20 +8d +00 +54 +10 +60 +00 +07 +10 +ae +00 +c7 +40 +18 +30 +29 +90 +6f +30 +4e +90 +03 +30 +b5 +60 +34 +10 +7b +20 +ae +00 +87 +10 +5c +00 +fc +00 +4d +00 +b9 +00 +4d +00 +cb +00 +4d +00 +c4 +00 +45 +00 +a6 +00 +40 +00 +96 +00 +2f +00 +8d +00 +33 +00 +7c +00 +2f +00 +7b +00 +3b +00 +2c +10 +12 +10 +d2 +10 +62 +00 +e8 +00 +34 +00 +92 +00 +31 +00 +db +00 +53 +00 +af +00 +5c +00 +ba +00 +2f +00 +71 +00 +27 +00 +6a +00 +26 +00 +55 +00 +21 +00 +5d +00 +1e +00 +57 +00 +20 +00 +55 +00 +22 +00 +5a +00 +1c +00 +4d +00 +23 +00 +4a +00 +1e +00 +41 +00 +1b +00 +48 +00 +17 +00 +4d +00 +21 +00 +58 +00 +20 +00 +43 +00 +25 +00 +48 +00 +21 +00 +5d +00 +21 +00 +41 +00 +16 +00 +4c +00 +1b +00 +41 +00 +1d +00 +3e +00 +19 +00 +44 +00 +1b +00 +52 +00 +24 +00 +4d +00 +14 +00 +38 +00 +1e +00 +45 +00 +18 +00 +4f +00 +19 +00 +37 +00 +17 +00 +39 +00 +16 +00 +33 +00 +10 +00 +3a +00 +18 +00 +32 +00 +15 +00 +34 +00 +1c +00 +90 +00 +38 +00 +71 +00 +24 +00 +9b +00 +34 +00 +6b +00 +29 +00 +8b +00 +46 +00 +b7 +00 +39 +00 +7e +00 +22 +00 +59 +00 +27 +00 +57 +00 +23 +00 +52 +00 +1d +00 +5a +00 +25 +00 +69 +00 +56 +00 +f5 +10 +e2 +00 +af +10 +39 +00 +7f +00 +35 +00 +fc +00 +b3 +10 +92 +30 +46 +00 +a8 +00 +36 +00 +9f +00 +36 +00 +91 +00 +33 +00 +c5 +00 +c9 +00 +c4 +10 +ea +10 +00 +50 +0b +10 +e9 +10 +4f +00 +ed +00 +5e +00 +9e +10 +ba +00 +78 +20 +e1 +00 +d2 +20 +a2 +10 +de +60 +f1 +20 +56 +80 +4f +30 +b1 +60 +00 +20 +a7 +80 +d2 +30 +3c +a0 +e2 +20 +35 +60 +b3 +20 +d3 +50 +d1 +00 +29 +20 +94 +00 +5b +20 +2c +20 +c5 +60 +4d +20 +e2 +60 +be +10 +50 +50 +0b +10 +8b +10 +49 +00 +9d +00 +34 +00 +93 +00 +31 +00 +b9 +00 +ce +00 +89 +20 +59 +00 +a9 +00 +27 +00 +75 +00 +32 +00 +ab +00 +84 +00 +78 +10 +66 +00 +c9 +00 +39 +00 +80 +00 +28 +00 +82 +00 +33 +00 +a6 +00 +4a +00 +e0 +00 +6f +00 +d6 +10 +ab +00 +1a +10 +31 +00 +8b +00 +00 +00 +00 +00 +9b +00 +75 +00 +a7 +00 +70 +00 +b4 +00 +80 +00 +b8 +00 +76 +00 +c3 +00 +08 +10 +16 +20 +c9 +10 +4b +20 +f2 +00 +25 +10 +76 +10 +b0 +10 +e2 +00 +c3 +00 +b3 +00 +ad +00 +78 +00 +87 +00 +67 +00 +98 +00 +58 +00 +87 +00 +56 +00 +9a +00 +52 +00 +b8 +00 +4b +00 +6c +00 +4c +00 +6f +00 +4f +00 +80 +00 +3e +00 +73 +00 +3c +00 +7a +00 +59 +00 +75 +00 +88 +00 +fa +00 +a7 +00 +3e +10 +2c +10 +bf +10 +6f +20 +d6 +30 +81 +10 +59 +10 +ac +00 +c7 +00 +a6 +00 +1c +10 +be +00 +66 +10 +b3 +00 +f3 +00 +75 +00 +9b +00 +74 +00 +c8 +00 +af +00 +cf +00 +85 +00 +a4 +00 +65 +10 +ac +20 +cb +20 +f0 +50 +fe +10 +c9 +10 +d2 +00 +b3 +00 +70 +10 +b5 +20 +ca +00 +a7 +00 +5c +00 +85 +00 +55 +00 +78 +00 +48 +00 +86 +00 +3f +00 +84 +00 +4c +00 +70 +00 +5a +00 +95 +00 +66 +00 +75 +00 +52 +00 +73 +00 +45 +00 +6f +00 +43 +00 +70 +00 +53 +00 +5e +00 +42 +00 +6d +00 +42 +00 +70 +00 +7d +00 +bf +00 +7e +00 +86 +00 +3e +00 +65 +00 +2f +00 +4e +00 +35 +00 +5c +00 +37 +00 +58 +00 +3c +00 +57 +00 +4e +00 +75 +00 +6b +00 +8b +00 +56 +00 +79 +00 +4b +00 +63 +00 +4f +00 +66 +00 +4a +00 +55 +00 +3b +00 +67 +00 +3c +00 +6a +00 +41 +00 +75 +00 +51 +00 +7a +00 +48 +00 +70 +00 +6a +00 +a1 +00 +c6 +00 +e2 +10 +c8 +00 +fb +00 +72 +00 +62 +00 +a4 +00 +cb +00 +60 +00 +69 +00 +3e +00 +60 +00 +46 +00 +75 +00 +f3 +00 +07 +20 +be +00 +94 +00 +f3 +00 +32 +10 +e4 +00 +dc +00 +5f +00 +76 +00 +4d +00 +7f +00 +06 +10 +b7 +10 +97 +00 +bc +00 +c7 +00 +e8 +00 +46 +10 +ad +10 +4e +10 +60 +10 +f1 +00 +d4 +00 +76 +00 +8c +00 +55 +00 +7d +00 +6e +00 +99 +00 +94 +00 +d5 +00 +73 +00 +b6 +00 +5b +00 +81 +00 +4f +00 +5e +00 +4b +00 +55 +00 +39 +00 +53 +00 +38 +00 +76 +00 +54 +00 +9a +00 +5f +00 +95 +00 +58 +00 +7e +00 +67 +00 +9c +00 +7d +00 +b5 +00 +71 +00 +b3 +00 +87 +00 +26 +10 +c2 +00 +18 +10 +84 +00 +bc +00 +6f +00 +9a +00 +66 +00 +d0 +00 +9c +00 +e5 +10 +b0 +00 +dc +00 +70 +00 +8f +00 +6f +00 +a5 +00 +6f +00 +a8 +00 +7a +00 +be +00 +77 +00 +db +00 +27 +10 +97 +30 +9a +20 +03 +50 +8f +20 +fd +20 +57 +30 +1a +40 +65 +50 +37 +90 +3e +60 +91 +90 +3c +60 +70 +80 +4c +60 +dd +90 +11 +70 +99 +a0 +53 +70 +dd +a0 +27 +70 +b9 +a0 +96 +60 +61 +80 +fd +40 +5d +40 +a9 +20 +39 +20 +43 +10 +62 +10 +6e +10 +04 +20 +3b +20 +43 +20 +6d +20 +9e +20 +44 +30 +bd +40 +82 +30 +6a +50 +ef +20 +fa +20 +9a +10 +57 +20 +3f +10 +80 +10 +fc +00 +10 +10 +71 +10 +e8 +20 +e9 +30 +9f +70 +0b +50 +e3 +70 +0d +50 +fc +60 +26 +40 +3d +40 +53 +30 +a9 +40 +6d +30 +4f +20 +35 +10 +d9 +00 +9c +00 +c8 +00 +91 +00 +b9 +00 +bd +00 +c1 +00 +84 +00 +95 +00 +7e +00 +8f +00 +71 +00 +82 +00 +5c +00 +7f +00 +5f +00 +83 +00 +bb +00 +c1 +20 +ae +00 +02 +10 +7d +00 +8a +00 +5b +00 +89 +00 +58 +00 +e8 +00 +63 +00 +97 +00 +52 +00 +81 +00 +3f +00 +65 +00 +36 +00 +63 +00 +3d +00 +5e +00 +30 +00 +53 +00 +30 +00 +59 +00 +2a +00 +54 +00 +36 +00 +51 +00 +2f +00 +45 +00 +27 +00 +47 +00 +29 +00 +4b +00 +28 +00 +54 +00 +2e +00 +51 +00 +2a +00 +4e +00 +1f +00 +4a +00 +25 +00 +45 +00 +33 +00 +6a +00 +31 +00 +48 +00 +21 +00 +40 +00 +2b +00 +59 +00 +26 +00 +56 +00 +22 +00 +3b +00 +22 +00 +5e +00 +22 +00 +32 +00 +21 +00 +3d +00 +2e +00 +42 +00 +26 +00 +38 +00 +24 +00 +3a +00 +26 +00 +37 +00 +1d +00 +35 +00 +1c +00 +40 +00 +22 +00 +37 +00 +23 +00 +33 +00 +39 +00 +59 +00 +41 +00 +6f +00 +3f +00 +62 +00 +40 +00 +65 +00 +47 +00 +89 +00 +49 +00 +90 +00 +4f +00 +5f +00 +30 +00 +56 +00 +35 +00 +55 +00 +3c +00 +51 +00 +36 +00 +62 +00 +47 +00 +a3 +00 +05 +10 +b8 +20 +ff +00 +ed +00 +a1 +00 +92 +00 +d5 +00 +34 +10 +fd +00 +9b +10 +8c +10 +9b +20 +30 +10 +95 +10 +2b +10 +d0 +00 +71 +10 +69 +10 +07 +20 +20 +30 +86 +20 +44 +60 +cf +20 +95 +10 +3f +10 +e4 +00 +08 +10 +58 +10 +59 +10 +b1 +10 +76 +10 +34 +20 +3b +20 +71 +50 +5c +40 +6b +90 +ee +40 +dc +60 +79 +30 +2f +80 +09 +60 +55 +a0 +01 +60 +f6 +50 +83 +40 +b3 +60 +6a +40 +fc +40 +e7 +30 +58 +60 +0a +30 +22 +50 +1a +30 +54 +50 +40 +20 +a5 +30 +a1 +10 +2a +10 +98 +00 +82 +00 +5b +00 +93 +00 +67 +00 +e9 +00 +79 +00 +cc +00 +58 +00 +6e +00 +53 +00 +75 +00 +6b +00 +d8 +00 +6e +00 +f3 +00 +83 +00 +96 +00 +53 +00 +74 +00 +54 +00 +9d +00 +92 +00 +c1 +00 +d5 +00 +95 +10 +31 +10 +89 +10 +c7 +00 +a6 +00 +7a +00 +00 +00 +00 +00 +3a +00 +a8 +00 +41 +00 +aa +00 +42 +00 +a6 +00 +36 +00 +b2 +00 +4f +00 +02 +10 +0a +10 +d2 +30 +d2 +00 +a2 +10 +e4 +00 +3d +30 +68 +10 +87 +20 +73 +00 +6c +10 +53 +00 +bd +00 +33 +00 +9e +00 +34 +00 +8b +00 +29 +00 +77 +00 +2e +00 +89 +00 +31 +00 +7c +00 +2c +00 +7c +00 +2c +00 +76 +00 +2a +00 +78 +00 +28 +00 +71 +00 +25 +00 +74 +00 +29 +00 +8e +00 +50 +00 +eb +00 +45 +00 +dd +00 +f4 +00 +54 +40 +2b +10 +21 +20 +59 +00 +d8 +00 +4b +00 +ca +00 +41 +00 +d8 +00 +5a +00 +fe +00 +37 +00 +95 +00 +35 +00 +97 +00 +41 +00 +52 +10 +5d +00 +b1 +00 +39 +00 +2a +10 +67 +10 +27 +40 +0b +10 +39 +20 +62 +00 +c1 +00 +3f +00 +e8 +10 +14 +10 +ab +10 +3b +00 +8d +00 +2b +00 +7e +00 +26 +00 +7b +00 +32 +00 +85 +00 +2b +00 +66 +00 +2d +00 +81 +00 +80 +00 +0d +10 +44 +00 +94 +00 +26 +00 +6a +00 +28 +00 +75 +00 +23 +00 +5f +00 +22 +00 +6b +00 +28 +00 +66 +00 +37 +00 +b7 +00 +c0 +00 +68 +10 +2c +00 +60 +00 +1b +00 +56 +00 +20 +00 +57 +00 +28 +00 +49 +00 +1e +00 +4e +00 +18 +00 +58 +00 +24 +00 +72 +00 +24 +00 +58 +00 +28 +00 +64 +00 +22 +00 +62 +00 +2a +00 +73 +00 +1f +00 +5a +00 +22 +00 +5d +00 +27 +00 +80 +00 +2a +00 +68 +00 +26 +00 +70 +00 +26 +00 +6c +00 +37 +00 +d1 +00 +66 +00 +06 +10 +3e +00 +8a +00 +40 +00 +a9 +10 +6e +00 +d0 +00 +28 +00 +6c +00 +1c +00 +68 +00 +3b +00 +dc +10 +37 +10 +d4 +10 +66 +00 +93 +10 +2a +10 +3d +20 +63 +00 +a1 +00 +27 +00 +74 +00 +32 +00 +49 +10 +dc +00 +3f +10 +41 +00 +e3 +00 +84 +00 +15 +20 +c2 +00 +89 +10 +54 +00 +d9 +00 +36 +00 +7f +00 +2e +00 +83 +00 +32 +00 +9d +00 +35 +00 +ae +00 +3d +00 +a4 +00 +2c +00 +76 +00 +27 +00 +5d +00 +28 +00 +a2 +00 +44 +00 +70 +00 +24 +00 +6e +00 +2d +00 +8d +00 +3b +00 +94 +00 +2f +00 +7d +00 +30 +00 +86 +00 +34 +00 +91 +00 +3f +00 +94 +00 +3c +00 +a7 +00 +6c +00 +70 +10 +c4 +00 +38 +10 +42 +00 +ab +00 +3c +00 +a2 +00 +38 +00 +c5 +00 +5d +00 +ec +00 +4e +00 +af +00 +3f +00 +ac +00 +57 +00 +ca +00 +52 +00 +c0 +00 +4b +00 +a9 +00 +4f +00 +94 +10 +48 +10 +b3 +40 +4b +20 +cc +40 +20 +20 +84 +60 +88 +20 +2d +80 +75 +30 +ea +90 +75 +30 +42 +90 +1a +30 +7a +90 +9f +30 +54 +a0 +c6 +30 +02 +b0 +ec +30 +fc +a0 +d9 +30 +4d +a0 +9e +30 +14 +90 +8f +20 +66 +50 +d7 +00 +de +10 +84 +00 +2b +20 +a5 +10 +07 +30 +13 +10 +70 +50 +50 +20 +0f +70 +c1 +20 +f1 +60 +ae +20 +4f +50 +ec +00 +4f +20 +8b +00 +84 +10 +67 +00 +12 +10 +70 +00 +04 +20 +bc +10 +4a +50 +83 +20 +7f +70 +d0 +20 +11 +70 +11 +20 +4b +60 +6c +20 +2a +60 +b5 +20 +80 +70 +ba +10 +2b +20 +55 +00 +da +00 +3f +00 +d7 +00 +a7 +00 +1a +20 +70 +00 +dd +00 +44 +00 +6c +10 +75 +00 +e3 +00 +3b +00 +b6 +00 +5c +00 +00 +10 +52 +00 +d5 +00 +62 +00 +ff +00 +4a +00 +a1 +00 +31 +00 +76 +00 +2e +00 +7a +00 +34 +00 +7f +00 +2e +00 +80 +00 +2d +00 +6c +00 +26 +00 +61 +00 +25 +00 +53 +00 +28 +00 +60 +00 +21 +00 +5f +00 +1d +00 +4b +00 +20 +00 +5b +00 +4d +00 +75 +00 +24 +00 +61 +00 +1b +00 +40 +00 +21 +00 +5a +00 +1a +00 +3f +00 +1e +00 +45 +00 +20 +00 +49 +00 +20 +00 +4c +00 +1a +00 +4e +00 +18 +00 +44 +00 +18 +00 +51 +00 +1a +00 +4e +00 +21 +00 +59 +00 +21 +00 +50 +00 +16 +00 +40 +00 +16 +00 +3e +00 +13 +00 +3e +00 +24 +00 +4c +00 +1d +00 +43 +00 +17 +00 +35 +00 +16 +00 +2e +00 +13 +00 +2f +00 +17 +00 +39 +00 +1a +00 +34 +00 +1f +00 +45 +00 +16 +00 +3f +00 +17 +00 +5a +00 +22 +00 +54 +00 +27 +00 +58 +00 +1e +00 +60 +00 +26 +00 +70 +00 +28 +00 +64 +00 +26 +00 +5b +00 +27 +00 +5a +00 +25 +00 +5d +00 +26 +00 +54 +00 +24 +00 +58 +00 +3c +00 +00 +10 +25 +10 +82 +20 +5f +00 +3a +10 +bb +00 +ef +10 +87 +00 +7e +10 +89 +10 +d3 +30 +67 +10 +5d +30 +ed +00 +6b +20 +0b +10 +58 +30 +ee +10 +42 +50 +49 +10 +26 +40 +59 +20 +79 +50 +9d +00 +34 +10 +45 +00 +bd +00 +47 +00 +ff +00 +4e +00 +2a +10 +6b +00 +ea +10 +70 +10 +0e +60 +38 +20 +fd +50 +e9 +10 +22 +40 +e7 +10 +88 +80 +01 +40 +70 +a0 +e6 +20 +f4 +50 +38 +30 +68 +80 +12 +30 +4a +50 +16 +10 +d8 +20 +fe +00 +de +20 +e7 +00 +94 +20 +00 +10 +e6 +10 +61 +00 +c5 +00 +2d +00 +80 +00 +2d +00 +89 +00 +48 +00 +c0 +00 +3f +00 +9d +00 +24 +00 +57 +00 +28 +00 +74 +00 +38 +00 +b6 +00 +39 +00 +93 +00 +39 +00 +73 +00 +26 +00 +8e +00 +4e +00 +04 +20 +64 +00 +4e +10 +61 +10 +f7 +20 +66 +00 +d3 +00 +43 +00 +a9 +00 +00 +00 +00 +00 +a9 +00 +74 +00 +9d +00 +75 +00 +bd +00 +64 +00 +bb +00 +89 +00 +cd +00 +59 +10 +eb +20 +1c +20 +56 +30 +26 +10 +50 +10 +e5 +00 +c5 +10 +7b +10 +79 +20 +47 +20 +2b +30 +fc +00 +af +00 +6f +00 +98 +00 +5e +00 +7a +00 +47 +00 +62 +00 +4c +00 +82 +00 +54 +00 +6f +00 +7b +00 +b6 +00 +76 +00 +7b +00 +5d +00 +73 +00 +46 +00 +70 +00 +47 +00 +78 +00 +6f +00 +a6 +00 +ac +00 +bb +00 +5b +10 +b0 +20 +b7 +10 +7a +20 +17 +10 +e3 +00 +a8 +00 +ad +10 +06 +10 +f7 +00 +96 +00 +eb +00 +85 +00 +99 +00 +52 +00 +84 +00 +72 +00 +b1 +00 +f4 +00 +8e +10 +a7 +00 +b7 +00 +22 +20 +eb +40 +27 +20 +76 +20 +03 +10 +eb +00 +73 +00 +8f +00 +c9 +00 +47 +20 +f4 +00 +0b +10 +6d +00 +8d +00 +43 +00 +6a +00 +42 +00 +83 +00 +46 +00 +7a +00 +46 +00 +75 +00 +5a +00 +f4 +00 +c5 +00 +1d +10 +7f +00 +81 +00 +4b +00 +73 +00 +71 +00 +79 +00 +51 +00 +69 +00 +52 +00 +69 +00 +62 +00 +ac +00 +d2 +00 +59 +10 +7e +00 +c6 +00 +48 +00 +64 +00 +31 +00 +50 +00 +2f +00 +4b +00 +36 +00 +57 +00 +3b +00 +66 +00 +39 +00 +5b +00 +3d +00 +5e +00 +49 +00 +55 +00 +40 +00 +69 +00 +a1 +00 +57 +10 +60 +00 +65 +00 +42 +00 +64 +00 +40 +00 +5d +00 +40 +00 +61 +00 +47 +00 +6a +00 +4c +00 +6c +00 +89 +00 +8b +00 +a8 +00 +e8 +00 +8a +00 +a2 +00 +77 +00 +cd +00 +3b +10 +96 +10 +a8 +00 +92 +00 +40 +00 +64 +00 +63 +00 +9c +00 +83 +10 +46 +30 +5d +20 +e4 +30 +5a +20 +a1 +20 +ec +00 +09 +10 +6d +00 +6f +00 +5e +00 +7c +00 +95 +00 +f0 +00 +90 +00 +d3 +00 +9e +00 +03 +10 +40 +20 +e0 +30 +6e +10 +0b +10 +a7 +00 +a1 +00 +66 +00 +7d +00 +5e +00 +b5 +00 +12 +10 +91 +10 +8f +00 +98 +00 +62 +00 +64 +00 +4d +00 +5c +00 +3b +00 +68 +00 +51 +00 +dc +00 +56 +00 +64 +00 +3f +00 +61 +00 +43 +00 +7c +00 +53 +00 +79 +00 +6b +00 +9a +00 +5f +00 +8a +00 +49 +00 +78 +00 +56 +00 +88 +00 +5f +00 +c4 +00 +89 +00 +2e +10 +87 +00 +f8 +00 +5b +00 +8f +00 +63 +00 +83 +00 +5b +00 +a5 +00 +6d +00 +cb +00 +72 +00 +ae +00 +95 +00 +f3 +00 +6b +00 +cb +00 +6f +00 +a5 +00 +69 +00 +c3 +00 +fa +00 +d4 +20 +29 +20 +4a +50 +ac +40 +68 +80 +06 +60 +53 +90 +82 +60 +c7 +90 +8e +60 +ed +90 +0d +60 +09 +90 +c2 +60 +31 +a0 +fe +60 +8d +a0 +58 +70 +ce +a0 +42 +70 +f7 +a0 +c7 +60 +f1 +90 +5c +60 +63 +90 +d2 +40 +03 +40 +b1 +20 +d1 +20 +a1 +30 +73 +50 +c1 +20 +31 +40 +f0 +40 +44 +80 +85 +50 +38 +80 +6f +50 +84 +80 +49 +30 +27 +30 +b9 +10 +ae +10 +0f +10 +28 +10 +6e +10 +17 +20 +85 +30 +bb +50 +14 +50 +32 +80 +2f +50 +e9 +60 +20 +50 +0d +70 +3b +50 +7f +80 +11 +50 +94 +80 +b5 +40 +94 +50 +6f +20 +d9 +10 +14 +10 +d2 +00 +10 +10 +cd +30 +cc +10 +5e +20 +e2 +00 +ea +00 +b4 +00 +b5 +10 +9a +00 +ed +00 +6f +00 +24 +10 +6f +00 +b1 +00 +71 +00 +ba +00 +67 +00 +b2 +00 +61 +00 +77 +00 +48 +00 +70 +00 +42 +00 +79 +00 +3f +00 +78 +00 +41 +00 +6b +00 +33 +00 +6f +00 +37 +00 +54 +00 +2f +00 +5e +00 +2c +00 +62 +00 +33 +00 +51 +00 +30 +00 +51 +00 +33 +00 +75 +00 +35 +00 +5e +00 +33 +00 +48 +00 +2b +00 +58 +00 +28 +00 +4c +00 +22 +00 +4a +00 +2b +00 +41 +00 +22 +00 +50 +00 +30 +00 +55 +00 +2f +00 +51 +00 +21 +00 +4c +00 +28 +00 +4f +00 +27 +00 +3f +00 +24 +00 +42 +00 +24 +00 +50 +00 +2a +00 +47 +00 +22 +00 +3d +00 +2d +00 +48 +00 +25 +00 +47 +00 +21 +00 +39 +00 +1c +00 +37 +00 +29 +00 +32 +00 +24 +00 +3e +00 +20 +00 +34 +00 +25 +00 +4e +00 +2f +00 +42 +00 +24 +00 +49 +00 +2d +00 +53 +00 +30 +00 +56 +00 +38 +00 +54 +00 +3f +00 +69 +00 +3f +00 +6a +00 +2f +00 +59 +00 +31 +00 +4d +00 +32 +00 +73 +00 +5e +00 +6f +00 +3e +00 +61 +00 +3e +00 +7a +00 +79 +00 +07 +10 +b9 +00 +10 +10 +14 +10 +f0 +40 +e0 +10 +47 +20 +05 +10 +65 +20 +74 +10 +1d +40 +cf +10 +c1 +20 +6d +20 +66 +60 +4a +30 +7c +60 +12 +30 +69 +40 +6c +30 +e2 +70 +3a +40 +b1 +50 +cb +10 +08 +10 +d1 +00 +ab +00 +c9 +00 +b9 +00 +dd +00 +fe +00 +42 +10 +f4 +10 +b5 +10 +22 +30 +ed +10 +ae +20 +5f +20 +bc +20 +3b +30 +ec +70 +ac +50 +e3 +90 +de +40 +6b +60 +e2 +30 +31 +60 +4a +20 +77 +20 +a3 +10 +e7 +10 +64 +10 +d1 +10 +62 +10 +a0 +10 +79 +10 +42 +10 +b2 +00 +91 +00 +5f +00 +7a +00 +68 +00 +9b +00 +5c +00 +90 +00 +4f +00 +61 +00 +4f +00 +6a +00 +48 +00 +84 +00 +74 +00 +84 +00 +72 +00 +91 +00 +63 +00 +7b +00 +54 +00 +a4 +00 +69 +00 +72 +10 +07 +10 +cb +30 +87 +10 +79 +10 +d6 +00 +30 +10 +a0 +10 +00 +00 +00 +00 +3a +00 +b5 +00 +44 +00 +b5 +00 +44 +00 +b3 +00 +42 +00 +b2 +00 +47 +00 +57 +10 +2b +10 +37 +40 +fa +00 +b4 +10 +5b +00 +0f +10 +6d +00 +a9 +10 +35 +10 +5f +40 +39 +10 +b9 +10 +3a +00 +a4 +00 +37 +00 +95 +00 +37 +00 +7e +00 +2b +00 +75 +00 +2b +00 +77 +00 +30 +00 +b9 +00 +53 +00 +0f +10 +56 +00 +90 +00 +32 +00 +74 +00 +2b +00 +71 +00 +29 +00 +7a +00 +45 +00 +d7 +00 +5e +00 +62 +10 +0b +10 +bc +20 +8d +00 +33 +10 +3b +00 +bf +00 +a7 +00 +80 +20 +8d +00 +fd +00 +3b +00 +a3 +00 +31 +00 +8f +00 +37 +00 +99 +00 +54 +00 +6d +10 +69 +00 +d6 +00 +66 +00 +cd +20 +ee +10 +62 +40 +90 +00 +37 +10 +39 +00 +89 +00 +2a +00 +b7 +00 +bd +00 +3d +20 +71 +00 +df +00 +2e +00 +6d +00 +25 +00 +6d +00 +2d +00 +76 +00 +2a +00 +6a +00 +2d +00 +7f +00 +49 +00 +a8 +10 +7c +00 +d9 +00 +2d +00 +75 +00 +41 +00 +15 +10 +52 +00 +82 +00 +24 +00 +77 +00 +25 +00 +7a +00 +47 +00 +17 +10 +4a +00 +a4 +00 +2e +00 +6f +00 +22 +00 +59 +00 +23 +00 +57 +00 +25 +00 +4f +00 +26 +00 +5b +00 +26 +00 +5f +00 +1d +00 +58 +00 +21 +00 +59 +00 +1d +00 +4a +00 +2c +00 +c7 +00 +74 +00 +ce +00 +2b +00 +62 +00 +1d +00 +5b +00 +25 +00 +60 +00 +24 +00 +6a +00 +23 +00 +70 +00 +27 +00 +a2 +00 +48 +00 +e8 +00 +37 +00 +b8 +00 +37 +00 +82 +00 +85 +00 +96 +20 +7a +00 +07 +10 +39 +00 +84 +00 +2b +00 +7f +00 +3f +00 +3d +10 +2a +10 +0c +50 +42 +20 +99 +40 +a8 +00 +4f +10 +41 +00 +a4 +00 +31 +00 +7f +00 +48 +00 +fe +00 +41 +00 +ac +00 +38 +00 +96 +00 +64 +00 +00 +30 +5d +10 +89 +20 +5f +00 +e4 +00 +31 +00 +84 +00 +28 +00 +85 +00 +5d +00 +b4 +10 +80 +00 +fb +00 +30 +00 +75 +00 +23 +00 +71 +00 +26 +00 +69 +00 +21 +00 +69 +00 +30 +00 +6e +00 +22 +00 +66 +00 +21 +00 +64 +00 +31 +00 +70 +00 +46 +00 +e2 +00 +40 +00 +96 +00 +3c +00 +80 +00 +27 +00 +86 +00 +2d +00 +7e +00 +31 +00 +af +00 +52 +00 +cb +00 +48 +00 +94 +00 +35 +00 +7e +00 +40 +00 +94 +00 +42 +00 +04 +10 +4a +00 +c6 +00 +4a +00 +bd +00 +54 +00 +c6 +00 +41 +00 +ba +00 +40 +00 +a6 +00 +49 +00 +ef +00 +8c +00 +52 +20 +69 +20 +06 +80 +74 +30 +64 +90 +ab +30 +1b +a0 +ae +30 +1d +a0 +26 +30 +cc +80 +5a +30 +ad +90 +d7 +30 +40 +a0 +d0 +30 +a7 +a0 +e0 +30 +cb +a0 +f7 +30 +90 +a0 +c1 +30 +0f +a0 +a6 +30 +52 +90 +0c +20 +d5 +40 +5e +20 +47 +70 +0f +20 +dd +30 +4c +20 +24 +80 +6d +30 +22 +90 +54 +30 +77 +90 +29 +30 +9d +50 +e0 +00 +00 +20 +6a +00 +3e +10 +73 +00 +2d +20 +45 +20 +3c +70 +26 +30 +76 +80 +c8 +20 +47 +70 +f3 +20 +70 +70 +d9 +20 +23 +80 +a3 +20 +42 +70 +55 +30 +86 +80 +63 +20 +ee +40 +c8 +00 +7a +10 +5d +00 +0a +10 +6e +10 +b1 +40 +bb +00 +6e +10 +4b +00 +d5 +00 +46 +00 +ff +00 +4f +00 +c2 +00 +37 +00 +a9 +00 +3e +00 +9e +00 +36 +00 +a1 +00 +28 +00 +71 +00 +24 +00 +66 +00 +30 +00 +70 +00 +28 +00 +6c +00 +25 +00 +61 +00 +2b +00 +60 +00 +2b +00 +5d +00 +25 +00 +51 +00 +2b +00 +57 +00 +2b +00 +5a +00 +22 +00 +4b +00 +23 +00 +58 +00 +25 +00 +53 +00 +1c +00 +5e +00 +1e +00 +4b +00 +26 +00 +62 +00 +1e +00 +4d +00 +1c +00 +46 +00 +1a +00 +45 +00 +26 +00 +6d +00 +22 +00 +5c +00 +19 +00 +4c +00 +23 +00 +50 +00 +1f +00 +47 +00 +1c +00 +40 +00 +21 +00 +4d +00 +1f +00 +48 +00 +1c +00 +3c +00 +18 +00 +3f +00 +18 +00 +36 +00 +16 +00 +32 +00 +19 +00 +31 +00 +14 +00 +2d +00 +14 +00 +2f +00 +14 +00 +33 +00 +1a +00 +63 +00 +1e +00 +53 +00 +1b +00 +3f +00 +1a +00 +40 +00 +23 +00 +4e +00 +24 +00 +58 +00 +1e +00 +61 +00 +24 +00 +65 +00 +21 +00 +56 +00 +27 +00 +4e +00 +22 +00 +59 +00 +39 +00 +c6 +00 +2c +00 +6a +00 +23 +00 +55 +00 +29 +00 +95 +00 +51 +00 +b1 +10 +52 +00 +f6 +00 +db +00 +32 +20 +7f +00 +38 +10 +68 +00 +46 +10 +b5 +00 +3d +20 +18 +10 +9b +30 +71 +20 +98 +40 +19 +10 +13 +30 +00 +10 +38 +30 +e7 +10 +1c +70 +ca +20 +fe +30 +58 +00 +d9 +00 +48 +00 +18 +10 +4a +00 +ba +00 +45 +00 +05 +10 +5f +00 +a6 +10 +8b +00 +f5 +10 +97 +00 +db +10 +91 +00 +43 +30 +07 +20 +9a +70 +21 +30 +14 +70 +db +10 +18 +60 +44 +10 +b1 +20 +8d +00 +6e +10 +62 +00 +4f +10 +69 +00 +62 +10 +24 +10 +ee +30 +8e +00 +e6 +00 +3b +00 +8b +00 +32 +00 +7e +00 +30 +00 +84 +00 +32 +00 +71 +00 +27 +00 +6f +00 +2a +00 +63 +00 +32 +00 +8a +00 +3f +00 +b3 +00 +39 +00 +a8 +00 +30 +00 +86 +00 +2d +00 +91 +00 +49 +00 +6d +10 +95 +00 +8e +10 +5a +00 +f5 +00 +d4 +00 +b3 +20 +00 +00 +00 +00 +9c +00 +66 +00 +a6 +00 +72 +00 +ac +00 +78 +00 +ba +00 +9f +00 +e8 +00 +8d +10 +58 +30 +a3 +10 +25 +20 +15 +10 +ff +00 +f8 +00 +4c +10 +80 +20 +db +40 +ce +20 +68 +30 +49 +10 +f1 +00 +a1 +00 +b1 +00 +60 +00 +83 +00 +4a +00 +73 +00 +4e +00 +72 +00 +5c +00 +97 +00 +a7 +00 +11 +10 +b3 +00 +6b +10 +85 +00 +8e +00 +4c +00 +71 +00 +51 +00 +7e +00 +63 +00 +9e +00 +5f +10 +e0 +20 +74 +20 +c1 +30 +74 +10 +b2 +10 +ac +00 +ac +00 +81 +00 +b6 +00 +71 +00 +13 +10 +ab +00 +de +00 +88 +00 +a8 +00 +6e +00 +a7 +00 +87 +00 +78 +10 +9a +00 +d2 +00 +83 +00 +b3 +00 +00 +10 +ae +20 +66 +10 +d6 +10 +b4 +00 +a3 +00 +64 +00 +78 +00 +8b +00 +46 +10 +01 +10 +ca +10 +81 +00 +87 +00 +43 +00 +6a +00 +36 +00 +6e +00 +3f +00 +6b +00 +42 +00 +6a +00 +69 +00 +bd +00 +57 +10 +d4 +10 +a0 +00 +83 +00 +78 +00 +5f +10 +4d +10 +1d +20 +9a +00 +8c +00 +0d +10 +00 +10 +a8 +00 +49 +10 +96 +00 +b2 +00 +5b +00 +7f +00 +44 +00 +5f +00 +3b +00 +59 +00 +38 +00 +63 +00 +37 +00 +69 +00 +39 +00 +4f +00 +32 +00 +56 +00 +31 +00 +50 +00 +31 +00 +5a +00 +35 +00 +67 +00 +42 +00 +7b +00 +3c +00 +5f +00 +41 +00 +64 +00 +2e +00 +62 +00 +41 +00 +6f +00 +60 +00 +7a +00 +fd +00 +57 +10 +e7 +10 +ac +30 +84 +10 +1f +10 +c0 +00 +9d +00 +e8 +00 +dd +00 +07 +10 +60 +10 +fd +00 +cc +00 +60 +00 +77 +00 +7a +00 +c4 +00 +22 +20 +18 +50 +f0 +30 +66 +60 +39 +20 +3d +20 +f1 +00 +e3 +00 +75 +00 +7b +00 +d2 +00 +cb +20 +7b +10 +4e +10 +9f +00 +af +00 +75 +00 +cd +00 +71 +10 +0f +40 +56 +20 +17 +30 +cc +00 +9f +00 +65 +00 +8e +00 +79 +00 +f2 +00 +df +00 +b3 +10 +93 +00 +9e +00 +54 +00 +66 +00 +44 +00 +60 +00 +39 +00 +62 +00 +4d +00 +89 +00 +68 +00 +65 +00 +45 +00 +63 +00 +32 +00 +70 +00 +68 +00 +40 +10 +cb +00 +26 +10 +67 +00 +97 +00 +49 +00 +7f +00 +44 +00 +84 +00 +48 +00 +71 +00 +5c +00 +a7 +00 +53 +00 +97 +00 +4f +00 +7c +00 +3d +00 +8b +00 +5b +00 +9d +00 +55 +00 +ab +00 +5d +00 +a8 +00 +68 +00 +c4 +00 +63 +00 +a8 +00 +64 +00 +a4 +00 +66 +00 +a5 +00 +a6 +00 +34 +10 +68 +20 +ca +60 +22 +50 +81 +90 +75 +60 +3b +a0 +da +60 +25 +a0 +38 +60 +b9 +80 +3c +60 +78 +90 +a2 +60 +5c +a0 +11 +70 +5e +a0 +1c +70 +b9 +a0 +6e +70 +ba +a0 +0e +70 +59 +a0 +b3 +60 +77 +a0 +4f +60 +0b +90 +e7 +50 +8c +80 +44 +50 +65 +60 +73 +40 +b0 +70 +db +50 +9a +90 +c4 +50 +10 +90 +b0 +40 +7b +70 +ff +20 +04 +30 +b3 +10 +69 +10 +1f +10 +48 +10 +00 +30 +c7 +60 +ce +40 +76 +80 +c9 +40 +89 +70 +4e +50 +dd +70 +1a +50 +63 +70 +61 +30 +fd +30 +e1 +20 +cb +40 +3d +40 +c7 +70 +d0 +30 +c3 +40 +31 +20 +91 +10 +27 +10 +95 +20 +d2 +20 +9f +40 +22 +10 +02 +10 +95 +00 +bc +00 +7a +00 +ce +00 +5c +00 +9a +00 +53 +00 +9a +00 +5b +00 +79 +00 +58 +00 +7a +00 +41 +00 +66 +00 +2e +00 +64 +00 +30 +00 +71 +00 +38 +00 +60 +00 +3f +00 +55 +00 +37 +00 +5f +00 +37 +00 +58 +00 +29 +00 +50 +00 +30 +00 +67 +00 +33 +00 +52 +00 +36 +00 +52 +00 +2a +00 +42 +00 +27 +00 +53 +00 +2c +00 +51 +00 +2a +00 +4b +00 +2d +00 +54 +00 +25 +00 +3f +00 +25 +00 +40 +00 +2b +00 +6b +00 +36 +00 +58 +00 +34 +00 +58 +00 +26 +00 +5f +00 +2b +00 +4c +00 +23 +00 +3f +00 +27 +00 +46 +00 +26 +00 +4d +00 +28 +00 +50 +00 +2a +00 +30 +00 +20 +00 +38 +00 +23 +00 +2c +00 +20 +00 +30 +00 +24 +00 +32 +00 +1b +00 +31 +00 +20 +00 +37 +00 +2c +00 +52 +00 +60 +00 +fe +00 +37 +00 +67 +00 +2c +00 +41 +00 +2a +00 +4d +00 +28 +00 +52 +00 +2c +00 +58 +00 +29 +00 +53 +00 +31 +00 +4e +00 +2e +00 +58 +00 +30 +00 +52 +00 +2c +00 +5b +00 +3b +00 +70 +00 +43 +00 +5b +00 +6a +00 +75 +00 +86 +00 +9d +00 +7a +00 +b9 +00 +9e +00 +f7 +00 +9c +00 +35 +10 +d0 +00 +fd +00 +d9 +00 +27 +10 +ef +00 +4c +20 +de +10 +ce +20 +66 +10 +59 +20 +4d +10 +dc +10 +ae +10 +65 +20 +07 +20 +5c +40 +8b +10 +33 +20 +39 +10 +6e +10 +41 +10 +46 +10 +a9 +00 +d2 +00 +c6 +00 +fa +00 +f8 +00 +34 +10 +45 +10 +65 +10 +78 +10 +6e +10 +d9 +20 +ea +50 +1f +40 +a3 +50 +a6 +40 +5b +60 +a9 +20 +b5 +20 +9d +10 +72 +10 +13 +10 +e1 +00 +0d +10 +15 +10 +09 +20 +11 +50 +48 +20 +2a +20 +d6 +00 +93 +00 +5b +00 +7d +00 +4e +00 +7e +00 +55 +00 +88 +00 +4d +00 +63 +00 +45 +00 +5e +00 +44 +00 +71 +00 +9e +00 +6d +20 +55 +10 +e3 +00 +74 +00 +7f +00 +3b +00 +71 +00 +67 +00 +a7 +00 +cb +00 +90 +10 +fe +00 +35 +10 +d3 +00 +e3 +00 +ca +00 +00 +00 +00 +00 +37 +00 +a6 +00 +48 +00 +af +00 +3d +00 +ba +00 +43 +00 +bf +00 +60 +00 +e9 +10 +11 +10 +6e +20 +90 +00 +33 +10 +4f +00 +0f +10 +d0 +00 +31 +40 +12 +20 +1c +50 +ef +00 +c1 +10 +71 +00 +15 +10 +3e +00 +af +00 +33 +00 +83 +00 +36 +00 +80 +00 +2a +00 +8e +00 +3c +00 +d1 +00 +50 +00 +d8 +00 +45 +00 +a1 +00 +33 +00 +82 +00 +29 +00 +79 +00 +2e +00 +80 +00 +3b +00 +c0 +10 +a3 +10 +f7 +40 +69 +10 +4a +20 +59 +00 +c5 +00 +2f +00 +8b +00 +3b +00 +af +00 +42 +00 +b2 +00 +48 +00 +c0 +00 +46 +00 +c8 +00 +48 +00 +cb +00 +46 +00 +da +00 +3e +00 +a1 +00 +3d +00 +db +00 +68 +00 +6d +10 +50 +00 +b3 +00 +31 +00 +82 +00 +27 +00 +9e +00 +5e +00 +13 +10 +4c +00 +c1 +00 +2c +00 +65 +00 +23 +00 +63 +00 +22 +00 +64 +00 +2a +00 +73 +00 +24 +00 +62 +00 +87 +00 +f0 +20 +b6 +00 +1c +10 +2f +00 +7d +00 +4f +00 +45 +10 +83 +00 +00 +10 +9e +00 +3e +30 +11 +10 +62 +10 +ac +00 +61 +10 +36 +00 +88 +00 +1f +00 +67 +00 +1c +00 +5d +00 +28 +00 +69 +00 +28 +00 +5a +00 +25 +00 +60 +00 +1d +00 +55 +00 +23 +00 +4d +00 +1e +00 +59 +00 +1b +00 +4d +00 +1d +00 +5e +00 +29 +00 +66 +00 +24 +00 +62 +00 +26 +00 +57 +00 +22 +00 +5a +00 +29 +00 +98 +00 +8e +00 +fb +20 +bc +10 +c1 +40 +0c +20 +0b +40 +76 +00 +e3 +00 +54 +00 +8a +10 +f6 +00 +90 +20 +ee +00 +8b +20 +5f +00 +a6 +00 +2b +00 +9a +00 +be +00 +43 +30 +1b +20 +ff +60 +5c +20 +12 +40 +86 +00 +45 +10 +40 +00 +98 +00 +35 +00 +e1 +00 +53 +10 +3d +30 +89 +00 +ee +00 +34 +00 +8f +00 +44 +00 +52 +10 +f5 +00 +d7 +20 +dd +00 +76 +10 +3c +00 +9f +00 +35 +00 +c5 +00 +6e +00 +08 +10 +47 +00 +c5 +00 +2b +00 +78 +00 +26 +00 +6e +00 +24 +00 +6e +00 +2a +00 +75 +00 +70 +00 +45 +10 +34 +00 +67 +00 +26 +00 +6d +00 +2e +00 +86 +00 +80 +00 +34 +10 +5c +00 +c5 +00 +35 +00 +7b +00 +30 +00 +72 +00 +25 +00 +7a +00 +2d +00 +7d +00 +33 +00 +81 +00 +27 +00 +8b +00 +3a +00 +89 +00 +3a +00 +88 +00 +2d +00 +80 +00 +2b +00 +84 +00 +42 +00 +c2 +00 +3f +00 +a5 +00 +3e +00 +9b +00 +38 +00 +a8 +00 +33 +00 +cf +00 +9a +00 +bb +20 +32 +20 +44 +70 +5c +30 +0b +a0 +95 +30 +2a +a0 +8c +30 +70 +80 +cf +20 +fc +70 +6c +30 +02 +a0 +be +30 +78 +a0 +c2 +30 +bc +a0 +d6 +30 +fd +a0 +b7 +30 +4b +a0 +d0 +30 +16 +a0 +d0 +30 +54 +a0 +c3 +30 +ea +90 +99 +30 +67 +90 +65 +20 +1e +70 +3f +30 +60 +90 +c8 +30 +a6 +90 +7f +20 +31 +50 +47 +10 +3f +30 +ba +00 +01 +20 +89 +00 +62 +10 +ba +00 +bc +40 +e5 +20 +af +70 +85 +20 +f1 +70 +18 +30 +5d +70 +61 +20 +bd +60 +cb +10 +b8 +30 +ed +00 +14 +30 +4b +10 +6f +50 +aa +20 +ff +60 +6a +10 +cc +20 +80 +00 +36 +10 +28 +10 +92 +40 +10 +10 +04 +20 +56 +00 +ba +00 +3d +00 +af +00 +44 +00 +ac +00 +32 +00 +8c +00 +3d +00 +70 +00 +30 +00 +68 +00 +27 +00 +65 +00 +2d +00 +55 +00 +28 +00 +6c +00 +2b +00 +77 +00 +20 +00 +5f +00 +24 +00 +5a +00 +24 +00 +5c +00 +24 +00 +54 +00 +25 +00 +4d +00 +21 +00 +5f +00 +24 +00 +5d +00 +2f +00 +57 +00 +1b +00 +46 +00 +1f +00 +50 +00 +1a +00 +46 +00 +1e +00 +53 +00 +25 +00 +4a +00 +18 +00 +48 +00 +1d +00 +4e +00 +22 +00 +5b +00 +1f +00 +67 +00 +24 +00 +4e +00 +1b +00 +4f +00 +20 +00 +48 +00 +1a +00 +3a +00 +23 +00 +56 +00 +1b +00 +49 +00 +18 +00 +44 +00 +15 +00 +33 +00 +16 +00 +46 +00 +0c +00 +31 +00 +0e +00 +37 +00 +12 +00 +31 +00 +15 +00 +35 +00 +1c +00 +41 +00 +36 +00 +81 +00 +2e +00 +78 +00 +23 +00 +56 +00 +1b +00 +40 +00 +21 +00 +46 +00 +27 +00 +40 +00 +1b +00 +4d +00 +24 +00 +4d +00 +20 +00 +61 +00 +20 +00 +4e +00 +22 +00 +58 +00 +25 +00 +5e +00 +30 +00 +6e +00 +44 +00 +5c +10 +71 +00 +b6 +00 +2f +00 +8f +00 +36 +00 +bb +00 +44 +00 +d8 +00 +47 +00 +16 +10 +0c +10 +79 +10 +4b +00 +ec +00 +74 +00 +ba +10 +8f +00 +a1 +10 +75 +00 +6b +10 +6e +00 +28 +20 +af +00 +2e +20 +ac +00 +ab +10 +93 +00 +8e +10 +80 +00 +b8 +10 +70 +00 +df +00 +49 +00 +c4 +00 +4f +00 +de +00 +56 +00 +8a +10 +7b +00 +1e +10 +74 +00 +84 +30 +df +20 +99 +80 +41 +20 +4c +60 +95 +10 +02 +30 +91 +00 +60 +10 +4f +00 +de +00 +52 +00 +8e +10 +c1 +00 +04 +30 +28 +20 +67 +30 +89 +00 +fb +00 +3d +00 +96 +00 +36 +00 +84 +00 +2b +00 +74 +00 +36 +00 +60 +00 +30 +00 +63 +00 +2a +00 +72 +00 +28 +00 +9b +00 +83 +10 +81 +30 +55 +00 +a8 +00 +2b +00 +75 +00 +26 +00 +81 +00 +38 +00 +e7 +00 +17 +10 +84 +30 +6c +00 +d4 +00 +49 +00 +e9 +00 +00 +00 +00 +00 +a5 +00 +73 +00 +b2 +00 +6f +00 +ae +00 +79 +00 +bf +00 +a9 +00 +04 +10 +3e +20 +ea +30 +16 +30 +aa +30 +b8 +10 +46 +10 +a0 +10 +f1 +20 +16 +30 +83 +50 +7b +20 +d6 +30 +4e +20 +d0 +20 +15 +10 +04 +20 +9f +00 +a9 +00 +57 +00 +78 +00 +4f +00 +76 +00 +62 +00 +92 +00 +ad +00 +ca +00 +a8 +00 +a9 +00 +63 +00 +7a +00 +55 +00 +91 +00 +4a +00 +7a +00 +5b +00 +85 +00 +02 +10 +28 +30 +ed +10 +48 +30 +46 +10 +0c +10 +7f +00 +90 +00 +65 +00 +9d +00 +6c +00 +b8 +00 +b3 +00 +46 +10 +1a +10 +1a +10 +6c +00 +8e +00 +62 +00 +8b +00 +71 +00 +ad +00 +7a +00 +91 +00 +94 +00 +e6 +00 +b7 +00 +ca +00 +6f +00 +88 +00 +63 +00 +80 +00 +ea +00 +9e +20 +70 +10 +4c +10 +71 +00 +77 +00 +44 +00 +62 +00 +45 +00 +69 +00 +51 +00 +66 +00 +46 +00 +70 +00 +75 +00 +27 +10 +d7 +00 +96 +10 +97 +00 +91 +00 +56 +00 +8a +00 +61 +00 +b1 +00 +20 +10 +f4 +20 +b9 +10 +ed +20 +ec +00 +f7 +00 +65 +00 +b6 +00 +52 +00 +72 +00 +3b +00 +74 +00 +3b +00 +a9 +00 +42 +00 +68 +00 +3d +00 +5e +00 +32 +00 +5f +00 +2b +00 +5b +00 +32 +00 +4d +00 +34 +00 +43 +00 +35 +00 +57 +00 +36 +00 +63 +00 +45 +00 +61 +00 +3c +00 +56 +00 +30 +00 +62 +00 +38 +00 +6d +00 +a7 +00 +a4 +10 +19 +10 +40 +30 +6d +20 +2a +50 +6c +20 +8b +20 +fb +10 +72 +20 +02 +30 +8a +50 +fa +10 +aa +20 +f3 +00 +10 +10 +6d +00 +79 +00 +f0 +00 +8c +20 +25 +30 +05 +50 +cd +30 +ee +60 +6b +20 +51 +20 +1d +10 +ee +00 +91 +00 +ad +00 +71 +10 +59 +20 +f9 +00 +61 +10 +ae +00 +a8 +00 +66 +00 +a4 +00 +eb +00 +72 +10 +c1 +00 +50 +10 +b9 +00 +cb +00 +71 +00 +8a +00 +81 +00 +a8 +10 +b9 +00 +db +00 +d5 +00 +d6 +00 +93 +00 +7c +00 +55 +00 +68 +00 +46 +00 +70 +00 +65 +00 +0a +10 +86 +00 +c4 +00 +53 +00 +5e +00 +42 +00 +77 +00 +4e +00 +8f +00 +57 +00 +b9 +00 +5e +00 +94 +00 +4c +00 +7a +00 +4a +00 +8b +00 +52 +00 +7b +00 +53 +00 +84 +00 +52 +00 +70 +00 +45 +00 +66 +00 +49 +00 +86 +00 +43 +00 +7c +00 +49 +00 +7b +00 +46 +00 +80 +00 +51 +00 +a8 +00 +5a +00 +ac +00 +56 +00 +a9 +00 +5d +00 +a1 +00 +d7 +00 +7b +20 +6b +10 +6a +20 +b6 +20 +0f +70 +e5 +50 +4b +a0 +47 +60 +4c +90 +3a +60 +1c +80 +71 +50 +a6 +80 +a8 +60 +44 +a0 +18 +70 +71 +a0 +5a +70 +a4 +a0 +29 +70 +af +a0 +2a +70 +ae +a0 +10 +70 +9f +a0 +18 +70 +4c +a0 +b8 +60 +17 +a0 +53 +60 +53 +80 +3a +60 +62 +90 +5d +60 +0c +a0 +47 +60 +be +80 +da +30 +8f +30 +94 +20 +fa +10 +0a +20 +21 +30 +ce +10 +66 +20 +ae +30 +9b +60 +cb +40 +5c +80 +a3 +50 +16 +80 +09 +50 +95 +70 +cd +40 +31 +40 +58 +30 +43 +30 +d5 +30 +0a +60 +88 +40 +b4 +70 +dc +30 +31 +50 +07 +20 +86 +10 +35 +10 +cb +10 +34 +10 +ce +10 +d9 +00 +0c +10 +95 +00 +9e +00 +5c +00 +98 +00 +56 +00 +8c +00 +4b +00 +82 +00 +43 +00 +66 +00 +4c +00 +6d +00 +3a +00 +66 +00 +37 +00 +6a +00 +38 +00 +69 +00 +36 +00 +5e +00 +33 +00 +68 +00 +32 +00 +69 +00 +31 +00 +57 +00 +2f +00 +4d +00 +2d +00 +60 +00 +29 +00 +55 +00 +2c +00 +8d +00 +55 +00 +68 +00 +2c +00 +48 +00 +2c +00 +49 +00 +28 +00 +4f +00 +2d +00 +51 +00 +24 +00 +48 +00 +23 +00 +5a +00 +27 +00 +5d +00 +2b +00 +5c +00 +31 +00 +53 +00 +2d +00 +4b +00 +26 +00 +44 +00 +2a +00 +40 +00 +28 +00 +58 +00 +29 +00 +51 +00 +2a +00 +41 +00 +22 +00 +3f +00 +21 +00 +3c +00 +25 +00 +31 +00 +1f +00 +2d +00 +19 +00 +2a +00 +1e +00 +31 +00 +29 +00 +3b +00 +26 +00 +4f +00 +37 +00 +6a +00 +3d +00 +57 +00 +32 +00 +58 +00 +28 +00 +3d +00 +24 +00 +4b +00 +23 +00 +45 +00 +2a +00 +4e +00 +28 +00 +5a +00 +2d +00 +59 +00 +32 +00 +52 +00 +2b +00 +5c +00 +3e +00 +67 +00 +55 +00 +b4 +00 +57 +10 +fc +30 +bd +00 +9d +00 +68 +00 +93 +00 +e8 +00 +04 +10 +b1 +00 +a5 +00 +c2 +00 +a9 +20 +0b +10 +09 +10 +bc +00 +de +00 +d1 +00 +2b +10 +e0 +00 +20 +10 +e2 +00 +f5 +00 +98 +10 +3d +30 +2e +10 +51 +10 +d4 +00 +ff +00 +ae +00 +05 +10 +af +00 +fb +00 +82 +00 +bc +00 +4b +10 +27 +20 +0f +10 +02 +10 +50 +10 +b7 +20 +28 +20 +d7 +10 +93 +30 +6d +80 +a6 +50 +7d +90 +db +30 +4d +30 +14 +20 +96 +10 +57 +10 +f3 +00 +5c +10 +a3 +10 +9e +10 +e4 +10 +f0 +10 +0d +30 +65 +10 +84 +10 +c5 +00 +a4 +00 +68 +00 +8e +00 +53 +00 +87 +00 +51 +00 +73 +00 +49 +00 +65 +00 +47 +00 +71 +00 +42 +00 +74 +00 +82 +00 +73 +10 +17 +10 +5a +10 +b1 +00 +8a +00 +77 +00 +cf +00 +e7 +00 +29 +10 +d5 +00 +4c +10 +fa +00 +fb +10 +a8 +00 +a4 +00 +a0 +00 +00 +00 +00 +00 +41 +00 +aa +00 +3e +00 +ab +00 +4b +00 +ac +00 +47 +00 +c9 +00 +75 +00 +b9 +20 +bf +10 +f0 +50 +03 +20 +72 +40 +a1 +00 +d2 +10 +97 +10 +12 +50 +41 +10 +c8 +20 +3a +10 +4b +40 +12 +10 +e3 +10 +6d +00 +06 +10 +38 +00 +9e +00 +2d +00 +84 +00 +36 +00 +93 +00 +4f +00 +86 +10 +67 +00 +ed +00 +39 +00 +99 +00 +2f +00 +8b +00 +3a +00 +8c +00 +32 +00 +80 +00 +34 +00 +ce +00 +8a +00 +5c +20 +db +00 +a1 +10 +44 +00 +a9 +00 +2c +00 +8c +00 +3c +00 +af +00 +3b +00 +0f +10 +93 +00 +d2 +10 +62 +00 +a7 +00 +36 +00 +82 +00 +29 +00 +94 +00 +71 +00 +17 +10 +36 +00 +91 +00 +3c +00 +c0 +00 +33 +00 +93 +00 +37 +00 +87 +00 +2b +00 +c8 +00 +9d +00 +6f +20 +7b +00 +cb +00 +2b +00 +63 +00 +1e +00 +6c +00 +30 +00 +80 +00 +2d +00 +67 +00 +21 +00 +72 +00 +84 +00 +65 +10 +5b +00 +d0 +00 +29 +00 +79 +00 +24 +00 +84 +00 +4f +00 +c9 +10 +40 +10 +88 +20 +7e +00 +0a +10 +31 +00 +90 +00 +2d +00 +6f +00 +1b +00 +5a +00 +27 +00 +73 +00 +27 +00 +61 +00 +22 +00 +5c +00 +1d +00 +56 +00 +1e +00 +5d +00 +24 +00 +55 +00 +21 +00 +52 +00 +1d +00 +58 +00 +26 +00 +5e +00 +21 +00 +6a +00 +23 +00 +67 +00 +21 +00 +49 +00 +21 +00 +5b +00 +1e +00 +9a +00 +55 +00 +0e +10 +7d +00 +b5 +10 +7e +10 +82 +40 +3c +10 +25 +40 +ef +10 +ef +50 +ca +10 +32 +30 +8e +00 +fa +00 +3f +00 +91 +00 +33 +00 +f4 +00 +5a +10 +97 +50 +4a +20 +3e +50 +5f +10 +d3 +20 +7b +00 +55 +10 +72 +00 +d4 +00 +50 +00 +8f +20 +0f +10 +b0 +10 +5a +00 +c5 +00 +30 +00 +87 +00 +46 +00 +8e +10 +76 +00 +1f +10 +52 +00 +11 +10 +49 +00 +92 +00 +36 +00 +a7 +00 +3d +00 +cb +00 +75 +00 +51 +20 +c3 +00 +0a +10 +2c +00 +81 +00 +29 +00 +7e +00 +40 +00 +9f +00 +49 +00 +c0 +00 +3a +00 +8d +00 +1c +00 +5f +00 +29 +00 +75 +00 +35 +00 +99 +00 +3b +00 +89 +00 +2b +00 +7b +00 +34 +00 +74 +00 +2e +00 +83 +00 +2f +00 +7e +00 +32 +00 +76 +00 +2c +00 +73 +00 +2a +00 +68 +00 +2c +00 +60 +00 +28 +00 +66 +00 +26 +00 +7a +00 +32 +00 +8f +00 +35 +00 +a1 +00 +39 +00 +98 +00 +41 +00 +9f +00 +43 +00 +e3 +00 +8c +00 +74 +10 +90 +00 +7e +20 +87 +20 +c5 +80 +92 +30 +21 +90 +14 +30 +68 +90 +33 +30 +72 +80 +63 +30 +cd +90 +ae +30 +91 +a0 +ce +30 +ac +a0 +db +30 +c0 +a0 +f3 +30 +bb +a0 +de +30 +c2 +a0 +d8 +30 +cd +a0 +e4 +30 +9c +a0 +d7 +30 +72 +a0 +8e +30 +ee +90 +b6 +30 +fa +90 +7d +30 +18 +a0 +38 +30 +1c +60 +4e +10 +d4 +30 +02 +10 +e6 +20 +dd +10 +48 +30 +72 +10 +f8 +40 +6e +20 +41 +80 +11 +30 +e3 +70 +1c +30 +52 +80 +e6 +20 +53 +80 +72 +20 +eb +50 +30 +20 +66 +60 +76 +20 +00 +60 +50 +20 +38 +60 +b3 +10 +08 +30 +83 +00 +76 +10 +7d +00 +79 +10 +71 +00 +26 +10 +40 +00 +b6 +00 +36 +00 +87 +00 +2e +00 +98 +00 +2f +00 +7f +00 +34 +00 +7e +00 +2a +00 +61 +00 +26 +00 +6b +00 +2d +00 +68 +00 +1f +00 +64 +00 +27 +00 +5d +00 +22 +00 +64 +00 +23 +00 +5b +00 +27 +00 +60 +00 +27 +00 +64 +00 +21 +00 +4d +00 +26 +00 +5b +00 +26 +00 +5b +00 +34 +00 +70 +00 +23 +00 +5d +00 +18 +00 +3e +00 +15 +00 +45 +00 +18 +00 +4b +00 +1b +00 +50 +00 +24 +00 +4a +00 +17 +00 +45 +00 +14 +00 +53 +00 +23 +00 +5f +00 +20 +00 +56 +00 +29 +00 +5a +00 +1e +00 +48 +00 +1b +00 +45 +00 +1f +00 +48 +00 +22 +00 +4d +00 +19 +00 +42 +00 +19 +00 +38 +00 +15 +00 +31 +00 +16 +00 +38 +00 +14 +00 +32 +00 +12 +00 +3a +00 +16 +00 +40 +00 +19 +00 +5d +00 +23 +00 +63 +00 +27 +00 +7f +00 +24 +00 +60 +00 +1c +00 +44 +00 +15 +00 +40 +00 +19 +00 +43 +00 +1d +00 +4b +00 +1e +00 +56 +00 +2a +00 +5d +00 +21 +00 +58 +00 +21 +00 +53 +00 +21 +00 +60 +00 +2d +00 +64 +00 +31 +00 +09 +10 +b1 +00 +49 +10 +36 +00 +7e +00 +42 +00 +28 +20 +cc +00 +01 +10 +30 +00 +b2 +00 +90 +00 +33 +10 +41 +00 +b1 +00 +91 +00 +6d +10 +47 +00 +c6 +00 +3d +00 +ab +00 +4d +00 +96 +10 +a8 +00 +46 +10 +40 +00 +cb +00 +3c +00 +c1 +00 +95 +00 +64 +10 +4c +00 +c6 +00 +5c +00 +9e +20 +a7 +10 +0b +20 +69 +00 +7d +10 +8a +10 +43 +50 +94 +10 +56 +50 +5e +30 +eb +90 +26 +30 +e1 +40 +d7 +00 +9e +20 +7f +00 +88 +10 +e1 +00 +fd +30 +ab +10 +a0 +20 +c1 +00 +f5 +40 +47 +10 +f8 +10 +61 +00 +d2 +00 +37 +00 +a3 +00 +37 +00 +93 +00 +41 +00 +8e +00 +35 +00 +71 +00 +24 +00 +64 +00 +2e +00 +70 +00 +2e +00 +9c +00 +5f +00 +6a +10 +7d +00 +f5 +00 +39 +00 +8e +00 +62 +00 +a0 +10 +3d +10 +75 +20 +7b +00 +0c +10 +5f +00 +d7 +00 +29 +00 +ab +00 +00 +00 +00 +00 +a8 +00 +6a +00 +a4 +00 +74 +00 +bb +00 +74 +00 +bf +00 +d8 +00 +2f +10 +5a +20 +ce +40 +77 +30 +f7 +50 +5b +20 +78 +20 +f6 +20 +01 +50 +44 +20 +ce +20 +7e +10 +f9 +10 +5e +10 +0a +20 +0a +10 +16 +10 +91 +00 +a9 +00 +5b +00 +7f +00 +4d +00 +8a +00 +99 +00 +0f +10 +45 +20 +27 +40 +2b +10 +cf +00 +69 +00 +7e +00 +51 +00 +86 +00 +5a +00 +78 +00 +5c +00 +8e +00 +94 +00 +13 +10 +d4 +00 +78 +10 +c7 +00 +cb +00 +64 +00 +8b +00 +51 +00 +8f +00 +7a +00 +0e +10 +6c +10 +e5 +20 +c6 +00 +f9 +00 +70 +00 +84 +00 +56 +00 +7d +00 +67 +00 +e4 +00 +92 +00 +e2 +00 +72 +00 +aa +00 +89 +00 +9f +00 +92 +00 +86 +00 +8d +00 +92 +00 +cc +00 +f1 +00 +89 +00 +cc +00 +6f +00 +77 +00 +44 +00 +62 +00 +a0 +00 +a5 +10 +bf +00 +b3 +00 +54 +00 +69 +00 +5b +00 +96 +00 +6e +00 +d8 +00 +5f +00 +74 +00 +40 +00 +6c +00 +80 +00 +d8 +00 +d7 +00 +82 +10 +c4 +00 +50 +10 +8d +00 +a7 +00 +57 +00 +6c +00 +3e +00 +5f +00 +38 +00 +60 +00 +44 +00 +57 +00 +3b +00 +61 +00 +30 +00 +64 +00 +2e +00 +64 +00 +33 +00 +5e +00 +37 +00 +5b +00 +2e +00 +55 +00 +37 +00 +4b +00 +38 +00 +51 +00 +37 +00 +5f +00 +34 +00 +51 +00 +36 +00 +4f +00 +37 +00 +67 +00 +59 +00 +93 +00 +e1 +00 +6c +10 +75 +10 +cf +20 +d5 +10 +46 +40 +e7 +20 +40 +50 +2e +20 +10 +30 +50 +10 +bb +10 +b1 +00 +b6 +00 +79 +00 +8f +00 +95 +10 +af +30 +6d +30 +7d +60 +64 +30 +72 +30 +89 +10 +6f +10 +e7 +00 +c8 +10 +3e +10 +f9 +10 +1d +20 +63 +30 +0e +10 +14 +10 +80 +00 +8f +00 +66 +00 +b4 +00 +94 +00 +e7 +00 +a4 +00 +e4 +00 +eb +00 +49 +10 +89 +00 +8e +00 +7c +00 +9f +00 +05 +10 +55 +20 +91 +20 +6e +30 +2d +10 +e5 +00 +72 +00 +7e +00 +c0 +00 +af +20 +b6 +00 +e0 +00 +61 +00 +8b +00 +47 +00 +7a +00 +40 +00 +75 +00 +4a +00 +82 +00 +43 +00 +7a +00 +4a +00 +81 +00 +3e +00 +62 +00 +42 +00 +75 +00 +4c +00 +77 +00 +51 +00 +81 +00 +5c +00 +71 +00 +4e +00 +8a +00 +3e +00 +7a +00 +42 +00 +6e +00 +3b +00 +74 +00 +45 +00 +84 +00 +4b +00 +8b +00 +50 +00 +a0 +00 +5b +00 +8f +00 +62 +00 +b2 +00 +8f +00 +f1 +00 +b8 +00 +4a +10 +c4 +10 +4f +30 +c1 +30 +49 +80 +21 +50 +15 +90 +97 +50 +41 +90 +9c +50 +df +80 +5b +60 +12 +a0 +0a +70 +7d +a0 +4e +70 +c6 +a0 +10 +70 +a7 +a0 +5b +70 +d5 +a0 +4e +70 +98 +a0 +1a +70 +e7 +a0 +53 +70 +f7 +a0 +f5 +60 +99 +a0 +d9 +60 +b9 +90 +3f +50 +14 +80 +92 +50 +a2 +70 +98 +50 +2e +80 +59 +50 +98 +70 +9e +40 +26 +60 +f6 +20 +2e +40 +0c +40 +60 +70 +20 +50 +b7 +70 +2e +60 +89 +90 +2c +60 +d6 +70 +0e +60 +f6 +80 +bd +40 +8f +50 +83 +20 +2a +30 +e1 +20 +0a +50 +2b +40 +44 +50 +06 +20 +71 +20 +26 +20 +65 +20 +2f +10 +28 +10 +af +00 +b5 +00 +64 +00 +88 +00 +4c +00 +99 +00 +44 +00 +7a +00 +42 +00 +7b +00 +43 +00 +7b +00 +3d +00 +62 +00 +47 +00 +6f +00 +38 +00 +61 +00 +30 +00 +6d +00 +3e +00 +5c +00 +3a +00 +59 +00 +2c +00 +5c +00 +30 +00 +5d +00 +31 +00 +52 +00 +2f +00 +50 +00 +2c +00 +5a +00 +38 +00 +60 +00 +34 +00 +52 +00 +29 +00 +4e +00 +2d +00 +52 +00 +27 +00 +4a +00 +2f +00 +53 +00 +32 +00 +5a +00 +2d +00 +4e +00 +2a +00 +40 +00 +2b +00 +5a +00 +2c +00 +5f +00 +2e +00 +59 +00 +26 +00 +4c +00 +24 +00 +4c +00 +22 +00 +48 +00 +2c +00 +4c +00 +2b +00 +44 +00 +2c +00 +3b +00 +23 +00 +39 +00 +24 +00 +3c +00 +1c +00 +35 +00 +20 +00 +2f +00 +28 +00 +3e +00 +45 +00 +58 +00 +2e +00 +5f +00 +3b +00 +90 +00 +bf +00 +22 +10 +43 +00 +51 +00 +28 +00 +3a +00 +20 +00 +41 +00 +21 +00 +49 +00 +2b +00 +48 +00 +2f +00 +4c +00 +26 +00 +50 +00 +26 +00 +57 +00 +33 +00 +59 +00 +3f +00 +77 +00 +7a +00 +80 +00 +7a +00 +c4 +00 +5c +00 +99 +00 +6c +00 +ad +00 +50 +10 +0d +40 +db +00 +a8 +00 +81 +00 +cf +00 +8f +00 +c1 +00 +86 +00 +aa +00 +84 +00 +bb +00 +7f +00 +a6 +00 +b1 +00 +de +00 +cc +00 +05 +10 +a4 +00 +b6 +00 +83 +00 +9c +00 +fb +00 +ba +20 +4f +10 +22 +10 +b8 +00 +c7 +00 +10 +10 +d1 +30 +79 +20 +0b +20 +3c +20 +c8 +50 +1e +40 +be +60 +0b +40 +ab +80 +3d +40 +a0 +50 +58 +30 +40 +50 +c1 +20 +3a +20 +c8 +10 +33 +20 +a1 +10 +47 +40 +35 +20 +95 +20 +5f +30 +1c +50 +a2 +10 +2b +10 +e5 +00 +02 +10 +6e +00 +9d +00 +dd +00 +0f +20 +2c +10 +d4 +00 +7e +00 +65 +00 +47 +00 +78 +00 +63 +00 +8a +00 +be +00 +44 +10 +c8 +10 +d2 +20 +02 +10 +b3 +00 +94 +00 +9f +00 +77 +00 +05 +10 +a3 +00 +1d +10 +93 +00 +c4 +00 +6e +00 +8f +00 +67 +00 +00 +00 +00 +00 +3e +00 +b2 +00 +3c +00 +ab +00 +43 +00 +b7 +00 +44 +00 +d4 +00 +9c +00 +5e +30 +e1 +10 +d6 +50 +a2 +10 +0c +30 +5c +10 +22 +50 +9c +10 +4e +30 +93 +00 +8e +10 +89 +00 +c2 +10 +a3 +00 +6c +10 +51 +00 +d2 +00 +36 +00 +95 +00 +3d +00 +89 +00 +34 +00 +ac +00 +ce +00 +36 +40 +e4 +10 +fe +20 +4b +00 +af +00 +3b +00 +94 +00 +37 +00 +84 +00 +3d +00 +ea +00 +37 +00 +88 +00 +3f +00 +07 +10 +49 +00 +c6 +00 +3b +00 +88 +00 +34 +00 +85 +00 +34 +00 +8d +00 +5b +00 +9e +10 +ad +00 +4a +10 +3d +00 +97 +00 +34 +00 +85 +00 +28 +00 +7c +00 +37 +00 +93 +00 +35 +00 +80 +00 +36 +00 +8f +00 +3c +00 +bb +00 +41 +00 +f7 +00 +9d +00 +07 +20 +77 +00 +de +00 +47 +00 +83 +00 +24 +00 +5e +00 +28 +00 +bb +00 +e3 +00 +2e +20 +5f +00 +a1 +00 +27 +00 +68 +00 +31 +00 +a0 +00 +39 +00 +84 +00 +26 +00 +70 +00 +2b +00 +8a +00 +93 +00 +48 +10 +5d +00 +fc +00 +62 +00 +de +00 +2a +00 +79 +00 +2a +00 +58 +00 +25 +00 +57 +00 +24 +00 +65 +00 +1f +00 +5f +00 +25 +00 +60 +00 +22 +00 +5f +00 +22 +00 +51 +00 +20 +00 +5a +00 +20 +00 +56 +00 +21 +00 +65 +00 +1d +00 +62 +00 +24 +00 +66 +00 +22 +00 +50 +00 +20 +00 +52 +00 +21 +00 +65 +00 +29 +00 +6f +00 +30 +00 +b9 +00 +78 +00 +08 +20 +e9 +00 +5b +20 +da +00 +44 +30 +32 +10 +62 +30 +b9 +00 +a7 +10 +5e +00 +d3 +00 +34 +00 +8f +00 +8b +00 +d3 +20 +9f +10 +26 +50 +34 +20 +64 +50 +43 +10 +11 +20 +51 +00 +db +00 +64 +00 +1d +20 +98 +10 +3e +40 +b8 +00 +83 +10 +49 +00 +93 +00 +28 +00 +86 +00 +39 +00 +c4 +00 +3a +00 +a5 +00 +47 +00 +17 +10 +87 +00 +28 +10 +3a +00 +90 +00 +3b +00 +e0 +00 +2d +10 +9c +40 +69 +10 +3c +30 +5a +00 +a6 +00 +28 +00 +ae +00 +87 +00 +2d +10 +4c +00 +ac +00 +30 +00 +76 +00 +28 +00 +67 +00 +2d +00 +79 +00 +27 +00 +77 +00 +26 +00 +67 +00 +32 +00 +79 +00 +29 +00 +66 +00 +2b +00 +70 +00 +30 +00 +7c +00 +42 +00 +12 +10 +43 +00 +91 +00 +30 +00 +6f +00 +28 +00 +71 +00 +23 +00 +73 +00 +27 +00 +82 +00 +34 +00 +79 +00 +3a +00 +95 +00 +3d +00 +9b +00 +38 +00 +c3 +00 +66 +00 +fe +00 +51 +00 +d3 +00 +5e +00 +42 +10 +36 +10 +fd +30 +41 +20 +dc +70 +4c +20 +df +50 +d5 +20 +61 +70 +c4 +20 +58 +90 +ab +30 +55 +a0 +f0 +30 +6d +a0 +e3 +30 +b1 +a0 +e9 +30 +6d +a0 +c9 +30 +b7 +a0 +eb +30 +ac +a0 +fc +30 +ce +a0 +0a +40 +00 +b0 +e1 +30 +77 +a0 +e0 +20 +c8 +50 +4a +20 +12 +80 +49 +30 +4d +90 +6b +30 +03 +90 +50 +30 +99 +80 +77 +20 +27 +40 +a9 +10 +ec +50 +8d +20 +2f +80 +56 +30 +81 +90 +95 +30 +bd +90 +f4 +20 +8e +80 +44 +30 +02 +70 +26 +10 +a3 +20 +c4 +00 +07 +30 +59 +20 +6c +70 +6b +10 +9b +20 +f5 +00 +7d +40 +0a +10 +cf +10 +5f +00 +ce +00 +3c +00 +8d +00 +30 +00 +85 +00 +34 +00 +81 +00 +2d +00 +73 +00 +29 +00 +76 +00 +2b +00 +6b +00 +25 +00 +6e +00 +2c +00 +73 +00 +2e +00 +79 +00 +26 +00 +62 +00 +23 +00 +57 +00 +24 +00 +63 +00 +21 +00 +63 +00 +22 +00 +51 +00 +1e +00 +4c +00 +23 +00 +68 +00 +30 +00 +5c +00 +19 +00 +63 +00 +27 +00 +50 +00 +1f +00 +51 +00 +1e +00 +4e +00 +20 +00 +57 +00 +21 +00 +54 +00 +24 +00 +57 +00 +2b +00 +4b +00 +1e +00 +44 +00 +1f +00 +59 +00 +24 +00 +63 +00 +25 +00 +56 +00 +1a +00 +49 +00 +17 +00 +3e +00 +20 +00 +57 +00 +1c +00 +51 +00 +19 +00 +46 +00 +17 +00 +36 +00 +1a +00 +39 +00 +1b +00 +3c +00 +15 +00 +2e +00 +17 +00 +30 +00 +1c +00 +9e +00 +44 +00 +61 +00 +28 +00 +5e +00 +2f +00 +aa +00 +3d +00 +81 +00 +25 +00 +4a +00 +1c +00 +3a +00 +18 +00 +3e +00 +1f +00 +42 +00 +1f +00 +49 +00 +26 +00 +4b +00 +17 +00 +54 +00 +2a +00 +5f +00 +22 +00 +6f +00 +7d +00 +14 +10 +40 +00 +bd +00 +34 +00 +a7 +00 +35 +00 +78 +00 +39 +00 +56 +10 +d3 +00 +6c +10 +40 +00 +a1 +00 +e0 +00 +13 +20 +44 +00 +94 +00 +3f +00 +8c +00 +43 +00 +80 +00 +33 +00 +e0 +00 +58 +00 +35 +10 +56 +00 +c6 +00 +34 +00 +9e +00 +3f +00 +b9 +10 +5c +20 +0e +40 +5e +00 +da +00 +3a +00 +37 +10 +ba +10 +28 +60 +b9 +10 +fb +30 +ad +20 +dc +70 +64 +20 +33 +60 +c8 +20 +f0 +50 +3b +10 +e7 +40 +b1 +20 +17 +50 +d4 +10 +eb +20 +9b +00 +ef +10 +6f +10 +96 +30 +05 +20 +c8 +50 +04 +10 +01 +20 +4d +00 +2b +10 +95 +00 +b3 +00 +3f +00 +7d +10 +18 +20 +dd +40 +87 +00 +a5 +00 +2a +00 +75 +00 +39 +00 +e3 +00 +aa +00 +fe +00 +d1 +00 +8a +40 +81 +10 +2c +20 +4e +00 +c1 +00 +37 +00 +b3 +00 +57 +00 +27 +10 +3b +00 +bc +00 +44 +00 +99 +00 +28 +00 +7c +00 +00 +00 +00 +00 +a4 +00 +76 +00 +b0 +00 +71 +00 +c4 +00 +84 +00 +c9 +00 +cd +00 +7f +10 +15 +20 +6e +40 +14 +20 +0b +30 +9f +20 +ed +40 +85 +30 +40 +50 +0f +20 +e0 +10 +74 +10 +c7 +10 +c7 +20 +4c +40 +5c +20 +ec +10 +a7 +00 +97 +00 +59 +00 +92 +00 +4f +00 +98 +00 +e9 +00 +88 +20 +72 +20 +7b +40 +a1 +10 +64 +10 +8c +00 +a1 +00 +5a +00 +8d +00 +50 +00 +81 +00 +6f +00 +b1 +00 +80 +00 +9f +00 +9d +00 +d5 +00 +87 +00 +a8 +00 +5c +00 +87 +00 +61 +00 +85 +00 +66 +00 +90 +00 +77 +00 +ce +00 +7d +00 +a9 +00 +51 +00 +69 +00 +53 +00 +6e +00 +4f +00 +95 +00 +50 +00 +83 +00 +6f +00 +8b +00 +21 +10 +82 +10 +b8 +10 +24 +20 +e3 +00 +1f +10 +81 +00 +17 +10 +8b +00 +a1 +00 +4d +00 +5e +00 +41 +00 +6e +00 +59 +00 +a3 +00 +74 +00 +ec +00 +65 +00 +6e +00 +4e +00 +74 +00 +56 +00 +8c +00 +51 +00 +6b +00 +4d +00 +66 +00 +82 +00 +29 +10 +90 +00 +ec +00 +86 +00 +e9 +00 +5e +00 +76 +00 +47 +00 +5f +00 +41 +00 +64 +00 +93 +00 +8e +00 +57 +00 +68 +00 +38 +00 +5e +00 +3c +00 +5e +00 +36 +00 +60 +00 +32 +00 +56 +00 +2d +00 +55 +00 +32 +00 +50 +00 +38 +00 +57 +00 +38 +00 +5f +00 +37 +00 +60 +00 +35 +00 +51 +00 +34 +00 +5b +00 +3d +00 +53 +00 +45 +00 +7a +00 +d6 +00 +06 +20 +0d +10 +64 +10 +1e +10 +60 +10 +b8 +10 +13 +30 +04 +20 +d0 +20 +21 +10 +ed +00 +7b +00 +8e +00 +ef +00 +cc +20 +5e +10 +b1 +20 +82 +20 +f4 +30 +b1 +10 +3a +20 +1b +10 +e5 +00 +85 +00 +b6 +00 +48 +10 +07 +40 +44 +20 +d1 +20 +f7 +00 +d1 +00 +69 +00 +7b +00 +65 +00 +a0 +00 +83 +00 +a2 +00 +7c +00 +a2 +00 +8b +00 +52 +10 +95 +00 +c6 +00 +ea +00 +36 +10 +33 +20 +e7 +20 +e5 +10 +53 +20 +a8 +10 +1c +20 +af +00 +8b +00 +61 +00 +80 +00 +64 +00 +bb +00 +6b +00 +8b +00 +4c +00 +74 +00 +3d +00 +65 +00 +3e +00 +73 +00 +4d +00 +5d +00 +54 +00 +91 +00 +6b +00 +83 +00 +c9 +00 +b1 +00 +50 +00 +6f +00 +53 +00 +b7 +00 +a0 +00 +e0 +00 +66 +00 +7f +00 +45 +00 +79 +00 +47 +00 +76 +00 +51 +00 +77 +00 +48 +00 +6e +00 +4b +00 +8b +00 +57 +00 +a7 +00 +5f +00 +9e +00 +97 +00 +3f +10 +bf +00 +f8 +00 +94 +00 +d6 +00 +0a +10 +00 +20 +f3 +10 +8d +30 +08 +30 +f8 +40 +7e +40 +90 +70 +3c +50 +75 +70 +68 +50 +57 +90 +7b +60 +3f +a0 +d2 +60 +c2 +a0 +10 +70 +d8 +a0 +49 +70 +ac +a0 +39 +70 +8b +a0 +42 +70 +c1 +a0 +7e +70 +2a +b0 +d2 +60 +67 +a0 +27 +60 +90 +70 +65 +50 +dc +70 +9e +40 +68 +60 +19 +40 +97 +80 +ea +40 +78 +80 +99 +40 +be +60 +b2 +30 +10 +40 +5a +40 +de +70 +51 +50 +89 +90 +05 +60 +bb +90 +6c +60 +0e +90 +25 +50 +65 +80 +63 +30 +4b +30 +28 +20 +56 +20 +e9 +30 +23 +70 +36 +30 +65 +30 +ca +10 +c5 +10 +6e +20 +40 +40 +3a +10 +40 +10 +94 +00 +a4 +00 +59 +00 +87 +00 +54 +00 +87 +00 +43 +00 +7c +00 +3f +00 +70 +00 +38 +00 +62 +00 +43 +00 +67 +00 +3d +00 +62 +00 +3c +00 +70 +00 +3b +00 +6a +00 +3a +00 +53 +00 +32 +00 +62 +00 +38 +00 +5e +00 +2e +00 +65 +00 +28 +00 +44 +00 +2f +00 +52 +00 +47 +00 +c5 +00 +30 +00 +68 +00 +35 +00 +69 +00 +33 +00 +57 +00 +28 +00 +57 +00 +2f +00 +4d +00 +25 +00 +5a +00 +2d +00 +57 +00 +34 +00 +55 +00 +35 +00 +4b +00 +28 +00 +47 +00 +2d +00 +60 +00 +26 +00 +54 +00 +27 +00 +5b +00 +24 +00 +45 +00 +22 +00 +50 +00 +23 +00 +4c +00 +23 +00 +3c +00 +23 +00 +3b +00 +22 +00 +33 +00 +22 +00 +32 +00 +1e +00 +3a +00 +23 +00 +3a +00 +1f +00 +44 +00 +2c +00 +85 +00 +3f +00 +7a +00 +34 +00 +5d +00 +4a +00 +6f +00 +36 +00 +64 +00 +35 +00 +3e +00 +24 +00 +3f +00 +2b +00 +41 +00 +23 +00 +55 +00 +2e +00 +58 +00 +32 +00 +51 +00 +30 +00 +54 +00 +3a +00 +68 +00 +d9 +00 +20 +20 +c4 +10 +ec +10 +b2 +00 +8c +00 +68 +00 +72 +00 +4f +00 +75 +00 +63 +00 +dc +00 +6f +00 +ae +00 +7a +00 +de +00 +75 +00 +db +00 +78 +00 +bd +00 +56 +00 +6d +00 +45 +00 +7b +00 +53 +00 +9b +00 +6a +00 +92 +00 +69 +00 +82 +00 +6a +00 +8b +00 +c7 +00 +07 +30 +08 +20 +6c +20 +cd +00 +bc +00 +db +00 +62 +20 +a6 +10 +73 +30 +ac +10 +cf +20 +1a +20 +9f +40 +86 +20 +4a +40 +b4 +20 +c8 +30 +5a +30 +ff +60 +d7 +20 +94 +40 +2f +30 +3c +40 +8b +30 +c6 +50 +c4 +40 +c1 +70 +7a +40 +94 +40 +eb +10 +30 +10 +32 +10 +49 +10 +7c +00 +c3 +00 +be +00 +4f +20 +a7 +10 +f8 +20 +c1 +00 +86 +00 +69 +00 +8f +00 +c5 +00 +35 +20 +fc +00 +97 +10 +32 +20 +02 +50 +4a +20 +ca +20 +39 +10 +d0 +00 +72 +00 +e1 +00 +9f +00 +c8 +00 +76 +00 +84 +00 +56 +00 +67 +00 +4d +00 +00 +00 +00 +00 +40 +00 +af +00 +3a +00 +ad +00 +3c +00 +b2 +00 +48 +00 +e4 +00 +77 +00 +15 +20 +17 +10 +07 +30 +cd +00 +fc +30 +1f +20 +7c +60 +ba +10 +0b +30 +72 +00 +40 +10 +12 +10 +3b +50 +3d +20 +b5 +50 +e9 +00 +38 +10 +40 +00 +a3 +00 +39 +00 +8a +00 +43 +00 +30 +10 +d3 +00 +1c +30 +3d +10 +ba +20 +66 +00 +bc +00 +38 +00 +99 +00 +2a +00 +83 +00 +31 +00 +82 +00 +35 +00 +95 +00 +2f +00 +a1 +00 +40 +00 +9f +00 +3b +00 +be +00 +3e +00 +97 +00 +2f +00 +80 +00 +35 +00 +9c +00 +47 +00 +ba +00 +31 +00 +7f +00 +27 +00 +6c +00 +2b +00 +6a +00 +30 +00 +8f +00 +26 +00 +80 +00 +59 +00 +c2 +10 +be +10 +d4 +40 +e1 +00 +6c +10 +4b +00 +bd +00 +3b +00 +ac +00 +35 +00 +69 +00 +20 +00 +55 +00 +26 +00 +77 +00 +37 +00 +a5 +00 +4e +00 +c0 +00 +36 +00 +7d +00 +27 +00 +6c +00 +2f +00 +6b +00 +2d +00 +6f +00 +26 +00 +a7 +00 +51 +00 +c2 +00 +3a +00 +c0 +00 +36 +00 +81 +00 +21 +00 +6a +00 +22 +00 +5a +00 +2e +00 +9b +10 +77 +00 +ae +00 +28 +00 +59 +00 +25 +00 +63 +00 +26 +00 +62 +00 +1d +00 +56 +00 +1f +00 +55 +00 +21 +00 +61 +00 +20 +00 +56 +00 +1c +00 +62 +00 +21 +00 +52 +00 +23 +00 +50 +00 +1c +00 +66 +00 +21 +00 +59 +00 +20 +00 +65 +00 +1f +00 +c6 +00 +94 +00 +63 +10 +5e +00 +ee +00 +62 +00 +72 +20 +32 +10 +38 +30 +90 +00 +2d +10 +38 +00 +86 +00 +34 +00 +21 +10 +c3 +00 +a2 +10 +95 +00 +5f +20 +cd +00 +d7 +10 +6b +00 +f9 +00 +3a +00 +95 +00 +36 +00 +32 +10 +67 +10 +89 +30 +af +00 +81 +10 +43 +00 +83 +00 +31 +00 +90 +00 +48 +00 +8e +00 +33 +00 +97 +00 +34 +00 +af +00 +4b +00 +b2 +00 +70 +00 +4b +20 +f3 +00 +13 +40 +5a +20 +b7 +50 +22 +10 +41 +20 +88 +00 +08 +10 +2e +00 +95 +00 +3e +00 +c2 +00 +40 +00 +9d +00 +2e +00 +76 +00 +25 +00 +60 +00 +2c +00 +69 +00 +20 +00 +69 +00 +24 +00 +61 +00 +6d +00 +09 +10 +56 +00 +47 +10 +57 +00 +ac +00 +2e +00 +86 +00 +3f +00 +e4 +00 +55 +00 +ab +00 +34 +00 +71 +00 +27 +00 +74 +00 +2e +00 +78 +00 +2a +00 +82 +00 +36 +00 +7e +00 +31 +00 +9e +00 +41 +00 +95 +00 +40 +00 +db +00 +c1 +00 +2f +10 +4f +00 +e1 +00 +51 +00 +e0 +00 +76 +00 +83 +10 +a2 +00 +9d +20 +f4 +10 +10 +70 +c7 +20 +53 +70 +bb +20 +30 +80 +a1 +20 +1a +80 +7c +30 +0c +a0 +c2 +30 +73 +a0 +e0 +30 +8d +a0 +e9 +30 +bc +a0 +cf +30 +68 +a0 +d9 +30 +a9 +a0 +ad +30 +8a +90 +0b +30 +cd +80 +1f +30 +a9 +80 +94 +20 +06 +50 +4b +10 +ad +30 +2a +20 +24 +70 +1a +20 +da +50 +16 +20 +5d +50 +55 +20 +37 +70 +ac +20 +fb +70 +0e +30 +fb +80 +75 +30 +cb +90 +7a +30 +2d +80 +fe +10 +2e +40 +eb +00 +94 +20 +5a +10 +31 +60 +a2 +20 +f3 +40 +d4 +00 +da +10 +82 +00 +fc +20 +7f +10 +77 +20 +65 +00 +dd +00 +37 +00 +83 +00 +31 +00 +87 +00 +37 +00 +80 +00 +2a +00 +79 +00 +2d +00 +78 +00 +29 +00 +75 +00 +2c +00 +74 +00 +27 +00 +68 +00 +27 +00 +77 +00 +27 +00 +61 +00 +26 +00 +64 +00 +2a +00 +5f +00 +24 +00 +57 +00 +23 +00 +4f +00 +1b +00 +48 +00 +22 +00 +78 +00 +2f +00 +66 +00 +1f +00 +44 +00 +21 +00 +53 +00 +21 +00 +5d +00 +18 +00 +4f +00 +19 +00 +53 +00 +22 +00 +57 +00 +2c +00 +63 +00 +23 +00 +42 +00 +1e +00 +51 +00 +24 +00 +5b +00 +27 +00 +55 +00 +17 +00 +4e +00 +1a +00 +40 +00 +1f +00 +57 +00 +1f +00 +3a +00 +21 +00 +3f +00 +1d +00 +42 +00 +17 +00 +38 +00 +19 +00 +37 +00 +0f +00 +33 +00 +19 +00 +2e +00 +18 +00 +42 +00 +1b +00 +4b +00 +22 +00 +5c +00 +24 +00 +4e +00 +1f +00 +6c +00 +23 +00 +64 +00 +13 +00 +46 +00 +1f +00 +3a +00 +1c +00 +4c +00 +15 +00 +52 +00 +1f +00 +58 +00 +28 +00 +4c +00 +18 +00 +4d +00 +20 +00 +5d +00 +3d +00 +ab +10 +44 +10 +a7 +40 +b7 +00 +ff +00 +34 +00 +a3 +00 +2d +00 +5c +00 +27 +00 +9d +00 +34 +00 +a8 +00 +30 +00 +72 +00 +44 +00 +a8 +00 +3c +00 +89 +00 +27 +00 +78 +00 +23 +00 +6b +00 +34 +00 +76 +00 +30 +00 +8d +00 +2b +00 +7d +00 +29 +00 +74 +00 +30 +00 +cb +00 +a9 +00 +d6 +20 +9e +00 +25 +10 +3c +00 +dd +00 +85 +00 +4f +20 +b1 +00 +de +10 +98 +00 +1d +20 +b6 +00 +74 +20 +cd +00 +7f +20 +ed +00 +ba +30 +50 +10 +03 +30 +18 +10 +fe +40 +02 +20 +f8 +60 +6f +30 +0e +90 +81 +30 +06 +90 +b9 +10 +6c +20 +54 +00 +17 +10 +54 +00 +c4 +00 +41 +00 +e9 +00 +7a +00 +b6 +10 +8d +00 +0d +10 +29 +00 +8b +00 +43 +00 +5d +10 +72 +00 +3f +10 +4a +10 +4f +30 +39 +10 +54 +40 +3b +10 +a9 +10 +4c +00 +ac +00 +45 +00 +bd +00 +30 +00 +8f +00 +25 +00 +73 +00 +2d +00 +78 +00 +00 +00 +00 +00 +ba +00 +7e +00 +9e +00 +69 +00 +c3 +00 +82 +00 +c3 +00 +a2 +00 +fd +00 +f4 +00 +1d +20 +64 +10 +0b +20 +af +20 +09 +60 +22 +40 +ee +50 +45 +20 +79 +10 +23 +20 +34 +40 +cf +30 +90 +60 +c5 +20 +e8 +20 +d9 +00 +be +00 +6e +00 +92 +00 +65 +00 +af +00 +d2 +00 +6f +10 +b3 +10 +1a +30 +60 +10 +37 +10 +8e +00 +bc +00 +5e +00 +84 +00 +54 +00 +80 +00 +63 +00 +8f +00 +85 +00 +8d +00 +6d +00 +9b +00 +87 +00 +05 +10 +26 +10 +62 +10 +7f +00 +7b +00 +59 +00 +85 +00 +74 +00 +a2 +00 +71 +00 +7e +00 +44 +00 +74 +00 +3c +00 +6f +00 +48 +00 +76 +00 +5e +00 +91 +00 +c8 +00 +ee +00 +d1 +10 +b6 +40 +ce +10 +36 +20 +bd +00 +d4 +00 +63 +00 +99 +00 +59 +00 +6e +00 +42 +00 +5c +00 +3d +00 +62 +00 +59 +00 +74 +00 +63 +00 +af +00 +5f +00 +b7 +00 +46 +00 +64 +00 +4e +00 +66 +00 +41 +00 +6c +00 +40 +00 +5f +00 +65 +00 +95 +00 +80 +00 +af +00 +76 +00 +8d +00 +4f +00 +66 +00 +35 +00 +66 +00 +50 +00 +9a +00 +20 +10 +18 +20 +94 +00 +72 +00 +37 +00 +6c +00 +30 +00 +62 +00 +34 +00 +61 +00 +2c +00 +53 +00 +33 +00 +4e +00 +32 +00 +53 +00 +32 +00 +5a +00 +2e +00 +54 +00 +2f +00 +4d +00 +28 +00 +55 +00 +34 +00 +60 +00 +36 +00 +5d +00 +40 +00 +65 +00 +6d +00 +b6 +00 +8d +00 +b4 +00 +a4 +00 +c6 +00 +da +00 +67 +10 +f1 +00 +43 +10 +a4 +00 +9b +00 +59 +00 +71 +00 +7a +00 +df +00 +a8 +00 +2a +10 +ea +00 +46 +10 +e5 +00 +14 +10 +af +00 +ab +00 +7c +00 +99 +00 +09 +10 +87 +30 +1e +10 +bf +10 +f0 +00 +ba +00 +8d +00 +97 +00 +93 +00 +bd +00 +d0 +00 +50 +10 +d6 +00 +b5 +00 +8f +00 +b7 +00 +0f +10 +57 +20 +57 +20 +44 +30 +99 +30 +3f +60 +0a +30 +c0 +40 +b4 +10 +4b +10 +cd +00 +d7 +00 +69 +00 +23 +10 +aa +00 +bf +00 +5d +00 +7a +00 +3c +00 +6c +00 +40 +00 +5e +00 +3e +00 +6e +00 +33 +00 +62 +00 +42 +00 +6f +00 +48 +00 +89 +00 +57 +00 +99 +00 +51 +00 +89 +00 +65 +00 +b4 +00 +0a +10 +25 +10 +71 +00 +85 +00 +4e +00 +6c +00 +39 +00 +7e +00 +51 +00 +7d +00 +49 +00 +88 +00 +4c +00 +98 +00 +5e +00 +b9 +00 +95 +00 +bf +00 +3b +10 +ff +20 +d4 +00 +ee +00 +7e +00 +b9 +00 +94 +00 +ed +00 +e8 +00 +51 +10 +9b +10 +42 +20 +29 +20 +ee +30 +fa +20 +74 +60 +73 +50 +0c +90 +be +50 +a8 +70 +60 +50 +4e +80 +46 +50 +7e +90 +9e +50 +e3 +90 +2e +60 +2a +a0 +b0 +60 +85 +90 +8e +60 +81 +80 +c1 +60 +8f +90 +b2 +60 +ea +90 +45 +50 +d8 +50 +e9 +20 +42 +30 +71 +30 +47 +60 +37 +40 +d5 +60 +eb +40 +a6 +70 +05 +40 +c9 +40 +13 +30 +bb +50 +cb +20 +29 +40 +f6 +20 +65 +50 +7b +40 +87 +90 +6c +50 +6d +60 +94 +30 +91 +30 +98 +30 +9c +50 +8b +40 +26 +70 +6b +20 +97 +20 +58 +10 +41 +10 +f0 +00 +d4 +10 +ca +00 +52 +10 +9b +00 +94 +00 +52 +00 +8c +00 +55 +00 +77 +00 +47 +00 +85 +00 +38 +00 +82 +00 +3d +00 +70 +00 +42 +00 +69 +00 +3e +00 +6b +00 +3f +00 +79 +00 +4a +00 +6c +00 +3a +00 +66 +00 +32 +00 +5b +00 +2c +00 +63 +00 +36 +00 +56 +00 +31 +00 +54 +00 +26 +00 +63 +00 +2b +00 +58 +00 +37 +00 +54 +00 +2f +00 +53 +00 +3a +00 +53 +00 +2b +00 +59 +00 +29 +00 +4d +00 +27 +00 +4f +00 +2c +00 +57 +00 +31 +00 +64 +00 +27 +00 +4a +00 +26 +00 +54 +00 +2b +00 +5b +00 +29 +00 +5b +00 +2a +00 +55 +00 +26 +00 +44 +00 +27 +00 +50 +00 +1e +00 +38 +00 +23 +00 +3a +00 +21 +00 +3d +00 +21 +00 +34 +00 +29 +00 +2a +00 +22 +00 +3c +00 +21 +00 +34 +00 +26 +00 +38 +00 +35 +00 +51 +00 +3d +00 +5f +00 +4b +00 +52 +00 +34 +00 +62 +00 +36 +00 +44 +00 +2b +00 +45 +00 +33 +00 +4d +00 +2e +00 +46 +00 +2a +00 +53 +00 +29 +00 +54 +00 +28 +00 +5d +00 +28 +00 +4f +00 +41 +00 +77 +00 +ac +00 +d2 +20 +fe +00 +8a +10 +9a +00 +a1 +00 +56 +00 +5f +00 +3e +00 +63 +00 +47 +00 +7a +00 +4d +00 +76 +00 +5a +00 +7c +00 +5a +00 +bb +00 +7b +00 +7a +00 +4c +00 +6e +00 +40 +00 +71 +00 +35 +00 +78 +00 +3b +00 +79 +00 +5f +00 +dc +00 +9e +00 +9f +00 +8f +00 +18 +10 +99 +00 +39 +10 +a5 +00 +a6 +00 +a2 +00 +fc +00 +c9 +00 +74 +10 +0b +10 +6b +10 +3a +10 +77 +10 +39 +10 +8f +10 +78 +10 +18 +20 +79 +20 +9d +20 +98 +10 +34 +20 +24 +20 +ce +40 +38 +40 +48 +80 +fb +50 +0d +a0 +a7 +40 +51 +40 +3a +20 +3c +10 +e9 +00 +bb +00 +96 +00 +ba +00 +ac +00 +fe +00 +90 +00 +f2 +00 +90 +00 +84 +00 +a8 +00 +34 +10 +cd +00 +e9 +00 +21 +10 +bb +20 +82 +20 +30 +50 +7d +20 +1b +40 +2e +10 +16 +10 +b8 +00 +a9 +00 +6a +00 +89 +00 +4c +00 +70 +00 +57 +00 +75 +00 +8a +00 +00 +00 +00 +00 +44 +00 +c2 +00 +3f +00 +b9 +00 +43 +00 +b7 +00 +3d +00 +cd +00 +44 +00 +f6 +00 +74 +00 +64 +10 +78 +00 +0b +20 +f1 +10 +b1 +60 +f3 +10 +31 +30 +7a +00 +32 +20 +fd +10 +79 +60 +31 +20 +97 +40 +b5 +00 +39 +10 +39 +00 +a4 +00 +3c +00 +9e +00 +41 +00 +d1 +00 +a0 +00 +f0 +20 +fe +00 +19 +20 +5c +00 +c6 +00 +35 +00 +92 +00 +31 +00 +8e +00 +36 +00 +ac +00 +43 +00 +b1 +00 +33 +00 +97 +00 +37 +00 +a0 +00 +88 +00 +6f +20 +80 +00 +e4 +00 +35 +00 +93 +00 +33 +00 +9e +00 +36 +00 +9b +00 +30 +00 +80 +00 +2d +00 +6e +00 +2b +00 +7f +00 +2d +00 +7e +00 +54 +00 +ed +10 +d4 +00 +e6 +20 +de +00 +db +10 +70 +00 +e3 +00 +3e +00 +93 +00 +32 +00 +83 +00 +23 +00 +64 +00 +28 +00 +60 +00 +22 +00 +63 +00 +2a +00 +83 +00 +2a +00 +73 +00 +2a +00 +6c +00 +22 +00 +6a +00 +25 +00 +65 +00 +26 +00 +67 +00 +26 +00 +b2 +00 +59 +00 +b7 +00 +3a +00 +d2 +00 +31 +00 +73 +00 +26 +00 +66 +00 +26 +00 +66 +00 +4e +00 +c8 +10 +8c +00 +04 +10 +2b +00 +69 +00 +2a +00 +60 +00 +21 +00 +4e +00 +21 +00 +5d +00 +25 +00 +54 +00 +22 +00 +5b +00 +22 +00 +4a +00 +1d +00 +49 +00 +1c +00 +55 +00 +1c +00 +52 +00 +21 +00 +4e +00 +23 +00 +53 +00 +28 +00 +58 +00 +2a +00 +76 +00 +35 +00 +9f +00 +36 +00 +95 +00 +2d +00 +b8 +00 +45 +00 +e9 +00 +40 +00 +aa +00 +32 +00 +73 +00 +37 +00 +8c +00 +3d +00 +ba +00 +47 +00 +ea +00 +4e +00 +e9 +00 +3a +00 +a8 +00 +3a +00 +99 +00 +32 +00 +e4 +00 +c5 +00 +ee +10 +6f +00 +fe +00 +52 +00 +0c +10 +40 +00 +c3 +00 +5b +00 +52 +10 +22 +10 +f3 +20 +52 +00 +b4 +00 +44 +00 +91 +10 +b5 +10 +be +30 +fd +10 +7e +60 +9f +10 +66 +30 +df +00 +32 +20 +ac +00 +e9 +10 +78 +00 +d4 +00 +4e +00 +d3 +00 +44 +00 +8f +00 +2f +00 +6a +00 +25 +00 +5d +00 +26 +00 +60 +00 +22 +00 +68 +00 +27 +00 +6c +00 +2b +00 +76 +00 +2e +00 +84 +00 +37 +00 +93 +00 +37 +00 +97 +00 +52 +00 +2f +20 +c3 +00 +11 +10 +33 +00 +7b +00 +26 +00 +63 +00 +2b +00 +73 +00 +36 +00 +82 +00 +31 +00 +84 +00 +30 +00 +91 +00 +4c +00 +25 +10 +6f +00 +ee +10 +f5 +00 +a1 +10 +51 +00 +bd +00 +4a +00 +a1 +00 +4c +00 +eb +00 +56 +00 +32 +10 +82 +00 +e0 +10 +c6 +00 +ba +20 +57 +20 +9e +70 +03 +30 +69 +90 +2b +30 +95 +70 +97 +20 +90 +70 +d0 +10 +16 +50 +6c +20 +62 +70 +bf +20 +a4 +80 +53 +30 +c4 +90 +9c +30 +0a +a0 +cd +30 +3e +a0 +aa +30 +f2 +70 +55 +10 +56 +30 +ed +00 +66 +40 +70 +20 +be +50 +9f +20 +d9 +70 +a6 +20 +69 +60 +24 +10 +ce +20 +de +00 +df +20 +e2 +00 +c3 +20 +05 +10 +ff +30 +fd +20 +d7 +80 +e6 +20 +f2 +60 +07 +20 +4c +60 +bc +20 +91 +70 +c1 +10 +6e +30 +98 +00 +7a +10 +5f +00 +17 +10 +74 +00 +1f +10 +5e +00 +e8 +00 +3c +00 +90 +00 +39 +00 +85 +00 +2b +00 +75 +00 +37 +00 +82 +00 +32 +00 +7e +00 +32 +00 +7e +00 +2c +00 +70 +00 +2c +00 +8d +00 +34 +00 +95 +00 +25 +00 +61 +00 +23 +00 +5e +00 +20 +00 +62 +00 +23 +00 +59 +00 +25 +00 +51 +00 +19 +00 +5b +00 +26 +00 +60 +00 +24 +00 +56 +00 +24 +00 +5c +00 +24 +00 +62 +00 +1b +00 +51 +00 +1f +00 +51 +00 +17 +00 +4c +00 +27 +00 +56 +00 +27 +00 +56 +00 +25 +00 +55 +00 +1d +00 +59 +00 +1e +00 +4d +00 +1e +00 +53 +00 +1f +00 +55 +00 +1d +00 +44 +00 +1a +00 +45 +00 +1d +00 +3f +00 +17 +00 +35 +00 +11 +00 +3a +00 +19 +00 +40 +00 +18 +00 +33 +00 +1a +00 +3a +00 +19 +00 +3f +00 +18 +00 +3d +00 +1a +00 +5d +00 +3d +00 +88 +00 +29 +00 +6e +00 +25 +00 +63 +00 +21 +00 +46 +00 +22 +00 +4a +00 +16 +00 +4b +00 +1a +00 +47 +00 +18 +00 +46 +00 +20 +00 +56 +00 +20 +00 +57 +00 +1c +00 +46 +00 +19 +00 +59 +00 +23 +00 +a6 +00 +5f +00 +37 +10 +6c +00 +cf +00 +2f +00 +70 +00 +22 +00 +58 +00 +23 +00 +5a +00 +27 +00 +6c +00 +26 +00 +72 +00 +2c +00 +8f +00 +a6 +00 +f7 +00 +2a +00 +72 +00 +1d +00 +58 +00 +29 +00 +6c +00 +28 +00 +64 +00 +27 +00 +7c +00 +af +00 +17 +20 +4f +00 +a3 +00 +49 +00 +e0 +00 +4a +00 +b0 +00 +38 +00 +a5 +00 +4d +00 +d7 +00 +4c +00 +ef +00 +3a +00 +de +00 +43 +00 +ed +00 +55 +00 +20 +10 +1c +10 +a6 +40 +b7 +00 +c6 +10 +8b +00 +34 +20 +ee +10 +aa +70 +12 +30 +b0 +90 +d2 +30 +2b +70 +2c +10 +b4 +20 +69 +00 +f5 +00 +4e +00 +dd +00 +3c +00 +ad +00 +3d +00 +d7 +00 +3d +00 +a6 +00 +30 +00 +b4 +00 +d0 +00 +09 +20 +4f +00 +8b +10 +e1 +00 +54 +30 +29 +10 +c9 +20 +ea +00 +98 +10 +de +00 +89 +10 +49 +00 +cf +00 +32 +00 +7b +00 +2d +00 +75 +00 +ad +00 +6d +20 +00 +00 +00 +00 +a9 +00 +6d +00 +a8 +00 +83 +00 +b3 +00 +74 +00 +b7 +00 +85 +00 +c8 +00 +b5 +00 +0f +10 +de +00 +03 +10 +71 +10 +9e +20 +2c +30 +f6 +50 +85 +20 +09 +20 +db +20 +8d +50 +45 +30 +bc +40 +c0 +10 +bd +10 +aa +00 +cd +00 +6d +00 +b5 +00 +74 +00 +b1 +00 +5e +10 +b9 +20 +86 +20 +e2 +30 +cc +10 +98 +10 +9d +00 +a1 +00 +5a +00 +8f +00 +60 +00 +94 +00 +f2 +00 +2a +20 +d0 +00 +b4 +00 +82 +00 +9f +00 +cb +00 +63 +10 +c4 +00 +51 +10 +e9 +00 +f7 +00 +ad +00 +b2 +00 +97 +00 +0d +10 +83 +00 +91 +00 +48 +00 +75 +00 +48 +00 +70 +00 +56 +00 +7e +00 +6f +00 +ff +00 +d0 +10 +ed +30 +57 +10 +80 +10 +be +00 +fd +00 +78 +00 +96 +00 +4f +00 +74 +00 +4a +00 +5e +00 +43 +00 +68 +00 +39 +00 +61 +00 +49 +00 +63 +00 +53 +00 +73 +00 +39 +00 +67 +00 +3f +00 +75 +00 +56 +00 +f0 +00 +56 +00 +6b +00 +39 +00 +73 +00 +6f +00 +8d +10 +ef +00 +17 +10 +7f +00 +a4 +00 +51 +00 +66 +00 +47 +00 +66 +00 +50 +00 +8d +00 +69 +00 +c2 +00 +67 +00 +7b +00 +41 +00 +63 +00 +37 +00 +62 +00 +36 +00 +4f +00 +31 +00 +56 +00 +2b +00 +53 +00 +34 +00 +55 +00 +36 +00 +57 +00 +32 +00 +52 +00 +34 +00 +55 +00 +35 +00 +5c +00 +2c +00 +56 +00 +32 +00 +58 +00 +5b +00 +7f +00 +73 +00 +91 +00 +6f +00 +8c +00 +65 +00 +72 +00 +76 +00 +9b +00 +7a +00 +bb +00 +69 +00 +93 +00 +53 +00 +7c +00 +6d +00 +9c +00 +85 +00 +b4 +00 +9c +00 +b9 +00 +c4 +00 +aa +00 +9a +00 +c3 +00 +f0 +00 +e6 +00 +b5 +00 +0e +10 +fe +00 +4c +10 +39 +10 +76 +10 +d1 +10 +d3 +10 +fb +00 +a6 +10 +9a +10 +96 +20 +0b +10 +81 +10 +2f +10 +7d +10 +bb +10 +3c +40 +9c +20 +ed +50 +75 +40 +d3 +70 +14 +30 +8d +20 +b1 +10 +03 +20 +be +00 +ea +00 +7a +00 +a7 +00 +56 +00 +87 +00 +58 +00 +74 +00 +3b +00 +5c +00 +3e +00 +5f +00 +36 +00 +5e +00 +3f +00 +6d +00 +31 +00 +6d +00 +36 +00 +76 +00 +52 +00 +80 +00 +4d +00 +93 +00 +52 +00 +a4 +00 +7f +00 +0c +10 +79 +00 +b8 +00 +4a +00 +66 +00 +3c +00 +6c +00 +40 +00 +87 +00 +3d +00 +75 +00 +45 +00 +78 +00 +59 +00 +9c +00 +de +00 +a3 +10 +47 +10 +b9 +10 +bf +00 +08 +10 +83 +00 +a7 +00 +73 +00 +af +00 +c4 +00 +dd +00 +46 +10 +19 +20 +43 +10 +a4 +10 +84 +10 +ad +20 +be +20 +e6 +60 +7c +40 +41 +70 +63 +30 +43 +40 +ed +20 +3e +40 +19 +40 +69 +70 +c5 +50 +b1 +90 +79 +60 +32 +a0 +28 +70 +92 +a0 +0a +70 +33 +a0 +ff +60 +3b +a0 +ec +50 +8b +50 +54 +30 +9d +20 +b6 +20 +b9 +30 +ad +20 +52 +40 +8a +40 +18 +80 +0b +40 +88 +30 +43 +20 +3e +20 +ba +10 +1b +20 +c4 +10 +0e +20 +6a +20 +54 +50 +f3 +40 +f2 +80 +28 +50 +04 +80 +b5 +30 +a8 +50 +e8 +20 +b9 +40 +c0 +10 +d5 +10 +06 +10 +e7 +00 +af +00 +e8 +00 +91 +00 +d7 +00 +a7 +00 +21 +10 +c2 +00 +b3 +00 +6b +00 +89 +00 +46 +00 +80 +00 +48 +00 +8e +00 +98 +00 +70 +10 +84 +00 +81 +00 +6e +00 +78 +00 +4c +00 +77 +00 +39 +00 +70 +00 +3f +00 +60 +00 +37 +00 +5a +00 +40 +00 +65 +00 +35 +00 +4c +00 +28 +00 +54 +00 +32 +00 +63 +00 +4a +00 +61 +00 +4c +00 +61 +00 +38 +00 +5f +00 +2d +00 +5a +00 +2e +00 +4f +00 +26 +00 +46 +00 +29 +00 +44 +00 +26 +00 +4e +00 +27 +00 +5f +00 +2c +00 +4d +00 +2d +00 +54 +00 +30 +00 +58 +00 +2b +00 +4d +00 +24 +00 +48 +00 +21 +00 +43 +00 +23 +00 +40 +00 +21 +00 +2c +00 +1f +00 +3a +00 +22 +00 +34 +00 +24 +00 +43 +00 +1a +00 +39 +00 +22 +00 +48 +00 +28 +00 +3b +00 +2a +00 +59 +00 +85 +00 +83 +10 +b1 +10 +2f +10 +7d +00 +6c +00 +37 +00 +50 +00 +28 +00 +48 +00 +24 +00 +50 +00 +27 +00 +45 +00 +27 +00 +4e +00 +2b +00 +4e +00 +2d +00 +58 +00 +24 +00 +4e +00 +26 +00 +63 +00 +3b +00 +5f +00 +78 +00 +cf +00 +8c +00 +e1 +00 +7a +00 +7d +00 +3d +00 +5c +00 +30 +00 +58 +00 +36 +00 +5f +00 +41 +00 +5a +00 +47 +00 +85 +00 +63 +00 +0b +10 +56 +00 +74 +00 +41 +00 +64 +00 +38 +00 +54 +00 +31 +00 +68 +00 +37 +00 +76 +00 +42 +00 +a0 +00 +58 +00 +fe +00 +81 +00 +a8 +00 +6f +00 +9c +00 +65 +00 +91 +00 +6b +00 +9b +00 +85 +00 +bc +00 +8f +00 +97 +00 +9c +00 +a1 +00 +a5 +00 +b4 +00 +4d +10 +fa +30 +f0 +20 +76 +30 +91 +10 +8c +10 +d5 +20 +23 +50 +8a +40 +24 +90 +9e +50 +29 +a0 +55 +50 +8b +60 +39 +30 +ce +20 +2f +20 +54 +30 +9c +10 +09 +10 +a1 +00 +b9 +00 +d9 +00 +05 +10 +86 +00 +c9 +00 +33 +10 +72 +10 +0a +10 +cd +10 +e7 +00 +3e +10 +e4 +00 +85 +10 +0a +10 +73 +10 +d5 +00 +0c +10 +80 +00 +bf +00 +5d +00 +73 +00 +46 +00 +6d +00 +68 +00 +4f +10 +f6 +00 +00 +00 +00 +00 +40 +00 +ad +00 +3b +00 +bf +00 +3b +00 +b8 +00 +46 +00 +c7 +00 +3e +00 +d9 +00 +4a +00 +db +00 +3f +00 +26 +10 +f4 +00 +a3 +40 +df +10 +a4 +40 +0b +10 +c5 +30 +40 +20 +73 +50 +f9 +00 +32 +20 +6d +00 +df +00 +49 +00 +b2 +00 +3d +00 +b8 +00 +49 +00 +8d +10 +aa +10 +9b +40 +cf +10 +e0 +40 +81 +00 +ef +00 +36 +00 +9b +00 +35 +00 +94 +00 +41 +00 +3f +10 +23 +10 +16 +20 +46 +00 +b6 +00 +49 +00 +de +10 +ed +00 +a7 +10 +68 +00 +83 +10 +20 +10 +54 +20 +77 +00 +2a +10 +82 +00 +1e +10 +37 +00 +7d +00 +27 +00 +72 +00 +2a +00 +6c +00 +28 +00 +7f +00 +54 +00 +77 +20 +fa +00 +eb +10 +64 +00 +20 +10 +4b +00 +98 +00 +2e +00 +75 +00 +22 +00 +71 +00 +1e +00 +63 +00 +20 +00 +54 +00 +21 +00 +6a +00 +26 +00 +67 +00 +1e +00 +5d +00 +26 +00 +68 +00 +2c +00 +6e +00 +30 +00 +7f +00 +20 +00 +5c +00 +20 +00 +90 +00 +52 +00 +08 +10 +6f +00 +1e +10 +2c +00 +78 +00 +22 +00 +62 +00 +27 +00 +72 +00 +2c +00 +a4 +00 +3b +00 +86 +00 +27 +00 +5e +00 +1f +00 +5a +00 +25 +00 +54 +00 +21 +00 +65 +00 +23 +00 +57 +00 +24 +00 +5e +00 +1c +00 +5c +00 +1c +00 +56 +00 +24 +00 +58 +00 +1e +00 +5d +00 +1e +00 +4d +00 +22 +00 +51 +00 +3b +00 +d4 +00 +40 +00 +a3 +00 +34 +00 +93 +00 +2e +00 +9e +00 +2c +00 +7a +00 +2c +00 +90 +00 +2d +00 +89 +00 +32 +00 +7b +00 +29 +00 +85 +00 +34 +00 +89 +00 +37 +00 +98 +00 +3a +00 +c6 +00 +49 +00 +d2 +00 +72 +00 +67 +20 +73 +00 +e4 +00 +4b +00 +3b +10 +11 +10 +b6 +30 +e9 +00 +3c +20 +9a +00 +8e +10 +90 +10 +fb +30 +b5 +00 +72 +10 +69 +00 +11 +20 +55 +10 +ca +20 +f0 +00 +f7 +20 +8b +20 +fc +70 +f8 +20 +27 +60 +01 +10 +26 +20 +85 +00 +09 +10 +45 +00 +98 +00 +32 +00 +79 +00 +36 +00 +7a +00 +31 +00 +6b +00 +25 +00 +60 +00 +26 +00 +5f +00 +1a +00 +77 +00 +2b +00 +6d +00 +26 +00 +6f +00 +25 +00 +73 +00 +2d +00 +92 +00 +33 +00 +89 +00 +3f +00 +bf +00 +4a +00 +aa +00 +36 +00 +76 +00 +2c +00 +69 +00 +27 +00 +69 +00 +27 +00 +7d +00 +2d +00 +88 +00 +29 +00 +90 +00 +45 +00 +06 +10 +c4 +00 +51 +30 +d2 +00 +49 +10 +4a +00 +b1 +00 +41 +00 +a2 +00 +42 +00 +dd +00 +5f +00 +50 +10 +9b +00 +81 +10 +66 +00 +6e +10 +83 +00 +24 +20 +7f +10 +45 +50 +6e +10 +7b +30 +cc +00 +b0 +20 +36 +10 +8f +50 +b8 +20 +59 +80 +95 +30 +38 +a0 +d4 +30 +a7 +a0 +c0 +30 +5a +a0 +cd +30 +98 +a0 +cd +30 +2d +a0 +e9 +20 +44 +50 +e0 +00 +3c +30 +e4 +00 +80 +20 +70 +10 +35 +60 +0d +30 +5c +70 +17 +10 +5c +20 +84 +00 +8d +10 +78 +00 +71 +10 +84 +00 +e0 +10 +cf +10 +61 +70 +df +20 +03 +70 +06 +20 +7d +40 +10 +10 +05 +30 +e1 +00 +27 +20 +72 +00 +ee +00 +4b +00 +e0 +00 +52 +00 +d2 +00 +40 +00 +c7 +00 +16 +10 +6c +20 +59 +00 +da +00 +39 +00 +77 +00 +25 +00 +7c +00 +61 +00 +6d +10 +b0 +00 +53 +10 +39 +00 +9b +00 +37 +00 +85 +00 +2c +00 +6b +00 +25 +00 +60 +00 +21 +00 +5e +00 +20 +00 +65 +00 +23 +00 +60 +00 +22 +00 +5a +00 +1e +00 +5c +00 +2a +00 +8a +00 +82 +00 +a5 +00 +28 +00 +69 +00 +2c +00 +5a +00 +27 +00 +5b +00 +21 +00 +4a +00 +20 +00 +48 +00 +1a +00 +4b +00 +1e +00 +54 +00 +26 +00 +65 +00 +24 +00 +52 +00 +22 +00 +5b +00 +1e +00 +52 +00 +1a +00 +4a +00 +1b +00 +3a +00 +1c +00 +3f +00 +15 +00 +30 +00 +15 +00 +37 +00 +11 +00 +3a +00 +17 +00 +3c +00 +18 +00 +33 +00 +1e +00 +39 +00 +1a +00 +42 +00 +16 +00 +44 +00 +20 +00 +b6 +00 +81 +10 +32 +50 +94 +00 +cd +00 +23 +00 +5b +00 +1e +00 +4f +00 +18 +00 +48 +00 +1a +00 +4a +00 +16 +00 +47 +00 +1c +00 +48 +00 +1e +00 +4c +00 +22 +00 +4f +00 +1c +00 +59 +00 +27 +00 +59 +00 +2d +00 +76 +00 +32 +00 +d3 +00 +35 +00 +81 +00 +25 +00 +77 +00 +28 +00 +62 +00 +1f +00 +51 +00 +2a +00 +69 +00 +31 +00 +b0 +00 +54 +00 +b3 +00 +3f +00 +81 +00 +26 +00 +61 +00 +30 +00 +5d +00 +28 +00 +5e +00 +25 +00 +66 +00 +26 +00 +60 +00 +32 +00 +83 +00 +35 +00 +89 +00 +30 +00 +7f +00 +29 +00 +80 +00 +27 +00 +75 +00 +39 +00 +88 +00 +30 +00 +85 +00 +24 +00 +8c +00 +2f +00 +8c +00 +33 +00 +11 +10 +e5 +10 +e6 +50 +e1 +00 +ae +10 +c0 +00 +2e +50 +ff +20 +aa +60 +3d +30 +e2 +80 +88 +30 +3c +90 +d4 +20 +d5 +30 +1e +10 +d2 +30 +ed +10 +5a +30 +64 +00 +c7 +00 +43 +00 +23 +10 +49 +00 +ad +00 +b3 +00 +d5 +30 +c0 +00 +ca +10 +79 +00 +f5 +00 +46 +00 +f9 +00 +51 +00 +0e +10 +4b +00 +bc +00 +40 +00 +a7 +00 +3f +00 +86 +00 +30 +00 +68 +00 +26 +00 +7d +00 +53 +00 +3a +10 +00 +00 +00 +00 +b0 +00 +72 +00 +ae +00 +76 +00 +c1 +00 +7d +00 +af +00 +73 +00 +b0 +00 +86 +00 +b0 +00 +93 +00 +b5 +00 +84 +10 +a3 +20 +2e +30 +8a +50 +f9 +30 +b8 +50 +eb +30 +cc +50 +76 +20 +86 +20 +28 +10 +2f +10 +97 +00 +b7 +00 +81 +00 +bb +00 +89 +00 +d3 +00 +e5 +10 +83 +40 +33 +30 +8f +50 +4f +20 +50 +20 +b8 +00 +ca +00 +62 +00 +a1 +00 +70 +00 +a8 +00 +47 +10 +8c +20 +83 +10 +7b +10 +d2 +00 +c5 +00 +da +00 +52 +10 +d0 +00 +fb +00 +b3 +00 +b8 +10 +d6 +00 +69 +10 +9a +00 +31 +10 +cc +00 +09 +10 +76 +00 +86 +00 +5b +00 +76 +00 +52 +00 +5f +00 +70 +00 +97 +00 +b0 +00 +64 +10 +d3 +00 +02 +10 +a9 +00 +ae +00 +57 +00 +7c +00 +40 +00 +5a +00 +41 +00 +5e +00 +38 +00 +5a +00 +37 +00 +63 +00 +3a +00 +65 +00 +45 +00 +52 +00 +3d +00 +60 +00 +43 +00 +6f +00 +46 +00 +6a +00 +35 +00 +6f +00 +41 +00 +6d +00 +52 +00 +99 +00 +7a +00 +cc +00 +97 +00 +d5 +00 +7a +00 +81 +00 +7d +00 +7d +00 +8a +00 +8e +00 +a0 +00 +97 +00 +64 +00 +7b +00 +3f +00 +62 +00 +39 +00 +5d +00 +3c +00 +62 +00 +33 +00 +65 +00 +3f +00 +5b +00 +3a +00 +60 +00 +45 +00 +60 +00 +4f +00 +6d +00 +58 +00 +62 +00 +39 +00 +56 +00 +32 +00 +63 +00 +51 +00 +93 +00 +6e +10 +fb +20 +44 +10 +cc +00 +63 +00 +72 +00 +4b +00 +77 +00 +56 +00 +78 +00 +58 +00 +75 +00 +80 +00 +87 +00 +ac +00 +e0 +00 +a3 +00 +a1 +00 +91 +00 +93 +00 +25 +10 +4e +10 +f7 +10 +f6 +20 +ac +10 +6b +10 +63 +10 +7a +10 +ce +00 +e8 +00 +95 +10 +a2 +30 +ef +10 +d2 +20 +f8 +00 +15 +10 +38 +10 +ff +30 +d8 +10 +57 +20 +4b +10 +ac +10 +f1 +20 +fe +40 +d2 +10 +d8 +10 +9d +10 +9f +30 +21 +30 +8c +70 +83 +20 +63 +30 +4a +10 +f6 +00 +80 +00 +bc +00 +5e +00 +b4 +00 +51 +00 +72 +00 +4c +00 +82 +00 +83 +00 +cb +00 +46 +00 +5f +00 +46 +00 +76 +00 +43 +00 +6f +00 +40 +00 +6b +00 +3f +00 +66 +00 +46 +00 +82 +00 +41 +00 +83 +00 +40 +00 +86 +00 +5f +00 +9b +00 +51 +00 +8f +00 +49 +00 +70 +00 +31 +00 +61 +00 +3e +00 +76 +00 +44 +00 +7a +00 +4c +00 +81 +00 +64 +00 +c1 +00 +ae +00 +5b +10 +dc +00 +da +10 +c2 +00 +f2 +00 +8c +00 +b9 +00 +c8 +00 +49 +10 +c8 +10 +9c +10 +3e +10 +3e +10 +14 +10 +3f +10 +23 +10 +4c +10 +88 +10 +18 +20 +ad +10 +88 +20 +d0 +10 +0e +20 +ae +10 +20 +20 +16 +20 +12 +30 +4b +30 +b5 +70 +55 +60 +83 +a0 +47 +70 +9c +a0 +2d +70 +c6 +a0 +28 +70 +ad +a0 +28 +60 +90 +80 +a0 +30 +89 +30 +98 +20 +47 +20 +e1 +10 +92 +20 +6e +30 +a1 +70 +45 +40 +ad +40 +58 +20 +aa +10 +6f +10 +21 +10 +3a +10 +22 +10 +9a +10 +16 +30 +9d +30 +dd +50 +d9 +20 +81 +30 +4c +20 +9a +20 +ac +10 +cb +10 +0a +10 +1b +10 +a9 +00 +ad +00 +8c +00 +61 +10 +af +00 +be +00 +01 +10 +bd +20 +1f +10 +79 +10 +b0 +00 +bd +00 +63 +00 +8a +00 +5e +00 +d5 +00 +6a +00 +cc +00 +35 +10 +65 +20 +a0 +00 +2b +10 +7a +00 +87 +00 +4e +00 +6c +00 +3e +00 +61 +00 +4b +00 +6e +00 +66 +00 +6e +00 +42 +00 +56 +00 +35 +00 +53 +00 +37 +00 +6f +00 +6a +00 +85 +10 +5f +00 +74 +00 +47 +00 +67 +00 +31 +00 +57 +00 +2b +00 +5b +00 +30 +00 +46 +00 +20 +00 +46 +00 +2c +00 +4a +00 +25 +00 +5d +00 +2b +00 +56 +00 +28 +00 +5c +00 +2f +00 +4c +00 +30 +00 +51 +00 +33 +00 +3f +00 +26 +00 +42 +00 +26 +00 +32 +00 +28 +00 +40 +00 +20 +00 +36 +00 +20 +00 +39 +00 +20 +00 +3d +00 +1e +00 +40 +00 +2b +00 +4c +00 +27 +00 +3f +00 +3c +00 +6f +00 +21 +10 +78 +40 +88 +10 +e3 +10 +9a +00 +68 +00 +48 +00 +53 +00 +2a +00 +41 +00 +27 +00 +54 +00 +29 +00 +49 +00 +22 +00 +3b +00 +28 +00 +5b +00 +2a +00 +46 +00 +2c +00 +52 +00 +39 +00 +68 +00 +6f +00 +17 +10 +ac +00 +dc +10 +b0 +00 +cd +00 +51 +00 +69 +00 +38 +00 +5a +00 +38 +00 +53 +00 +46 +00 +69 +00 +5e +00 +79 +00 +89 +00 +c8 +10 +86 +00 +8e +00 +4b +00 +70 +00 +3f +00 +58 +00 +30 +00 +60 +00 +36 +00 +59 +00 +37 +00 +61 +00 +39 +00 +76 +00 +3d +00 +7d +00 +47 +00 +6c +00 +49 +00 +7e +00 +4d +00 +75 +00 +4d +00 +70 +00 +52 +00 +74 +00 +52 +00 +88 +00 +51 +00 +7a +00 +6d +00 +8c +00 +ca +00 +bd +10 +85 +10 +85 +20 +79 +10 +7b +10 +a2 +20 +bb +70 +9d +40 +5b +60 +19 +50 +32 +70 +5e +40 +19 +50 +a3 +20 +43 +20 +8e +10 +38 +40 +3f +10 +7e +10 +b8 +00 +b2 +00 +9a +00 +9f +00 +99 +00 +5b +10 +2e +10 +da +10 +ae +00 +de +00 +91 +00 +b7 +00 +89 +00 +b0 +00 +95 +00 +92 +00 +98 +00 +b5 +00 +ae +00 +a2 +00 +7f +00 +90 +00 +4e +00 +63 +00 +5b +00 +ba +00 +02 +10 +00 +00 +00 +00 +42 +00 +b5 +00 +3f +00 +bc +00 +3d +00 +b8 +00 +4a +00 +a9 +00 +35 +00 +9a +00 +3d +00 +a4 +00 +4f +00 +9e +10 +55 +10 +7a +40 +22 +20 +6d +60 +64 +20 +6b +60 +a7 +10 +47 +30 +85 +00 +74 +10 +4d +00 +e1 +00 +40 +00 +c3 +00 +3e +00 +b4 +00 +4d +00 +e8 +10 +da +10 +40 +50 +c8 +10 +3a +40 +7b +00 +0c +10 +3d +00 +b9 +00 +3b +00 +a5 +00 +6c +00 +16 +20 +8c +10 +96 +30 +87 +00 +23 +10 +51 +00 +fd +00 +4b +00 +0d +10 +3f +00 +e1 +00 +58 +00 +d2 +00 +56 +00 +b9 +00 +4b +00 +e7 +00 +4d +00 +c1 +00 +27 +00 +82 +00 +2e +00 +71 +00 +2e +00 +77 +00 +33 +00 +c6 +00 +57 +00 +eb +00 +35 +00 +99 +00 +27 +00 +73 +00 +2a +00 +71 +00 +22 +00 +5c +00 +21 +00 +63 +00 +20 +00 +67 +00 +1f +00 +64 +00 +22 +00 +58 +00 +23 +00 +53 +00 +25 +00 +66 +00 +1f +00 +67 +00 +21 +00 +61 +00 +20 +00 +5d +00 +31 +00 +8b +00 +34 +00 +92 +00 +3d +00 +a4 +00 +44 +00 +ae +00 +4c +00 +3b +10 +4a +00 +ca +00 +40 +00 +b7 +00 +2c +00 +7e +00 +2a +00 +6c +00 +23 +00 +66 +00 +2c +00 +55 +00 +24 +00 +69 +00 +25 +00 +63 +00 +20 +00 +69 +00 +29 +00 +82 +00 +2e +00 +ac +00 +38 +00 +83 +00 +25 +00 +59 +00 +23 +00 +50 +00 +22 +00 +58 +00 +42 +00 +de +10 +a0 +10 +80 +30 +62 +00 +98 +00 +26 +00 +6d +00 +29 +00 +77 +00 +22 +00 +7c +00 +31 +00 +58 +10 +56 +00 +e7 +00 +b9 +00 +4f +10 +43 +00 +af +00 +5e +00 +18 +20 +a7 +10 +70 +40 +12 +20 +b5 +40 +ba +00 +54 +20 +8b +00 +38 +10 +83 +00 +d2 +20 +78 +10 +19 +30 +89 +00 +53 +10 +51 +00 +f3 +00 +11 +10 +c3 +20 +aa +00 +89 +10 +89 +10 +e2 +50 +dd +10 +ff +20 +6b +00 +5d +10 +97 +00 +cd +20 +d0 +10 +96 +30 +b0 +00 +72 +10 +4a +00 +ba +00 +2e +00 +80 +00 +34 +00 +75 +00 +2d +00 +75 +00 +36 +00 +f7 +00 +59 +00 +a1 +00 +1c +00 +64 +00 +30 +00 +86 +00 +30 +00 +66 +00 +1e +00 +5f +00 +21 +00 +70 +00 +29 +00 +78 +00 +31 +00 +67 +00 +26 +00 +8b +00 +31 +00 +83 +00 +38 +00 +75 +00 +29 +00 +71 +00 +28 +00 +6f +00 +29 +00 +80 +00 +28 +00 +7c +00 +2b +00 +a2 +00 +73 +00 +2f +10 +7c +00 +45 +10 +62 +00 +04 +10 +44 +00 +b7 +00 +51 +00 +2e +10 +2a +10 +74 +30 +83 +10 +86 +20 +99 +00 +6f +10 +63 +00 +0d +10 +5f +00 +37 +10 +7d +00 +d8 +10 +7f +00 +aa +10 +73 +00 +5f +10 +88 +00 +d5 +10 +c9 +00 +0b +30 +38 +20 +c7 +80 +df +30 +96 +a0 +c2 +30 +ab +a0 +dc +30 +ac +a0 +95 +30 +74 +90 +46 +20 +4c +40 +be +10 +24 +40 +be +00 +e1 +10 +a8 +00 +f0 +30 +cd +20 +24 +70 +a0 +10 +97 +30 +fc +00 +0d +20 +7d +00 +34 +10 +6c +00 +41 +10 +d5 +00 +b5 +40 +3d +20 +e6 +40 +35 +10 +dc +20 +a7 +00 +9e +10 +72 +00 +0e +10 +4b +00 +bd +00 +3b +00 +bb +00 +9d +00 +47 +10 +65 +00 +92 +10 +3c +10 +d0 +20 +99 +00 +40 +10 +41 +00 +8f +00 +38 +00 +92 +00 +34 +00 +9a +00 +47 +00 +c5 +10 +f2 +00 +40 +10 +3e +00 +8b +00 +39 +00 +75 +00 +27 +00 +6a +00 +29 +00 +98 +00 +61 +00 +19 +10 +42 +00 +6f +00 +28 +00 +5e +00 +22 +00 +5c +00 +2e +00 +90 +00 +3c +00 +99 +00 +2c +00 +72 +00 +2b +00 +65 +00 +25 +00 +65 +00 +21 +00 +49 +00 +1c +00 +4b +00 +1a +00 +4f +00 +25 +00 +49 +00 +22 +00 +58 +00 +22 +00 +5b +00 +1f +00 +59 +00 +1b +00 +58 +00 +1f +00 +4a +00 +1c +00 +36 +00 +19 +00 +36 +00 +16 +00 +32 +00 +0d +00 +36 +00 +10 +00 +3d +00 +19 +00 +36 +00 +17 +00 +40 +00 +18 +00 +45 +00 +1b +00 +49 +00 +20 +00 +3c +00 +2a +00 +48 +10 +dc +00 +08 +20 +6e +00 +e7 +00 +1f +00 +6a +00 +1e +00 +44 +00 +22 +00 +54 +00 +24 +00 +4e +00 +1d +00 +40 +00 +1e +00 +49 +00 +1d +00 +56 +00 +1f +00 +53 +00 +24 +00 +c0 +00 +57 +00 +99 +00 +d4 +00 +4d +10 +5a +00 +a9 +00 +36 +00 +83 +00 +24 +00 +55 +00 +25 +00 +58 +00 +34 +00 +4f +10 +53 +00 +90 +00 +3e +00 +b2 +00 +4d +00 +f7 +00 +33 +00 +7e +00 +27 +00 +6c +00 +2d +00 +55 +00 +22 +00 +55 +00 +1d +00 +54 +00 +21 +00 +55 +00 +2a +00 +62 +00 +2a +00 +6b +00 +23 +00 +64 +00 +27 +00 +6b +00 +2b +00 +61 +00 +2a +00 +6f +00 +30 +00 +68 +00 +2a +00 +75 +00 +33 +00 +92 +00 +3a +00 +d3 +00 +f0 +00 +63 +40 +cc +00 +91 +10 +7f +00 +b1 +20 +40 +20 +cf +50 +c8 +20 +2e +80 +30 +30 +75 +60 +40 +10 +03 +40 +b2 +00 +ac +10 +c3 +00 +ec +10 +64 +00 +f9 +00 +8e +00 +75 +10 +43 +00 +91 +00 +71 +00 +6d +10 +68 +00 +04 +10 +38 +00 +8b +00 +2a +00 +8e +00 +2d +00 +98 +00 +32 +00 +ab +00 +84 +00 +3c +10 +73 +00 +12 +10 +2d +00 +6d +00 +23 +00 +62 +00 +2e +00 +12 +10 +00 +00 +00 +00 +aa +00 +79 +00 +b3 +00 +75 +00 +c0 +00 +7c +00 +c0 +00 +8f +00 +ae +00 +8e +00 +a0 +00 +81 +00 +b7 +00 +f3 +00 +a9 +10 +4b +20 +d9 +40 +58 +40 +df +60 +d9 +30 +53 +40 +1c +20 +ab +10 +f3 +00 +f8 +00 +bb +00 +de +00 +14 +10 +4a +10 +b0 +00 +c2 +00 +47 +10 +b4 +30 +02 +20 +e9 +20 +31 +10 +7e +10 +98 +00 +a2 +00 +6a +00 +b4 +00 +88 +00 +d3 +00 +3f +20 +7f +50 +a3 +30 +8e +30 +71 +20 +d5 +10 +89 +10 +41 +10 +6c +10 +0b +10 +9d +00 +cd +00 +6b +00 +a6 +00 +6d +00 +91 +00 +90 +00 +6a +10 +97 +00 +ad +00 +66 +00 +74 +00 +82 +00 +8a +00 +80 +00 +8c +00 +a3 +00 +f8 +00 +84 +00 +ab +00 +5d +00 +77 +00 +4d +00 +84 +00 +55 +00 +6b +00 +3a +00 +67 +00 +43 +00 +65 +00 +3a +00 +66 +00 +45 +00 +66 +00 +3d +00 +54 +00 +37 +00 +64 +00 +3c +00 +59 +00 +3f +00 +5f +00 +3d +00 +61 +00 +4d +00 +76 +00 +c9 +00 +ef +00 +74 +00 +9e +00 +ff +00 +c5 +10 +72 +10 +3d +20 +d4 +10 +ae +20 +0b +20 +5c +20 +95 +10 +26 +10 +7d +00 +76 +00 +4a +00 +6b +00 +38 +00 +62 +00 +3b +00 +60 +00 +3c +00 +61 +00 +42 +00 +55 +00 +47 +00 +75 +00 +63 +00 +e8 +00 +f4 +00 +5c +10 +71 +00 +6f +00 +32 +00 +55 +00 +2b +00 +51 +00 +40 +00 +6d +00 +95 +00 +e2 +10 +c9 +00 +41 +10 +72 +00 +7a +00 +33 +00 +69 +00 +4b +00 +6b +00 +62 +00 +b7 +00 +2c +10 +9d +10 +df +10 +05 +40 +ae +10 +58 +10 +5d +10 +c2 +10 +62 +30 +3a +60 +4e +40 +fa +60 +b6 +20 +56 +30 +72 +10 +53 +10 +d1 +00 +9b +10 +8e +10 +f9 +20 +18 +10 +7d +10 +f8 +00 +12 +10 +45 +10 +a0 +10 +d6 +00 +67 +10 +c4 +10 +9d +40 +2c +30 +11 +60 +ce +10 +a4 +10 +30 +10 +b2 +10 +e1 +10 +c8 +20 +70 +10 +52 +20 +e4 +00 +ca +00 +69 +00 +92 +00 +4d +00 +89 +00 +3f +00 +7c +00 +48 +00 +7e +00 +43 +00 +7a +00 +3a +00 +69 +00 +30 +00 +6d +00 +42 +00 +81 +00 +37 +00 +5d +00 +37 +00 +6d +00 +31 +00 +73 +00 +42 +00 +75 +00 +4d +00 +65 +00 +4e +00 +74 +00 +49 +00 +7a +00 +3d +00 +6d +00 +2f +00 +5e +00 +38 +00 +64 +00 +3e +00 +71 +00 +45 +00 +7f +00 +92 +00 +7a +10 +c0 +00 +33 +10 +bc +00 +42 +10 +87 +00 +c4 +00 +a6 +00 +46 +10 +41 +20 +80 +50 +45 +30 +18 +40 +a6 +20 +f8 +40 +af +20 +e8 +10 +40 +10 +0f +10 +08 +10 +2b +10 +1d +10 +55 +10 +31 +10 +3d +10 +43 +10 +62 +10 +9f +10 +fc +10 +2a +30 +53 +60 +d4 +50 +e3 +90 +db +60 +94 +a0 +34 +70 +b1 +a0 +a7 +60 +fe +80 +a1 +50 +a6 +80 +ba +40 +6b +60 +2c +40 +3a +30 +f2 +10 +c8 +10 +d4 +20 +17 +70 +2f +40 +85 +70 +67 +30 +1e +40 +1e +20 +b9 +30 +12 +20 +fc +10 +7b +10 +8f +10 +5c +20 +f7 +50 +25 +40 +fd +60 +d6 +20 +3c +20 +3e +10 +0e +10 +ab +00 +aa +00 +82 +00 +c1 +00 +fa +00 +f7 +10 +04 +10 +79 +10 +26 +10 +a8 +30 +55 +10 +21 +20 +a3 +00 +d2 +00 +6a +00 +9c +00 +51 +00 +7c +00 +5c +00 +9d +00 +7a +00 +01 +10 +78 +00 +bd +00 +66 +00 +7c +00 +48 +00 +69 +00 +52 +00 +f4 +00 +e7 +00 +9e +20 +c0 +00 +f8 +00 +6d +00 +81 +00 +40 +00 +66 +00 +39 +00 +64 +00 +37 +00 +6a +00 +37 +00 +62 +00 +87 +00 +04 +10 +47 +00 +62 +00 +32 +00 +51 +00 +29 +00 +48 +00 +25 +00 +4d +00 +23 +00 +41 +00 +2d +00 +57 +00 +33 +00 +57 +00 +34 +00 +52 +00 +2a +00 +59 +00 +28 +00 +56 +00 +2d +00 +3f +00 +28 +00 +3a +00 +1c +00 +35 +00 +20 +00 +31 +00 +23 +00 +35 +00 +21 +00 +38 +00 +1f +00 +46 +00 +2a +00 +41 +00 +25 +00 +39 +00 +24 +00 +44 +00 +38 +00 +56 +00 +67 +00 +f7 +00 +76 +00 +fb +00 +76 +00 +6c +00 +3c +00 +52 +00 +2d +00 +4f +00 +24 +00 +5a +00 +29 +00 +4c +00 +23 +00 +43 +00 +25 +00 +49 +00 +2b +00 +58 +00 +2c +00 +5a +00 +4c +00 +9b +00 +59 +00 +d4 +00 +64 +00 +ad +00 +53 +00 +81 +00 +41 +00 +63 +00 +34 +00 +57 +00 +4d +00 +ad +00 +4e +10 +11 +20 +a2 +00 +7e +00 +5f +00 +91 +00 +48 +00 +82 +00 +46 +00 +67 +00 +36 +00 +6a +00 +31 +00 +55 +00 +2c +00 +4c +00 +31 +00 +4d +00 +2f +00 +4e +00 +39 +00 +58 +00 +3c +00 +6e +00 +35 +00 +62 +00 +3d +00 +5c +00 +38 +00 +67 +00 +3b +00 +70 +00 +58 +00 +89 +00 +71 +00 +91 +00 +6c +00 +8e +00 +bf +00 +65 +10 +d8 +10 +27 +30 +35 +20 +86 +10 +d6 +10 +b6 +30 +08 +30 +aa +60 +8a +40 +ab +80 +55 +30 +11 +30 +a1 +10 +8d +10 +f1 +00 +36 +10 +e6 +00 +4c +10 +8c +10 +0d +30 +b1 +10 +72 +10 +b9 +00 +b4 +00 +79 +00 +c6 +00 +7a +00 +97 +00 +66 +00 +7b +00 +54 +00 +7f +00 +b2 +00 +14 +10 +c2 +10 +54 +20 +61 +10 +2e +10 +9a +00 +94 +00 +5d +00 +62 +00 +4b +00 +8f +00 +70 +00 +00 +00 +00 +00 +3f +00 +b4 +00 +3c +00 +b5 +00 +4a +00 +c5 +00 +4b +00 +c5 +00 +4c +00 +bf +00 +42 +00 +a3 +00 +44 +00 +cd +00 +66 +00 +0f +20 +af +10 +76 +60 +79 +20 +ff +50 +11 +10 +76 +20 +70 +00 +23 +10 +4d +00 +db +00 +95 +00 +53 +30 +b3 +00 +1e +10 +46 +00 +0b +10 +e5 +00 +4a +20 +85 +00 +91 +10 +61 +00 +ca +00 +4b +00 +a0 +00 +3f +00 +a7 +00 +54 +00 +42 +20 +4b +20 +1a +70 +3e +20 +ca +50 +3c +10 +b3 +20 +47 +10 +24 +40 +a6 +00 +17 +10 +44 +00 +bd +00 +3a +00 +89 +00 +37 +00 +af +00 +bb +00 +83 +10 +4c +00 +9e +00 +39 +00 +ee +00 +50 +00 +a9 +00 +32 +00 +c5 +00 +45 +00 +b1 +00 +28 +00 +6b +00 +23 +00 +71 +00 +2e +00 +82 +00 +25 +00 +6b +00 +25 +00 +75 +00 +34 +00 +63 +00 +25 +00 +5d +00 +1d +00 +5e +00 +29 +00 +5d +00 +26 +00 +61 +00 +1f +00 +63 +00 +21 +00 +63 +00 +25 +00 +64 +00 +3d +00 +3b +10 +58 +00 +bc +00 +62 +00 +27 +20 +06 +10 +b2 +20 +0c +10 +33 +30 +cb +10 +12 +50 +e8 +10 +fb +40 +d0 +00 +0e +10 +28 +00 +6c +00 +25 +00 +64 +00 +22 +00 +65 +00 +27 +00 +67 +00 +1f +00 +56 +00 +20 +00 +61 +00 +25 +00 +74 +00 +8d +00 +df +20 +66 +00 +a5 +00 +27 +00 +5f +00 +1f +00 +57 +00 +22 +00 +57 +00 +30 +00 +93 +00 +4f +00 +e5 +00 +4f +00 +af +00 +27 +00 +63 +00 +2b +00 +72 +00 +27 +00 +63 +00 +a1 +00 +93 +20 +a4 +00 +cb +20 +21 +20 +00 +50 +b0 +00 +cc +10 +9d +10 +3f +60 +db +20 +c1 +70 +62 +20 +32 +40 +c5 +00 +ec +10 +5f +00 +fc +00 +63 +00 +8f +10 +7a +00 +7e +10 +5f +00 +5f +10 +76 +00 +b2 +10 +7e +00 +28 +10 +62 +00 +7f +10 +5c +10 +2a +40 +6e +10 +69 +20 +6b +00 +ef +00 +7f +00 +e6 +10 +94 +00 +93 +10 +79 +00 +15 +10 +41 +00 +9a +00 +2a +00 +6c +00 +3f +00 +86 +00 +2a +00 +6c +00 +2f +00 +77 +00 +2f +00 +73 +00 +23 +00 +52 +00 +2a +00 +72 +00 +31 +00 +65 +00 +21 +00 +55 +00 +24 +00 +82 +00 +2c +00 +75 +00 +2b +00 +6b +00 +29 +00 +6a +00 +23 +00 +62 +00 +2b +00 +59 +00 +26 +00 +6d +00 +24 +00 +6a +00 +2f +00 +72 +00 +29 +00 +68 +00 +30 +00 +b9 +00 +7a +00 +1c +10 +64 +00 +37 +10 +6a +00 +e7 +00 +44 +00 +a1 +00 +ed +00 +c5 +40 +6e +20 +88 +60 +74 +20 +b6 +40 +c4 +10 +ed +50 +73 +10 +14 +20 +5c +00 +eb +00 +63 +00 +19 +10 +65 +00 +35 +10 +80 +00 +e0 +10 +c4 +00 +3a +30 +b5 +10 +8c +50 +ec +20 +13 +90 +aa +30 +53 +a0 +f8 +30 +ab +a0 +8b +30 +ff +80 +1c +30 +5e +90 +67 +30 +48 +80 +1e +30 +2e +80 +24 +20 +11 +30 +8a +00 +6c +20 +8c +10 +d0 +50 +eb +10 +af +40 +6b +10 +8e +30 +6f +10 +9f +40 +03 +10 +21 +20 +cd +00 +24 +30 +36 +20 +01 +70 +0d +20 +ef +30 +ae +00 +46 +10 +60 +00 +d9 +00 +44 +00 +ac +00 +73 +00 +df +10 +00 +10 +d5 +10 +65 +00 +2c +10 +87 +00 +6a +10 +82 +00 +18 +10 +4c +00 +9e +00 +43 +00 +8f +00 +2b +00 +8b +00 +32 +00 +b6 +00 +44 +00 +a7 +00 +3e +00 +92 +00 +2e +00 +81 +00 +32 +00 +7e +00 +53 +00 +15 +10 +77 +00 +f5 +00 +94 +00 +44 +10 +33 +00 +75 +00 +28 +00 +6e +00 +26 +00 +62 +00 +27 +00 +71 +00 +24 +00 +8f +00 +c3 +00 +01 +10 +29 +00 +5a +00 +1d +00 +4e +00 +29 +00 +44 +00 +22 +00 +43 +00 +16 +00 +43 +00 +1e +00 +4f +00 +21 +00 +57 +00 +22 +00 +54 +00 +1f +00 +56 +00 +1d +00 +51 +00 +1b +00 +3d +00 +16 +00 +30 +00 +17 +00 +36 +00 +13 +00 +32 +00 +18 +00 +2b +00 +19 +00 +3a +00 +1a +00 +46 +00 +17 +00 +41 +00 +1a +00 +52 +00 +22 +00 +4f +00 +1d +00 +75 +00 +3a +00 +ab +00 +2e +00 +8e +00 +26 +00 +54 +00 +21 +00 +53 +00 +2a +00 +5a +00 +20 +00 +4f +00 +1e +00 +45 +00 +1a +00 +46 +00 +19 +00 +4c +00 +1a +00 +47 +00 +1d +00 +56 +00 +38 +00 +94 +00 +2e +00 +8d +00 +2c +00 +83 +00 +2c +00 +70 +00 +29 +00 +5f +00 +25 +00 +63 +00 +6e +00 +82 +30 +17 +10 +54 +10 +30 +00 +72 +00 +28 +00 +74 +00 +2c +00 +75 +00 +22 +00 +70 +00 +2d +00 +64 +00 +19 +00 +4a +00 +22 +00 +53 +00 +19 +00 +4a +00 +23 +00 +4b +00 +20 +00 +54 +00 +29 +00 +62 +00 +27 +00 +66 +00 +24 +00 +68 +00 +2c +00 +74 +00 +2f +00 +95 +00 +94 +00 +8d +10 +45 +00 +ab +00 +36 +00 +e0 +00 +72 +00 +8b +20 +5d +20 +ff +50 +30 +10 +31 +20 +9f +00 +6e +20 +c4 +10 +12 +60 +bb +10 +8c +30 +9c +00 +92 +10 +5b +00 +f8 +00 +4a +00 +19 +10 +39 +10 +1a +50 +b1 +10 +71 +30 +70 +00 +cf +00 +36 +00 +b0 +00 +3b +00 +90 +00 +3b +00 +84 +00 +2f +00 +7c +00 +31 +00 +fc +00 +bc +10 +79 +50 +07 +20 +dd +30 +70 +00 +d3 +00 +39 +00 +79 +00 +22 +00 +51 +00 +28 +00 +8a +00 +00 +00 +00 +00 +b9 +00 +76 +00 +ad +00 +76 +00 +bd +00 +98 +00 +c4 +00 +23 +10 +91 +10 +3f +10 +1c +10 +92 +00 +c6 +00 +f9 +00 +4a +10 +0a +30 +b5 +50 +c9 +40 +61 +70 +ba +40 +ea +50 +1d +30 +27 +20 +31 +10 +0d +10 +5d +10 +9b +10 +84 +10 +12 +20 +cd +00 +d1 +00 +c0 +00 +54 +10 +ff +00 +67 +10 +c6 +00 +f9 +00 +74 +00 +ab +00 +5f +00 +95 +00 +8b +00 +c8 +00 +f8 +10 +32 +50 +59 +40 +71 +70 +a5 +40 +50 +60 +a9 +30 +d0 +50 +66 +20 +9c +20 +d6 +00 +c8 +00 +7b +00 +9a +00 +65 +00 +89 +00 +82 +00 +d9 +00 +73 +00 +c4 +00 +8f +00 +f3 +00 +a1 +10 +3b +20 +ba +00 +8c +00 +79 +00 +8d +00 +62 +00 +72 +00 +41 +00 +6b +00 +40 +00 +77 +00 +40 +00 +73 +00 +44 +00 +6b +00 +43 +00 +6d +00 +40 +00 +6d +00 +3a +00 +60 +00 +30 +00 +77 +00 +37 +00 +6c +00 +3e +00 +61 +00 +41 +00 +60 +00 +33 +00 +5b +00 +50 +00 +82 +00 +67 +00 +a7 +00 +82 +00 +21 +10 +c8 +00 +9e +10 +78 +20 +3e +50 +09 +20 +85 +30 +91 +20 +23 +60 +a8 +20 +2f +30 +d4 +00 +86 +00 +46 +00 +67 +00 +35 +00 +63 +00 +38 +00 +5b +00 +46 +00 +6e +00 +39 +00 +65 +00 +3c +00 +74 +00 +58 +00 +b1 +00 +98 +00 +5d +10 +7c +00 +71 +00 +36 +00 +59 +00 +37 +00 +5b +00 +35 +00 +66 +00 +56 +00 +b1 +00 +6d +00 +b3 +00 +67 +00 +71 +00 +3b +00 +5c +00 +43 +00 +77 +00 +6a +00 +13 +10 +f3 +00 +d5 +10 +52 +30 +72 +60 +3e +30 +d3 +30 +29 +30 +01 +50 +f2 +30 +e6 +70 +0d +40 +f2 +50 +1d +20 +15 +20 +05 +10 +f1 +00 +9d +00 +c6 +00 +9d +00 +07 +10 +dd +00 +4e +10 +ec +10 +01 +30 +04 +10 +eb +00 +a5 +00 +c4 +00 +c9 +00 +47 +10 +e8 +00 +dd +10 +09 +10 +5f +10 +d0 +00 +f0 +00 +c6 +00 +05 +10 +c7 +00 +f6 +00 +78 +00 +aa +00 +4e +00 +71 +00 +40 +00 +83 +00 +43 +00 +6f +00 +3d +00 +77 +00 +32 +00 +7b +00 +3d +00 +74 +00 +34 +00 +4a +00 +2f +00 +74 +00 +30 +00 +4d +00 +34 +00 +66 +00 +39 +00 +7f +00 +33 +00 +6d +00 +35 +00 +64 +00 +3f +00 +59 +00 +3f +00 +7d +00 +39 +00 +62 +00 +41 +00 +67 +00 +42 +00 +6d +00 +3c +00 +70 +00 +3d +00 +75 +00 +60 +00 +c5 +00 +78 +00 +d1 +00 +80 +00 +d1 +00 +6c +00 +c8 +00 +9d +00 +f8 +00 +60 +10 +ba +40 +20 +30 +d3 +60 +b5 +30 +67 +60 +4f +30 +30 +50 +c7 +20 +68 +20 +0e +10 +38 +10 +5a +10 +6c +10 +d4 +10 +af +30 +85 +10 +f1 +10 +a8 +20 +85 +60 +19 +40 +5c +80 +70 +50 +c5 +90 +40 +60 +7d +a0 +73 +60 +e2 +80 +6d +60 +bd +90 +bc +60 +a6 +90 +4f +60 +81 +90 +c0 +50 +8f +80 +fd +20 +53 +20 +f6 +10 +53 +20 +3a +20 +02 +30 +e5 +10 +4f +20 +69 +10 +15 +20 +75 +10 +1d +30 +e6 +20 +6a +40 +ec +20 +c6 +40 +49 +20 +7f +30 +77 +10 +be +10 +e2 +00 +ef +00 +7d +00 +b2 +00 +79 +00 +cd +00 +ee +00 +c6 +20 +b0 +10 +e7 +10 +c7 +00 +06 +10 +aa +00 +1c +10 +b8 +00 +c4 +00 +7d +00 +96 +00 +4d +00 +78 +00 +4a +00 +84 +00 +5d +00 +a3 +00 +59 +00 +99 +00 +4f +00 +7a +00 +49 +00 +8c +00 +49 +00 +a4 +00 +5e +00 +c2 +00 +6b +00 +01 +10 +6f +00 +af +00 +4e +00 +5e +00 +39 +00 +57 +00 +37 +00 +68 +00 +47 +00 +6c +00 +4a +00 +a8 +00 +45 +00 +81 +00 +3b +00 +54 +00 +30 +00 +56 +00 +26 +00 +55 +00 +2c +00 +41 +00 +27 +00 +57 +00 +2a +00 +5a +00 +31 +00 +5f +00 +36 +00 +57 +00 +31 +00 +47 +00 +2c +00 +3b +00 +1c +00 +31 +00 +24 +00 +35 +00 +24 +00 +34 +00 +25 +00 +3d +00 +27 +00 +37 +00 +1b +00 +42 +00 +20 +00 +41 +00 +1e +00 +40 +00 +26 +00 +4f +00 +29 +00 +4d +00 +49 +00 +73 +00 +57 +00 +78 +00 +58 +00 +5f +00 +31 +00 +51 +00 +2b +00 +4e +00 +2f +00 +4d +00 +29 +00 +56 +00 +26 +00 +3f +00 +27 +00 +54 +00 +2d +00 +4e +00 +29 +00 +4c +00 +41 +00 +d4 +00 +6c +00 +a2 +00 +4c +00 +6d +00 +40 +00 +63 +00 +3c +00 +53 +00 +33 +00 +54 +00 +4e +00 +99 +00 +90 +00 +5a +20 +a5 +00 +ce +00 +5b +00 +6f +00 +6e +00 +a8 +00 +8c +00 +87 +00 +3a +00 +72 +00 +2e +00 +56 +00 +2c +00 +53 +00 +2c +00 +4d +00 +2d +00 +3d +00 +2c +00 +50 +00 +30 +00 +66 +00 +35 +00 +5c +00 +44 +00 +64 +00 +41 +00 +65 +00 +4b +00 +8e +00 +88 +00 +45 +20 +61 +10 +5e +10 +1b +10 +f7 +10 +b4 +20 +f8 +20 +cb +20 +95 +50 +29 +40 +95 +60 +94 +30 +f4 +20 +69 +20 +4a +30 +3b +30 +b3 +40 +e5 +10 +bc +10 +0f +10 +db +00 +9d +00 +a9 +00 +cf +00 +4c +20 +8e +20 +86 +50 +8a +10 +64 +10 +c6 +00 +86 +00 +6c +00 +b3 +00 +74 +00 +74 +00 +63 +00 +92 +00 +5e +00 +78 +00 +9d +00 +c5 +10 +30 +10 +27 +30 +88 +10 +2e +20 +8b +10 +e0 +00 +76 +00 +6e +00 +46 +00 +5f +00 +41 +00 +00 +00 +00 +00 +3f +00 +a7 +00 +41 +00 +bc +00 +45 +00 +d0 +00 +6e +00 +31 +20 +49 +10 +86 +30 +8e +00 +f5 +00 +49 +00 +f6 +00 +ae +00 +3e +40 +5f +20 +3a +70 +bf +20 +86 +70 +8f +20 +ac +60 +ad +10 +9a +20 +c3 +00 +e1 +20 +06 +10 +22 +30 +91 +00 +20 +10 +49 +00 +c8 +00 +58 +00 +30 +10 +4b +00 +ee +00 +4e +00 +ae +00 +3d +00 +99 +00 +40 +00 +af +00 +50 +00 +ab +10 +23 +20 +49 +70 +ed +20 +fe +70 +99 +20 +6d +60 +5b +20 +8d +40 +8d +00 +21 +10 +3f +00 +9a +00 +3f +00 +9e +00 +35 +00 +99 +00 +59 +00 +09 +10 +3e +00 +b5 +00 +c7 +00 +9e +30 +08 +10 +5f +10 +33 +00 +7d +00 +30 +00 +7a +00 +25 +00 +67 +00 +24 +00 +6a +00 +28 +00 +6c +00 +24 +00 +68 +00 +27 +00 +7b +00 +29 +00 +6b +00 +1d +00 +60 +00 +1f +00 +6b +00 +21 +00 +58 +00 +25 +00 +5c +00 +1b +00 +53 +00 +22 +00 +56 +00 +25 +00 +74 +00 +3a +00 +a3 +00 +35 +00 +9b +00 +44 +00 +f6 +00 +92 +00 +6c +30 +b4 +10 +00 +30 +a1 +00 +59 +20 +dc +10 +4b +40 +cc +00 +59 +10 +2a +00 +65 +00 +26 +00 +5c +00 +26 +00 +66 +00 +24 +00 +60 +00 +53 +00 +7f +00 +20 +00 +59 +00 +27 +00 +6a +00 +2d +00 +a1 +00 +42 +00 +a5 +00 +25 +00 +56 +00 +1f +00 +62 +00 +24 +00 +49 +00 +23 +00 +64 +00 +21 +00 +a4 +00 +2f +00 +68 +00 +21 +00 +5d +00 +22 +00 +57 +00 +2d +00 +69 +00 +3a +00 +e9 +00 +2e +10 +c6 +50 +a0 +20 +e0 +50 +e3 +10 +e6 +40 +1c +20 +e5 +60 +92 +20 +4b +60 +7c +10 +f9 +20 +89 +00 +c0 +10 +60 +00 +ce +00 +37 +00 +be +00 +43 +00 +da +00 +d3 +00 +89 +30 +2f +10 +db +10 +47 +00 +b2 +00 +44 +00 +bc +00 +58 +00 +32 +10 +6d +00 +12 +10 +6e +00 +ee +00 +58 +00 +c8 +00 +44 +00 +cf +00 +43 +00 +ad +00 +38 +00 +76 +00 +29 +00 +70 +00 +37 +00 +81 +00 +30 +00 +70 +00 +29 +00 +6a +00 +2b +00 +97 +00 +23 +00 +5e +00 +1e +00 +52 +00 +1f +00 +63 +00 +23 +00 +65 +00 +29 +00 +65 +00 +2a +00 +6f +00 +26 +00 +66 +00 +21 +00 +65 +00 +1f +00 +69 +00 +3d +00 +77 +00 +2a +00 +69 +00 +29 +00 +6e +00 +28 +00 +75 +00 +29 +00 +63 +00 +36 +00 +a8 +00 +3d +00 +a1 +00 +33 +00 +ac +00 +42 +00 +9a +00 +48 +00 +b1 +00 +69 +00 +7a +10 +c5 +00 +86 +30 +be +10 +40 +50 +c7 +20 +f6 +60 +8c +10 +fd +30 +f4 +00 +c1 +10 +b5 +00 +6a +20 +13 +10 +93 +20 +3b +10 +54 +20 +a6 +00 +57 +20 +53 +10 +d3 +30 +47 +20 +6a +60 +79 +20 +32 +80 +99 +30 +b2 +80 +30 +30 +06 +a0 +af +30 +f9 +90 +a1 +30 +10 +a0 +9d +30 +ae +90 +d9 +20 +a7 +40 +c5 +00 +3c +20 +6e +10 +f1 +40 +e0 +00 +36 +20 +a4 +00 +a6 +10 +8e +00 +e6 +10 +cb +10 +f8 +50 +d5 +10 +ee +20 +dd +00 +8c +20 +cd +00 +18 +20 +78 +00 +28 +10 +52 +00 +b9 +00 +42 +00 +b3 +00 +6b +00 +a1 +10 +af +00 +72 +20 +95 +00 +33 +10 +4e +00 +f1 +00 +57 +00 +01 +10 +5c +00 +b4 +00 +38 +00 +72 +00 +32 +00 +70 +00 +2a +00 +8b +00 +35 +00 +88 +00 +30 +00 +81 +00 +34 +00 +74 +00 +2e +00 +76 +00 +32 +00 +8f +00 +37 +00 +a9 +00 +3c +00 +a0 +00 +33 +00 +6e +00 +2b +00 +65 +00 +23 +00 +5c +00 +26 +00 +61 +00 +27 +00 +67 +00 +2b +00 +6a +00 +27 +00 +66 +00 +1c +00 +56 +00 +21 +00 +59 +00 +20 +00 +4e +00 +20 +00 +52 +00 +21 +00 +5a +00 +26 +00 +5e +00 +24 +00 +5e +00 +21 +00 +53 +00 +18 +00 +3c +00 +17 +00 +2f +00 +16 +00 +2e +00 +1b +00 +37 +00 +15 +00 +3f +00 +1e +00 +4a +00 +1b +00 +34 +00 +1d +00 +43 +00 +1a +00 +4a +00 +1b +00 +4d +00 +1e +00 +45 +00 +21 +00 +58 +00 +1b +00 +5c +00 +26 +00 +5e +00 +22 +00 +49 +00 +24 +00 +4c +00 +23 +00 +61 +00 +1d +00 +51 +00 +1a +00 +4b +00 +1e +00 +43 +00 +18 +00 +44 +00 +18 +00 +49 +00 +1d +00 +4d +00 +2d +00 +76 +00 +27 +00 +60 +00 +25 +00 +5e +00 +29 +00 +5c +00 +24 +00 +63 +00 +25 +00 +5c +00 +2e +00 +c2 +00 +56 +00 +03 +10 +36 +00 +7c +00 +27 +00 +a2 +00 +26 +10 +f3 +10 +35 +00 +6b +00 +29 +00 +69 +00 +1e +00 +56 +00 +27 +00 +4b +00 +18 +00 +49 +00 +21 +00 +63 +00 +21 +00 +4f +00 +2c +00 +80 +00 +2e +00 +61 +00 +2a +00 +6a +00 +31 +00 +81 +00 +36 +00 +ba +00 +e5 +00 +05 +20 +65 +00 +64 +10 +3d +20 +0e +70 +e6 +20 +95 +60 +f8 +10 +82 +60 +7e +20 +5d +60 +1b +20 +c7 +30 +33 +10 +36 +40 +ed +00 +f1 +10 +59 +00 +d8 +00 +38 +00 +95 +00 +37 +00 +c3 +00 +bc +00 +c0 +30 +56 +10 +6f +20 +59 +00 +c8 +00 +36 +00 +96 +00 +36 +00 +8e +00 +36 +00 +9f +00 +76 +00 +ba +00 +34 +00 +a4 +00 +5c +00 +52 +10 +95 +00 +80 +20 +6b +10 +2b +30 +a2 +00 +ef +00 +2a +00 +68 +00 +27 +00 +58 +00 +00 +00 +00 +00 +a8 +00 +70 +00 +b5 +00 +85 +00 +c8 +00 +c3 +00 +40 +10 +52 +20 +4c +40 +f0 +10 +db +10 +fa +00 +f3 +00 +ea +10 +0e +30 +38 +40 +ce +60 +38 +50 +06 +80 +47 +50 +79 +70 +33 +40 +e5 +50 +2e +30 +95 +40 +0f +30 +31 +40 +f7 +10 +df +10 +d2 +00 +b8 +00 +a2 +00 +ef +00 +b1 +00 +d9 +00 +a4 +00 +bb +00 +6d +00 +a2 +00 +6a +00 +a1 +00 +d2 +00 +0b +10 +71 +20 +2e +40 +b5 +40 +30 +80 +05 +50 +c5 +70 +9e +40 +f0 +60 +5b +20 +4a +20 +cc +00 +bd +00 +64 +00 +9d +00 +5f +00 +9e +00 +60 +00 +a9 +00 +67 +00 +bc +00 +81 +00 +e5 +00 +73 +10 +2e +20 +fe +00 +b2 +00 +69 +00 +66 +00 +46 +00 +6e +00 +39 +00 +5d +00 +39 +00 +5c +00 +40 +00 +71 +00 +42 +00 +64 +00 +46 +00 +61 +00 +3b +00 +60 +00 +3c +00 +6e +00 +38 +00 +5a +00 +32 +00 +61 +00 +39 +00 +69 +00 +41 +00 +5b +00 +3b +00 +60 +00 +6d +00 +26 +10 +94 +00 +9f +00 +5d +00 +96 +00 +7e +00 +d8 +00 +e3 +00 +a4 +10 +18 +10 +70 +10 +36 +10 +db +20 +44 +10 +c3 +10 +b0 +00 +88 +00 +51 +00 +70 +00 +3f +00 +70 +00 +40 +00 +58 +00 +30 +00 +70 +00 +35 +00 +71 +00 +3b +00 +53 +00 +3c +00 +70 +00 +6e +00 +94 +00 +66 +00 +73 +00 +38 +00 +5d +00 +30 +00 +55 +00 +36 +00 +54 +00 +4b +00 +6c +00 +5f +00 +6d +00 +4e +00 +69 +00 +45 +00 +5d +00 +36 +00 +61 +00 +53 +00 +8d +00 +6c +10 +dd +30 +b7 +30 +ad +60 +79 +20 +db +30 +25 +20 +c7 +30 +70 +30 +df +50 +d2 +20 +83 +30 +68 +10 +6a +10 +c5 +00 +45 +10 +a4 +00 +b0 +00 +7c +00 +a0 +00 +ed +00 +a2 +20 +75 +10 +b2 +20 +e2 +00 +06 +10 +85 +00 +9c +00 +69 +00 +cb +00 +a8 +00 +ed +00 +89 +00 +bb +00 +7b +00 +a6 +00 +7e +00 +e8 +00 +bf +00 +f1 +00 +6a +00 +9b +00 +48 +00 +6d +00 +38 +00 +77 +00 +3b +00 +6c +00 +31 +00 +62 +00 +2e +00 +73 +00 +3d +00 +72 +00 +36 +00 +4c +00 +33 +00 +61 +00 +36 +00 +62 +00 +32 +00 +5b +00 +31 +00 +66 +00 +2b +00 +6e +00 +3c +00 +5e +00 +36 +00 +69 +00 +40 +00 +69 +00 +34 +00 +74 +00 +32 +00 +78 +00 +34 +00 +6a +00 +40 +00 +6e +00 +3e +00 +6e +00 +5a +00 +96 +00 +61 +00 +9c +00 +63 +00 +9d +00 +98 +00 +d4 +00 +d4 +00 +fc +10 +a5 +10 +2e +20 +7a +10 +21 +20 +ec +10 +06 +50 +85 +20 +1f +40 +83 +10 +b2 +10 +1f +10 +53 +20 +7b +20 +2b +40 +51 +20 +ee +20 +8c +10 +ca +10 +88 +10 +f0 +10 +b8 +10 +ce +20 +61 +20 +fa +30 +3a +40 +3f +70 +da +50 +da +90 +e2 +60 +31 +a0 +d1 +60 +1b +a0 +b3 +60 +3b +a0 +3d +60 +d9 +70 +49 +30 +fc +20 +eb +30 +ad +60 +4f +30 +4f +30 +a0 +10 +df +10 +23 +20 +26 +20 +5c +20 +e0 +40 +c4 +30 +7e +50 +00 +20 +44 +20 +d2 +10 +18 +20 +12 +10 +36 +10 +a3 +00 +ca +00 +6d +00 +a9 +00 +6e +00 +cd +00 +8f +00 +1f +10 +9e +00 +07 +10 +a4 +00 +ce +00 +09 +10 +dd +10 +84 +10 +a2 +10 +a2 +00 +9d +00 +59 +00 +91 +00 +3e +00 +78 +00 +4f +00 +88 +00 +54 +00 +80 +00 +4c +00 +69 +00 +3f +00 +6f +00 +3b +00 +69 +00 +4b +00 +7e +00 +5a +00 +9c +00 +50 +00 +83 +00 +3e +00 +6d +00 +3c +00 +65 +00 +3f +00 +63 +00 +3e +00 +64 +00 +41 +00 +6d +00 +3a +00 +60 +00 +3b +00 +5b +00 +3d +00 +5f +00 +32 +00 +4f +00 +2a +00 +51 +00 +2f +00 +5e +00 +34 +00 +56 +00 +2e +00 +64 +00 +35 +00 +59 +00 +29 +00 +3a +00 +29 +00 +41 +00 +28 +00 +29 +00 +1a +00 +2e +00 +23 +00 +40 +00 +25 +00 +55 +00 +1e +00 +3f +00 +24 +00 +3d +00 +1e +00 +44 +00 +24 +00 +40 +00 +29 +00 +4d +00 +29 +00 +52 +00 +30 +00 +54 +00 +33 +00 +51 +00 +26 +00 +43 +00 +2b +00 +4c +00 +25 +00 +53 +00 +2c +00 +5a +00 +28 +00 +4d +00 +23 +00 +3a +00 +25 +00 +51 +00 +23 +00 +48 +00 +26 +00 +4a +00 +2d +00 +5a +00 +3f +00 +59 +00 +3f +00 +62 +00 +41 +00 +5f +00 +4b +00 +79 +00 +63 +00 +77 +00 +5e +00 +80 +00 +69 +00 +c1 +00 +7b +00 +aa +00 +90 +00 +ba +00 +a5 +00 +4e +20 +a3 +00 +d1 +00 +52 +00 +5b +00 +33 +00 +63 +00 +2b +00 +57 +00 +28 +00 +58 +00 +2d +00 +54 +00 +2b +00 +4c +00 +2a +00 +6c +00 +3d +00 +6f +00 +7d +00 +89 +00 +5b +00 +6e +00 +4f +00 +93 +00 +75 +00 +0b +10 +84 +00 +23 +10 +54 +10 +4b +40 +43 +40 +ee +80 +7c +40 +c9 +60 +e1 +20 +4f +50 +5b +30 +dc +50 +d6 +20 +a1 +40 +0a +20 +2a +30 +42 +10 +0d +10 +9e +00 +a3 +00 +5b +00 +9a +00 +92 +00 +52 +10 +c7 +10 +4a +40 +4b +20 +d0 +10 +e8 +00 +e8 +00 +00 +10 +df +00 +72 +00 +82 +00 +70 +00 +ed +00 +b6 +00 +e1 +00 +8c +00 +c7 +00 +b4 +00 +2e +10 +06 +10 +9d +20 +fd +00 +08 +10 +a7 +00 +ba +00 +47 +00 +53 +00 +36 +00 +00 +00 +00 +00 +3e +00 +bd +00 +50 +00 +c6 +00 +4d +00 +dd +00 +a9 +00 +77 +30 +a5 +10 +cd +30 +9b +00 +55 +10 +93 +00 +ef +20 +f0 +10 +56 +60 +9b +20 +d1 +70 +cd +20 +fe +70 +ab +20 +25 +70 +df +10 +05 +50 +41 +20 +5d +60 +82 +10 +1b +30 +72 +00 +01 +10 +3e +00 +d4 +00 +4d +00 +da +00 +3c +00 +c5 +00 +6f +00 +e9 +00 +43 +00 +a5 +00 +3c +00 +00 +10 +0c +10 +4b +40 +21 +20 +02 +70 +c6 +20 +d4 +70 +aa +20 +16 +70 +3f +20 +25 +40 +9b +00 +00 +10 +47 +00 +a7 +00 +51 +00 +a1 +00 +4d +00 +b8 +00 +3c +00 +a9 +00 +39 +00 +8e +00 +57 +00 +20 +20 +20 +10 +be +10 +3c +00 +92 +00 +2b +00 +74 +00 +29 +00 +6e +00 +26 +00 +69 +00 +26 +00 +6e +00 +26 +00 +5e +00 +1f +00 +5a +00 +26 +00 +77 +00 +24 +00 +57 +00 +20 +00 +67 +00 +25 +00 +63 +00 +22 +00 +62 +00 +22 +00 +47 +00 +26 +00 +61 +00 +20 +00 +72 +00 +9c +00 +55 +10 +38 +00 +96 +00 +39 +00 +95 +00 +38 +00 +ea +00 +5c +00 +0c +10 +53 +00 +27 +10 +7c +00 +81 +10 +5c +00 +cc +00 +30 +00 +88 +00 +44 +00 +7e +00 +24 +00 +6b +00 +22 +00 +5f +00 +25 +00 +5b +00 +24 +00 +64 +00 +1e +00 +5f +00 +22 +00 +90 +00 +76 +00 +eb +00 +32 +00 +65 +00 +1d +00 +57 +00 +21 +00 +52 +00 +1b +00 +5e +00 +21 +00 +61 +00 +2b +00 +6c +00 +24 +00 +5d +00 +23 +00 +4d +00 +1d +00 +b1 +00 +45 +00 +ae +10 +f6 +10 +01 +60 +7d +10 +1a +30 +ad +00 +b8 +10 +66 +10 +a8 +40 +49 +10 +28 +30 +a3 +00 +93 +10 +52 +00 +c5 +00 +46 +00 +d1 +00 +45 +00 +9e +00 +31 +00 +d7 +00 +92 +00 +80 +10 +76 +00 +17 +10 +36 +00 +87 +00 +32 +00 +83 +00 +32 +00 +a0 +00 +2c +00 +a1 +00 +3a +00 +8e +00 +39 +00 +8d +00 +4a +00 +ec +00 +5c +00 +a6 +00 +31 +00 +7f +00 +23 +00 +68 +00 +2b +00 +62 +00 +2a +00 +63 +00 +23 +00 +6e +00 +28 +00 +63 +00 +35 +00 +5d +00 +1e +00 +4d +00 +1c +00 +49 +00 +1d +00 +54 +00 +22 +00 +5f +00 +20 +00 +5b +00 +24 +00 +6a +00 +26 +00 +62 +00 +22 +00 +55 +00 +25 +00 +58 +00 +27 +00 +61 +00 +25 +00 +6a +00 +34 +00 +67 +00 +2d +00 +7e +00 +2f +00 +85 +00 +35 +00 +8f +00 +39 +00 +83 +00 +49 +00 +50 +10 +0d +10 +e9 +10 +86 +00 +35 +20 +4c +10 +56 +20 +8f +00 +eb +10 +cd +00 +b6 +20 +e9 +00 +20 +20 +75 +00 +5b +10 +e7 +00 +2c +40 +c5 +10 +56 +40 +8c +10 +bd +20 +b2 +00 +03 +20 +c7 +00 +00 +20 +9f +00 +5d +20 +3a +10 +78 +40 +2c +20 +20 +80 +99 +30 +14 +a0 +a5 +30 +3a +a0 +ab +30 +8c +a0 +be +30 +1d +a0 +7a +20 +b5 +50 +cd +10 +d0 +60 +b9 +20 +45 +50 +e9 +00 +32 +20 +bc +00 +f6 +30 +ea +10 +f5 +30 +4e +20 +6d +60 +4d +10 +b5 +20 +bb +00 +ed +20 +ab +00 +66 +10 +5f +00 +e4 +00 +4c +00 +bf +00 +3e +00 +ac +00 +46 +00 +cc +00 +5c +00 +f1 +00 +48 +00 +cf +00 +43 +00 +55 +10 +e2 +10 +fe +40 +bc +00 +2c +10 +43 +00 +a3 +00 +2f +00 +86 +00 +33 +00 +77 +00 +3c +00 +d1 +00 +44 +00 +84 +00 +2d +00 +65 +00 +23 +00 +6e +00 +29 +00 +79 +00 +30 +00 +78 +00 +27 +00 +7d +00 +2b +00 +69 +00 +2a +00 +5c +00 +26 +00 +6b +00 +28 +00 +74 +00 +25 +00 +70 +00 +2b +00 +67 +00 +2b +00 +51 +00 +24 +00 +5f +00 +28 +00 +64 +00 +22 +00 +5f +00 +1d +00 +53 +00 +2c +00 +63 +00 +20 +00 +5c +00 +2a +00 +57 +00 +1e +00 +49 +00 +18 +00 +38 +00 +15 +00 +30 +00 +0f +00 +34 +00 +15 +00 +3a +00 +1a +00 +4c +00 +1c +00 +3e +00 +1c +00 +41 +00 +1f +00 +33 +00 +1e +00 +42 +00 +24 +00 +4f +00 +21 +00 +59 +00 +1f +00 +5e +00 +2a +00 +4f +00 +1f +00 +4c +00 +20 +00 +5a +00 +23 +00 +4f +00 +1b +00 +55 +00 +1a +00 +45 +00 +18 +00 +4a +00 +1f +00 +42 +00 +1a +00 +48 +00 +18 +00 +3b +00 +18 +00 +4c +00 +1f +00 +5d +00 +1d +00 +62 +00 +1e +00 +64 +00 +5c +00 +a6 +00 +6f +00 +54 +10 +85 +00 +a2 +00 +26 +00 +78 +00 +36 +00 +cc +00 +e8 +00 +f0 +10 +d1 +00 +1e +20 +54 +00 +c7 +00 +32 +00 +7d +00 +27 +00 +5f +00 +26 +00 +62 +00 +20 +00 +57 +00 +26 +00 +49 +00 +26 +00 +4c +00 +1d +00 +53 +00 +29 +00 +77 +00 +7f +00 +16 +20 +3d +00 +7e +00 +2d +00 +7c +00 +52 +00 +10 +10 +5f +00 +eb +00 +4f +00 +35 +10 +bc +10 +db +70 +69 +30 +9f +60 +3d +10 +fd +20 +f3 +00 +74 +30 +1a +10 +a8 +20 +d2 +00 +34 +20 +d6 +00 +8f +10 +63 +00 +c2 +00 +41 +00 +9e +00 +40 +00 +a7 +00 +50 +00 +bb +10 +3f +20 +1d +60 +a9 +00 +f5 +00 +56 +00 +91 +10 +8f +00 +fe +00 +33 +00 +a8 +00 +b7 +00 +b8 +10 +50 +00 +ae +00 +37 +00 +a8 +00 +49 +00 +0e +10 +df +00 +98 +10 +4c +00 +d2 +00 +34 +00 +80 +00 +29 +00 +5d +00 +00 +00 +00 +00 +a5 +00 +7b +00 +c3 +00 +7d +00 +ce +00 +ff +00 +bf +10 +b1 +20 +03 +50 +a8 +20 +15 +30 +4d +20 +c7 +30 +be +30 +60 +60 +eb +40 +97 +70 +5b +50 +fd +70 +51 +50 +e1 +70 +cc +30 +8a +40 +6b +30 +76 +60 +9c +30 +b7 +40 +af +10 +77 +10 +ee +00 +61 +10 +ed +00 +db +00 +83 +00 +ad +00 +93 +00 +a2 +10 +f3 +00 +fd +00 +8e +00 +ba +00 +16 +10 +42 +30 +91 +30 +10 +70 +f2 +30 +05 +60 +9a +30 +1f +50 +fe +20 +ee +30 +7f +10 +88 +10 +9f +00 +b4 +00 +69 +00 +a0 +00 +5a +00 +b6 +00 +5d +00 +90 +00 +62 +00 +8a +00 +71 +00 +b3 +00 +22 +10 +38 +20 +a8 +10 +5b +10 +8a +00 +89 +00 +4b +00 +68 +00 +4d +00 +66 +00 +4b +00 +71 +00 +44 +00 +68 +00 +42 +00 +70 +00 +5f +00 +85 +00 +56 +00 +83 +00 +3e +00 +5c +00 +3b +00 +56 +00 +3a +00 +6b +00 +36 +00 +60 +00 +3a +00 +61 +00 +3b +00 +5d +00 +64 +00 +e9 +00 +8e +00 +e8 +00 +6a +00 +9c +00 +60 +00 +9a +00 +90 +00 +d2 +00 +af +00 +ba +00 +b1 +00 +e3 +00 +b3 +00 +f0 +00 +7d +00 +70 +00 +61 +00 +3f +10 +6a +00 +7e +00 +41 +00 +60 +00 +38 +00 +59 +00 +3c +00 +59 +00 +37 +00 +64 +00 +3a +00 +63 +00 +5b +00 +ae +00 +5b +00 +9f +00 +3f +00 +5b +00 +34 +00 +4e +00 +47 +00 +5e +00 +42 +00 +61 +00 +3d +00 +5f +00 +38 +00 +5c +00 +34 +00 +54 +00 +3e +00 +5f +00 +72 +00 +ee +00 +89 +10 +e9 +30 +7d +20 +1f +40 +50 +20 +01 +20 +9c +10 +05 +30 +0d +20 +b4 +20 +82 +10 +85 +10 +dc +00 +c8 +00 +7a +00 +a0 +00 +5d +00 +a4 +00 +54 +00 +7f +00 +72 +00 +b5 +00 +83 +00 +ef +00 +7e +00 +98 +00 +62 +00 +77 +00 +75 +00 +a5 +00 +81 +00 +7c +00 +63 +00 +78 +00 +5f +00 +7c +00 +63 +00 +ea +00 +5f +00 +92 +00 +4c +00 +75 +00 +46 +00 +65 +00 +3a +00 +6c +00 +31 +00 +6b +00 +34 +00 +5b +00 +35 +00 +5a +00 +3f +00 +76 +00 +3d +00 +58 +00 +38 +00 +48 +00 +31 +00 +43 +00 +2f +00 +5d +00 +38 +00 +57 +00 +37 +00 +6a +00 +34 +00 +5b +00 +3f +00 +60 +00 +38 +00 +5f +00 +37 +00 +6c +00 +39 +00 +62 +00 +3c +00 +65 +00 +39 +00 +65 +00 +3d +00 +7f +00 +4c +00 +82 +00 +54 +00 +8d +00 +68 +00 +b3 +00 +9b +00 +01 +20 +d0 +00 +7e +10 +08 +10 +cd +10 +26 +10 +8f +10 +64 +10 +c3 +10 +5d +10 +de +10 +37 +10 +63 +10 +fa +00 +6f +10 +16 +20 +28 +50 +ba +20 +09 +60 +c9 +30 +27 +40 +c2 +20 +5f +40 +a8 +10 +b5 +10 +8c +10 +17 +20 +17 +20 +01 +30 +e7 +30 +07 +80 +54 +60 +50 +a0 +07 +70 +97 +a0 +17 +70 +8f +a0 +ab +60 +92 +90 +e9 +50 +2f +70 +75 +50 +5d +80 +af +30 +7d +30 +02 +20 +2a +20 +b6 +30 +f5 +60 +b9 +40 +8b +70 +2b +30 +61 +30 +e2 +10 +e0 +10 +50 +10 +5e +10 +c7 +00 +fc +00 +81 +00 +ba +00 +64 +00 +a9 +00 +63 +00 +b0 +00 +75 +00 +c7 +00 +7d +00 +ca +00 +7b +00 +b0 +00 +dd +00 +4a +30 +66 +10 +8d +20 +f5 +00 +58 +10 +8f +00 +96 +00 +54 +00 +72 +00 +48 +00 +88 +00 +69 +00 +cc +00 +5a +00 +89 +00 +4a +00 +78 +00 +42 +00 +74 +00 +4e +00 +7c +00 +49 +00 +74 +00 +4c +00 +6e +00 +44 +00 +6d +00 +4f +00 +80 +00 +88 +00 +8d +00 +72 +00 +7c +00 +51 +00 +69 +00 +41 +00 +83 +00 +a0 +00 +8c +00 +87 +00 +6f +00 +49 +00 +5c +00 +30 +00 +4f +00 +2a +00 +5b +00 +37 +00 +5b +00 +31 +00 +57 +00 +2a +00 +50 +00 +2e +00 +3d +00 +1d +00 +43 +00 +20 +00 +35 +00 +27 +00 +3d +00 +2b +00 +50 +00 +2c +00 +4a +00 +29 +00 +35 +00 +21 +00 +34 +00 +23 +00 +44 +00 +2a +00 +49 +00 +32 +00 +48 +00 +2c +00 +43 +00 +25 +00 +5e +00 +21 +00 +54 +00 +20 +00 +4e +00 +2c +00 +51 +00 +27 +00 +55 +00 +31 +00 +4f +00 +27 +00 +48 +00 +2b +00 +49 +00 +2d +00 +44 +00 +34 +00 +3e +00 +24 +00 +4a +00 +2e +00 +47 +00 +3b +00 +53 +00 +2e +00 +59 +00 +4b +00 +cf +00 +6e +00 +de +00 +aa +00 +7e +20 +8f +00 +88 +00 +5e +00 +71 +00 +6c +00 +e5 +00 +87 +00 +42 +10 +90 +00 +03 +10 +60 +00 +91 +00 +4a +00 +5e +00 +36 +00 +4f +00 +37 +00 +5b +00 +25 +00 +55 +00 +33 +00 +4c +00 +2b +00 +4f +00 +3a +00 +72 +00 +76 +00 +3f +10 +c7 +00 +f8 +00 +77 +00 +74 +00 +52 +00 +b7 +00 +73 +00 +d6 +00 +88 +00 +de +00 +b2 +10 +b0 +30 +cc +20 +30 +60 +90 +20 +cc +20 +ec +10 +25 +20 +78 +10 +c0 +10 +4a +10 +89 +10 +07 +10 +46 +10 +c4 +00 +d5 +00 +75 +00 +a1 +00 +7f +00 +a0 +00 +a5 +00 +da +00 +0b +10 +6d +30 +b3 +10 +4d +20 +ea +00 +aa +00 +75 +00 +d0 +00 +67 +00 +9b +00 +69 +00 +24 +10 +89 +00 +d2 +00 +81 +00 +95 +00 +83 +00 +b0 +00 +fa +00 +ab +10 +6f +10 +3c +10 +d1 +00 +98 +00 +6e +00 +7b +00 +39 +00 +00 +00 +00 +00 +4a +00 +a4 +00 +42 +00 +b7 +00 +54 +00 +f9 +00 +d7 +00 +11 +40 +da +10 +c1 +40 +bf +10 +dc +30 +f0 +10 +48 +60 +82 +20 +7a +70 +b9 +20 +0b +80 +ce +20 +c8 +70 +9f +20 +e7 +50 +3a +10 +41 +40 +83 +20 +5a +60 +21 +10 +46 +20 +8c +00 +2b +10 +23 +10 +c1 +20 +63 +00 +c1 +00 +38 +00 +b6 +00 +69 +00 +3e +10 +62 +00 +d6 +00 +47 +00 +02 +10 +f4 +00 +df +40 +e5 +10 +89 +40 +27 +10 +fd +30 +b4 +10 +fc +40 +f7 +00 +05 +20 +67 +00 +c3 +00 +3f +00 +a4 +00 +3c +00 +99 +00 +2b +00 +89 +00 +2d +00 +82 +00 +3b +00 +a0 +00 +3d +00 +3a +10 +5f +10 +90 +30 +88 +00 +d0 +00 +30 +00 +8e +00 +28 +00 +70 +00 +2e +00 +6f +00 +27 +00 +67 +00 +2f +00 +75 +00 +2e +00 +92 +00 +43 +00 +16 +10 +3b +00 +76 +00 +24 +00 +5c +00 +2f +00 +6f +00 +25 +00 +73 +00 +2a +00 +8a +00 +28 +00 +65 +00 +1f +00 +71 +00 +78 +00 +7f +10 +4e +00 +a3 +00 +39 +00 +98 +00 +2e +00 +90 +00 +35 +00 +a4 +00 +2f +00 +97 +00 +3c +00 +ba +00 +30 +00 +8d +00 +21 +00 +6a +00 +43 +00 +aa +00 +2e +00 +6b +00 +2a +00 +6a +00 +24 +00 +59 +00 +24 +00 +5d +00 +1d +00 +62 +00 +21 +00 +6d +00 +24 +00 +6a +00 +2b +00 +63 +00 +27 +00 +5b +00 +23 +00 +63 +00 +23 +00 +68 +00 +1d +00 +60 +00 +1e +00 +60 +00 +1e +00 +4d +00 +22 +00 +40 +00 +1f +00 +72 +00 +40 +00 +9f +10 +81 +10 +1c +40 +db +10 +ed +40 +2c +10 +5a +20 +b6 +00 +31 +20 +90 +00 +a5 +10 +4e +00 +b1 +00 +33 +00 +90 +00 +2b +00 +8a +00 +2b +00 +7e +00 +26 +00 +7e +00 +32 +00 +bf +00 +36 +00 +8d +00 +2d +00 +6b +00 +31 +00 +b6 +00 +6f +00 +fa +00 +36 +00 +8f +00 +37 +00 +90 +00 +38 +00 +93 +00 +3c +00 +8b +00 +38 +00 +7e +00 +28 +00 +6a +00 +28 +00 +72 +00 +2e +00 +6b +00 +2b +00 +54 +00 +25 +00 +7c +00 +2c +00 +65 +00 +24 +00 +5b +00 +22 +00 +53 +00 +1e +00 +50 +00 +19 +00 +5b +00 +24 +00 +6b +00 +29 +00 +60 +00 +21 +00 +58 +00 +25 +00 +68 +00 +2c +00 +59 +00 +22 +00 +5b +00 +29 +00 +73 +00 +29 +00 +6d +00 +2b +00 +6c +00 +2d +00 +73 +00 +2c +00 +6b +00 +30 +00 +7b +00 +2d +00 +7f +00 +3d +00 +c9 +00 +58 +00 +f1 +00 +53 +00 +1d +10 +74 +00 +7c +10 +a3 +00 +fa +10 +b5 +00 +01 +20 +85 +00 +84 +10 +7c +00 +32 +10 +70 +00 +27 +20 +50 +10 +48 +40 +42 +20 +70 +70 +5d +20 +7f +40 +1d +10 +35 +20 +91 +00 +5d +10 +87 +00 +eb +10 +34 +10 +c4 +50 +22 +30 +b0 +90 +db +30 +9c +a0 +e7 +30 +c8 +a0 +b0 +30 +42 +a0 +8a +30 +91 +90 +33 +30 +fb +80 +28 +30 +e5 +60 +25 +10 +8e +20 +a8 +10 +86 +60 +2c +30 +81 +80 +09 +30 +91 +50 +ef +00 +32 +20 +b8 +00 +c3 +10 +63 +00 +03 +10 +53 +00 +c9 +00 +37 +00 +a8 +00 +40 +00 +a9 +00 +39 +00 +a3 +00 +42 +00 +b8 +00 +3d +00 +a4 +00 +3e +00 +e2 +00 +a8 +00 +dd +10 +b0 +00 +89 +10 +5b +00 +c6 +00 +3e +00 +95 +00 +37 +00 +88 +00 +37 +00 +93 +00 +3d +00 +95 +00 +2d +00 +89 +00 +33 +00 +82 +00 +2d +00 +7a +00 +31 +00 +78 +00 +34 +00 +63 +00 +2a +00 +5b +00 +26 +00 +7d +00 +5e +00 +91 +10 +dc +00 +f7 +00 +36 +00 +88 +00 +29 +00 +64 +00 +3d +00 +71 +10 +b1 +00 +9a +10 +46 +00 +81 +00 +1c +00 +56 +00 +28 +00 +5d +00 +1f +00 +59 +00 +26 +00 +54 +00 +20 +00 +55 +00 +13 +00 +46 +00 +10 +00 +2e +00 +16 +00 +36 +00 +14 +00 +35 +00 +19 +00 +51 +00 +17 +00 +46 +00 +1a +00 +4b +00 +16 +00 +40 +00 +1b +00 +31 +00 +19 +00 +48 +00 +25 +00 +55 +00 +18 +00 +51 +00 +1b +00 +4a +00 +20 +00 +4b +00 +1d +00 +42 +00 +1d +00 +40 +00 +18 +00 +4a +00 +20 +00 +56 +00 +22 +00 +4e +00 +1f +00 +47 +00 +1e +00 +3c +00 +1e +00 +40 +00 +19 +00 +48 +00 +23 +00 +4c +00 +1c +00 +47 +00 +1a +00 +4f +00 +21 +00 +78 +00 +43 +00 +a9 +00 +5c +00 +00 +10 +59 +00 +bb +00 +2c +00 +74 +00 +24 +00 +63 +00 +3f +00 +99 +00 +52 +00 +af +00 +44 +00 +95 +00 +28 +00 +6c +00 +28 +00 +4e +00 +21 +00 +5a +00 +25 +00 +5e +00 +1f +00 +54 +00 +22 +00 +51 +00 +21 +00 +50 +00 +2b +00 +d4 +00 +f7 +00 +3a +10 +43 +00 +9f +00 +28 +00 +74 +00 +33 +00 +8f +00 +41 +00 +b4 +00 +74 +00 +62 +30 +c3 +10 +80 +30 +f3 +00 +ec +20 +e3 +00 +c5 +10 +70 +00 +6f +10 +64 +00 +42 +10 +4c +00 +f7 +00 +53 +00 +e1 +00 +43 +00 +b2 +00 +49 +00 +c1 +00 +54 +00 +b0 +00 +49 +00 +fc +00 +91 +00 +c6 +10 +77 +00 +13 +10 +2e +00 +99 +00 +36 +00 +90 +00 +3f +00 +8a +00 +41 +00 +a8 +00 +3d +00 +8d +00 +29 +00 +7c +00 +38 +00 +55 +10 +54 +10 +bc +20 +1f +10 +6b +10 +44 +00 +9e +00 +33 +00 +67 +00 +00 +00 +00 +00 +b9 +00 +80 +00 +c7 +00 +88 +00 +c5 +00 +11 +10 +fc +10 +c7 +20 +6d +50 +78 +30 +a4 +50 +4a +30 +4a +50 +5f +40 +5e +70 +17 +50 +cc +70 +73 +50 +2f +80 +ca +40 +1f +70 +b4 +20 +38 +30 +49 +30 +2e +60 +81 +20 +0c +30 +1e +10 +37 +10 +d7 +00 +56 +10 +d7 +00 +6d +10 +9f +00 +ab +00 +79 +00 +c1 +00 +77 +00 +ba +00 +8f +00 +d9 +00 +77 +10 +87 +30 +8a +20 +81 +30 +ee +20 +cd +20 +f5 +20 +29 +30 +e0 +10 +34 +20 +16 +10 +17 +10 +81 +00 +a8 +00 +62 +00 +8d +00 +62 +00 +9a +00 +62 +00 +91 +00 +5d +00 +80 +00 +6d +00 +b1 +00 +8a +10 +68 +40 +4e +20 +2f +30 +e5 +00 +aa +00 +58 +00 +7c +00 +46 +00 +82 +00 +4f +00 +6b +00 +3d +00 +81 +00 +49 +00 +74 +00 +56 +00 +bc +00 +8e +00 +e6 +00 +5d +00 +5c +00 +3b +00 +55 +00 +41 +00 +6f +00 +41 +00 +60 +00 +4b +00 +97 +00 +44 +00 +5d +00 +4f +00 +89 +00 +58 +00 +ba +00 +52 +00 +87 +00 +48 +00 +71 +00 +66 +00 +86 +00 +81 +00 +29 +10 +99 +00 +9e +00 +82 +00 +96 +00 +67 +00 +78 +00 +44 +00 +72 +00 +42 +00 +6c +00 +3f +00 +6a +00 +60 +00 +7a +00 +39 +00 +63 +00 +2a +00 +5f +00 +97 +00 +b8 +00 +58 +00 +76 +00 +42 +00 +69 +00 +35 +00 +66 +00 +5f +00 +7a +00 +e6 +00 +25 +10 +68 +00 +74 +00 +37 +00 +57 +00 +35 +00 +5a +00 +30 +00 +52 +00 +32 +00 +57 +00 +4a +00 +94 +00 +f2 +00 +f9 +10 +c4 +20 +84 +50 +18 +20 +b9 +20 +59 +10 +a9 +10 +33 +10 +83 +10 +d8 +00 +d7 +00 +6c +00 +7e +00 +56 +00 +6d +00 +50 +00 +6e +00 +4e +00 +73 +00 +44 +00 +70 +00 +59 +00 +7d +00 +67 +00 +62 +00 +45 +00 +6c +00 +4d +00 +b7 +00 +66 +00 +a0 +00 +47 +00 +71 +00 +51 +00 +77 +00 +3f +00 +7a +00 +48 +00 +80 +00 +3f +00 +5d +00 +30 +00 +5f +00 +37 +00 +5f +00 +36 +00 +6b +00 +3f +00 +68 +00 +3f +00 +75 +00 +35 +00 +6e +00 +33 +00 +5a +00 +30 +00 +64 +00 +34 +00 +53 +00 +31 +00 +4b +00 +2b +00 +65 +00 +37 +00 +69 +00 +3f +00 +6b +00 +38 +00 +67 +00 +2f +00 +60 +00 +32 +00 +58 +00 +34 +00 +6c +00 +30 +00 +61 +00 +38 +00 +6d +00 +42 +00 +81 +00 +4a +00 +76 +00 +56 +00 +84 +00 +5b +00 +9d +00 +68 +00 +c0 +00 +74 +00 +d4 +00 +9a +00 +f7 +00 +f0 +00 +1d +20 +c8 +20 +54 +40 +9f +10 +b2 +10 +bb +10 +68 +20 +ba +10 +77 +10 +3b +10 +ea +10 +90 +10 +c7 +30 +2b +30 +fe +60 +38 +30 +db +30 +7f +10 +70 +10 +34 +10 +7b +10 +c4 +20 +5a +50 +5b +50 +27 +90 +6a +60 +30 +a0 +d9 +60 +9b +a0 +ef +60 +56 +a0 +de +60 +3f +a0 +7e +60 +96 +90 +fa +50 +4e +90 +e3 +30 +bd +30 +29 +30 +05 +60 +67 +40 +4a +80 +ad +40 +ab +80 +9f +30 +8a +30 +41 +20 +e8 +20 +5b +10 +58 +10 +b8 +00 +e4 +00 +7e +00 +a2 +00 +5e +00 +9e +00 +61 +00 +9a +00 +57 +00 +a3 +00 +6f +00 +ad +00 +6a +00 +a8 +00 +90 +00 +94 +10 +e3 +00 +51 +10 +a2 +00 +d8 +00 +88 +00 +9c +00 +67 +00 +86 +00 +92 +00 +9a +00 +99 +00 +a4 +00 +69 +00 +90 +00 +56 +00 +82 +00 +40 +00 +88 +00 +5a +00 +7e +00 +5e +00 +7d +00 +53 +00 +60 +00 +51 +00 +72 +00 +56 +10 +b0 +20 +a3 +10 +67 +30 +fa +00 +dd +00 +80 +00 +7d +00 +79 +00 +a8 +00 +3b +10 +c4 +20 +c1 +10 +69 +20 +95 +00 +73 +00 +36 +00 +51 +00 +29 +00 +56 +00 +33 +00 +5b +00 +34 +00 +69 +00 +2e +00 +49 +00 +23 +00 +35 +00 +27 +00 +34 +00 +2a +00 +3d +00 +25 +00 +44 +00 +2a +00 +54 +00 +66 +00 +71 +00 +35 +00 +35 +00 +25 +00 +4a +00 +21 +00 +45 +00 +2b +00 +51 +00 +27 +00 +49 +00 +25 +00 +45 +00 +2d +00 +4e +00 +2d +00 +49 +00 +27 +00 +40 +00 +25 +00 +3c +00 +26 +00 +4d +00 +26 +00 +54 +00 +2a +00 +56 +00 +21 +00 +52 +00 +24 +00 +35 +00 +2b +00 +42 +00 +2b +00 +42 +00 +38 +00 +4e +00 +37 +00 +4d +00 +49 +00 +5d +00 +53 +00 +a3 +00 +76 +00 +d3 +00 +74 +00 +a8 +00 +4d +00 +8a +00 +40 +00 +5f +00 +3c +00 +72 +00 +56 +00 +9b +00 +57 +00 +88 +00 +48 +00 +65 +00 +3e +00 +58 +00 +2d +00 +5c +00 +33 +00 +5c +00 +37 +00 +58 +00 +2a +00 +58 +00 +2a +00 +56 +00 +39 +00 +66 +00 +9a +00 +1b +30 +b9 +00 +cc +00 +6d +00 +70 +00 +4b +00 +8b +00 +4c +00 +88 +00 +7c +00 +e3 +00 +2e +10 +09 +30 +47 +20 +d0 +20 +8b +10 +0b +20 +2a +10 +01 +10 +be +00 +de +00 +bc +00 +cc +00 +a0 +00 +d3 +00 +9e +00 +b3 +00 +a1 +00 +77 +10 +3a +20 +9f +20 +60 +10 +dd +00 +0d +10 +26 +10 +c8 +00 +04 +10 +95 +00 +87 +00 +62 +00 +84 +00 +5d +00 +7a +00 +66 +00 +a1 +00 +59 +00 +95 +00 +53 +00 +7d +00 +6f +00 +49 +10 +ac +00 +44 +10 +f9 +00 +37 +30 +41 +20 +38 +20 +bc +00 +83 +00 +39 +00 +00 +00 +00 +00 +41 +00 +c8 +00 +45 +00 +c6 +00 +52 +00 +ea +00 +a0 +00 +be +30 +e1 +10 +4d +50 +1e +20 +f6 +40 +f7 +10 +70 +60 +99 +20 +d1 +70 +b2 +20 +e1 +70 +c6 +20 +45 +70 +cf +10 +0c +40 +de +00 +65 +30 +c1 +10 +b8 +30 +a8 +00 +82 +10 +56 +00 +e3 +00 +65 +00 +4b +10 +58 +00 +d7 +00 +41 +00 +b1 +00 +45 +00 +d4 +00 +3e +00 +c0 +00 +53 +00 +0d +20 +59 +10 +86 +30 +2f +10 +5d +40 +a4 +10 +22 +50 +6d +10 +84 +20 +76 +00 +3d +10 +4f +00 +c3 +00 +3e +00 +97 +00 +39 +00 +9c +00 +38 +00 +a0 +00 +33 +00 +9c +00 +3b +00 +9b +00 +3e +00 +4f +10 +61 +10 +d8 +30 +60 +10 +52 +20 +44 +00 +92 +00 +31 +00 +78 +00 +2e +00 +79 +00 +2d +00 +6d +00 +23 +00 +79 +00 +32 +00 +84 +00 +4b +00 +d4 +00 +45 +00 +79 +00 +23 +00 +68 +00 +22 +00 +69 +00 +24 +00 +6d +00 +25 +00 +79 +00 +30 +00 +7b +00 +20 +00 +6b +00 +29 +00 +93 +00 +34 +00 +75 +00 +29 +00 +74 +00 +23 +00 +6e +00 +2a +00 +6e +00 +3c +00 +a4 +00 +34 +00 +8f +00 +32 +00 +82 +00 +22 +00 +6a +00 +29 +00 +77 +00 +26 +00 +69 +00 +30 +00 +f5 +00 +55 +00 +7f +00 +21 +00 +59 +00 +32 +00 +e5 +00 +a3 +00 +f0 +00 +2a +00 +6f +00 +2b +00 +67 +00 +29 +00 +78 +00 +9d +00 +11 +30 +dc +00 +15 +10 +27 +00 +54 +00 +27 +00 +5d +00 +23 +00 +4d +00 +1b +00 +56 +00 +25 +00 +60 +00 +37 +00 +d0 +00 +0a +10 +71 +40 +37 +10 +83 +20 +81 +00 +6d +10 +77 +00 +aa +10 +79 +00 +07 +10 +2f +00 +86 +00 +23 +00 +6e +00 +2b +00 +67 +00 +2a +00 +80 +00 +23 +00 +60 +00 +25 +00 +68 +00 +28 +00 +5d +00 +2b +00 +6b +00 +2d +00 +72 +00 +33 +00 +7b +00 +2e +00 +83 +00 +31 +00 +85 +00 +2b +00 +6f +00 +28 +00 +7b +00 +29 +00 +61 +00 +26 +00 +68 +00 +1e +00 +5d +00 +25 +00 +5b +00 +28 +00 +75 +00 +2e +00 +6e +00 +27 +00 +5a +00 +2d +00 +4c +00 +1e +00 +58 +00 +2b +00 +65 +00 +23 +00 +55 +00 +1e +00 +57 +00 +1a +00 +5f +00 +22 +00 +54 +00 +24 +00 +68 +00 +25 +00 +64 +00 +20 +00 +5b +00 +28 +00 +6b +00 +24 +00 +5f +00 +24 +00 +6f +00 +38 +00 +69 +00 +31 +00 +82 +00 +2d +00 +ad +00 +4f +00 +85 +00 +3a +00 +92 +00 +42 +00 +b5 +00 +53 +00 +ca +00 +55 +00 +30 +10 +c6 +00 +5d +40 +a4 +10 +cd +20 +81 +00 +07 +20 +03 +10 +ae +30 +c6 +00 +9b +10 +87 +00 +97 +10 +d3 +00 +4e +30 +dc +10 +f7 +40 +10 +10 +2b +20 +8b +00 +51 +10 +13 +10 +9c +50 +db +20 +93 +80 +9e +30 +bd +90 +8a +30 +57 +a0 +f6 +30 +8b +a0 +a3 +30 +14 +a0 +9c +30 +c6 +90 +96 +30 +62 +90 +29 +30 +c5 +60 +53 +10 +f2 +40 +3b +20 +92 +60 +87 +20 +43 +70 +47 +20 +c8 +50 +58 +10 +6c +30 +0b +10 +5e +20 +74 +00 +47 +10 +4c +00 +c4 +00 +41 +00 +a3 +00 +3a +00 +a1 +00 +3e +00 +98 +00 +3d +00 +a2 +00 +43 +00 +a0 +00 +40 +00 +bf +00 +4e +00 +0d +10 +53 +00 +db +00 +4d +00 +bd +00 +38 +00 +b1 +00 +40 +00 +03 +10 +aa +00 +1f +10 +41 +00 +93 +00 +35 +00 +88 +00 +2c +00 +85 +00 +26 +00 +8d +00 +3a +00 +9f +00 +36 +00 +73 +00 +27 +00 +61 +00 +4f +00 +2b +30 +33 +10 +e4 +10 +7e +00 +19 +10 +77 +00 +15 +10 +3d +00 +e4 +00 +5f +00 +c3 +10 +91 +10 +22 +40 +90 +00 +ef +00 +33 +00 +66 +00 +26 +00 +56 +00 +26 +00 +5a +00 +25 +00 +63 +00 +29 +00 +55 +00 +1b +00 +3c +00 +16 +00 +37 +00 +1a +00 +3b +00 +15 +00 +3d +00 +1c +00 +4f +00 +22 +00 +c4 +00 +40 +00 +6c +00 +16 +00 +4f +00 +1c +00 +47 +00 +1c +00 +4b +00 +22 +00 +50 +00 +1f +00 +4c +00 +1d +00 +45 +00 +1f +00 +49 +00 +21 +00 +46 +00 +18 +00 +40 +00 +19 +00 +47 +00 +1e +00 +56 +00 +1e +00 +54 +00 +1f +00 +46 +00 +1b +00 +43 +00 +19 +00 +40 +00 +1c +00 +55 +00 +1e +00 +57 +00 +19 +00 +5e +00 +24 +00 +65 +00 +2e +00 +a4 +00 +79 +00 +f5 +00 +5c +00 +9d +00 +32 +00 +7c +00 +31 +00 +5d +00 +26 +00 +69 +00 +26 +00 +67 +00 +28 +00 +74 +00 +21 +00 +65 +00 +24 +00 +5c +00 +26 +00 +59 +00 +26 +00 +58 +00 +1e +00 +55 +00 +2a +00 +7d +00 +1f +00 +57 +00 +1e +00 +50 +00 +2b +00 +b3 +00 +64 +00 +27 +10 +41 +00 +92 +00 +2b +00 +77 +00 +30 +00 +76 +00 +35 +00 +91 +00 +46 +00 +10 +10 +d1 +00 +c6 +20 +93 +00 +69 +10 +59 +00 +f5 +00 +4b +00 +a7 +00 +41 +00 +a9 +00 +4a +00 +df +00 +57 +00 +c9 +00 +43 +00 +c5 +00 +c1 +00 +e4 +40 +74 +20 +38 +40 +b5 +00 +36 +10 +4b +00 +f3 +00 +44 +00 +a3 +00 +2c +00 +73 +00 +31 +00 +71 +00 +48 +00 +96 +00 +3f +00 +8d +00 +30 +00 +7a +00 +32 +00 +8d +00 +3d +00 +bc +00 +4e +00 +1f +10 +51 +10 +5f +50 +ef +00 +1d +10 +34 +00 +6f +00 +00 +00 +00 +00 +b4 +00 +79 +00 +b3 +00 +8a +00 +cc +00 +35 +10 +d0 +10 +a8 +20 +d2 +40 +ad +30 +20 +60 +52 +30 +2d +50 +dd +30 +16 +70 +5d +40 +0f +70 +38 +40 +a6 +60 +5b +30 +89 +40 +42 +20 +82 +20 +ac +20 +70 +40 +e9 +10 +de +10 +d7 +00 +f2 +00 +c4 +00 +09 +10 +b1 +00 +eb +00 +83 +00 +ac +00 +97 +00 +c2 +00 +e5 +00 +25 +10 +f7 +00 +0e +10 +98 +10 +81 +30 +ae +30 +48 +60 +b0 +40 +cc +60 +53 +40 +df +40 +1e +20 +a4 +10 +01 +10 +d9 +00 +a4 +00 +ae +00 +64 +00 +9c +00 +53 +00 +9d +00 +71 +00 +96 +00 +58 +00 +a3 +00 +74 +00 +a3 +00 +be +00 +4c +10 +75 +10 +19 +30 +ef +00 +fc +00 +63 +00 +8e +00 +4a +00 +7d +00 +4e +00 +75 +00 +46 +00 +69 +00 +45 +00 +79 +00 +51 +00 +d1 +00 +93 +00 +b1 +00 +4d +00 +73 +00 +30 +00 +5c +00 +3c +00 +69 +00 +3d +00 +58 +00 +40 +00 +6a +00 +42 +00 +69 +00 +42 +00 +69 +00 +50 +00 +77 +00 +45 +00 +70 +00 +42 +00 +62 +00 +40 +00 +6e +00 +59 +00 +69 +00 +a7 +00 +23 +10 +2b +10 +fb +00 +b9 +00 +9b +00 +51 +00 +5e +00 +41 +00 +69 +00 +50 +00 +c5 +00 +92 +00 +fa +00 +64 +00 +68 +00 +3d +00 +59 +00 +63 +00 +00 +10 +6f +00 +a3 +00 +2f +00 +60 +00 +38 +00 +63 +00 +50 +00 +f5 +00 +a6 +00 +78 +10 +92 +00 +89 +00 +3b +00 +50 +00 +34 +00 +56 +00 +35 +00 +51 +00 +31 +00 +53 +00 +3f +00 +76 +00 +c0 +00 +46 +20 +32 +10 +f6 +10 +0d +10 +56 +10 +ab +00 +19 +10 +ad +00 +26 +10 +8d +00 +95 +00 +4a +00 +6e +00 +39 +00 +65 +00 +36 +00 +76 +00 +46 +00 +6a +00 +41 +00 +58 +00 +3c +00 +6e +00 +37 +00 +71 +00 +33 +00 +74 +00 +3f +00 +71 +00 +3e +00 +6e +00 +54 +00 +80 +00 +4c +00 +73 +00 +3e +00 +6f +00 +37 +00 +71 +00 +30 +00 +6c +00 +30 +00 +51 +00 +34 +00 +60 +00 +35 +00 +69 +00 +38 +00 +73 +00 +3e +00 +66 +00 +36 +00 +55 +00 +2d +00 +45 +00 +2e +00 +6e +00 +34 +00 +56 +00 +38 +00 +52 +00 +34 +00 +48 +00 +2b +00 +55 +00 +38 +00 +5f +00 +33 +00 +6b +00 +34 +00 +5e +00 +2c +00 +6b +00 +32 +00 +5f +00 +33 +00 +64 +00 +39 +00 +64 +00 +3d +00 +6c +00 +56 +00 +7b +00 +69 +00 +ba +00 +5a +00 +80 +00 +5c +00 +94 +00 +6a +00 +ad +00 +93 +00 +db +00 +08 +10 +5c +10 +44 +10 +3c +20 +c3 +10 +2c +20 +00 +20 +2f +20 +4e +10 +7e +10 +0a +10 +68 +10 +42 +10 +33 +20 +85 +10 +95 +20 +a7 +10 +48 +20 +78 +10 +83 +10 +2f +10 +d4 +20 +e5 +30 +1d +80 +48 +50 +13 +90 +72 +50 +7e +90 +37 +60 +c0 +90 +df +50 +6c +90 +36 +50 +5e +80 +c1 +40 +99 +70 +40 +40 +f3 +60 +70 +30 +a0 +40 +e1 +20 +51 +40 +ad +20 +f1 +40 +bd +20 +9c +40 +5d +20 +53 +30 +d7 +10 +4a +20 +20 +10 +7a +10 +bc +00 +df +00 +8d +00 +c7 +00 +94 +00 +b2 +00 +75 +00 +a1 +00 +79 +00 +a2 +00 +9b +00 +c3 +00 +ad +00 +b2 +00 +c6 +00 +db +00 +f0 +00 +24 +10 +d7 +00 +ee +00 +3b +10 +f1 +00 +c0 +00 +de +00 +51 +20 +19 +50 +02 +20 +58 +10 +b5 +00 +a3 +00 +76 +00 +94 +00 +6c +00 +bc +00 +45 +10 +c7 +10 +1e +10 +2b +10 +8d +00 +73 +00 +5d +00 +99 +00 +cb +00 +c9 +10 +ad +00 +12 +10 +b1 +00 +0b +10 +78 +10 +2b +20 +85 +10 +8a +10 +4f +10 +bf +30 +06 +10 +73 +10 +9b +00 +a3 +00 +4f +00 +55 +00 +3c +00 +64 +00 +40 +00 +59 +00 +33 +00 +5a +00 +2f +00 +53 +00 +24 +00 +31 +00 +1d +00 +34 +00 +25 +00 +34 +00 +26 +00 +4e +00 +29 +00 +61 +00 +6b +00 +d9 +00 +35 +00 +51 +00 +2b +00 +5b +00 +2f +00 +4a +00 +2a +00 +53 +00 +28 +00 +56 +00 +27 +00 +4b +00 +25 +00 +4a +00 +28 +00 +49 +00 +24 +00 +46 +00 +2c +00 +3f +00 +2c +00 +4c +00 +27 +00 +4e +00 +28 +00 +4d +00 +23 +00 +4b +00 +27 +00 +49 +00 +2c +00 +4b +00 +60 +00 +9d +00 +ac +00 +82 +00 +8b +00 +0c +10 +fe +00 +20 +10 +42 +10 +e4 +20 +21 +10 +2c +10 +85 +00 +88 +00 +4e +00 +5a +00 +2d +00 +55 +00 +31 +00 +5c +00 +35 +00 +5e +00 +3f +00 +55 +00 +37 +00 +66 +00 +2b +00 +5f +00 +2f +00 +53 +00 +2b +00 +4a +00 +2b +00 +58 +00 +2f +00 +5e +00 +34 +00 +5e +00 +38 +00 +64 +00 +55 +00 +a7 +00 +67 +00 +d0 +00 +53 +00 +80 +00 +41 +00 +79 +00 +55 +00 +78 +00 +7a +00 +b6 +00 +a7 +00 +1c +10 +e2 +00 +37 +10 +c3 +00 +cf +00 +7c +00 +ae +00 +76 +00 +9b +00 +7a +00 +b2 +00 +3c +10 +31 +20 +45 +10 +fd +00 +e6 +00 +23 +10 +bb +10 +49 +60 +11 +40 +4c +60 +77 +20 +46 +10 +4e +10 +d0 +00 +8c +00 +61 +00 +50 +00 +74 +00 +83 +00 +0e +10 +e6 +00 +d0 +00 +69 +00 +8d +00 +60 +00 +ab +00 +62 +00 +99 +00 +6d +00 +a3 +00 +b6 +00 +ca +10 +fe +00 +b4 +10 +b5 +00 +a1 +00 +49 +00 +00 +00 +00 +00 +46 +00 +b3 +00 +4c +00 +d1 +00 +4e +00 +48 +10 +20 +10 +eb +30 +bb +10 +50 +50 +23 +20 +b9 +40 +48 +10 +70 +40 +96 +10 +76 +40 +73 +10 +69 +40 +93 +10 +27 +40 +48 +10 +a9 +20 +c7 +00 +cd +30 +8b +10 +eb +20 +6c +00 +08 +10 +62 +00 +60 +10 +87 +00 +4e +10 +4a +00 +a3 +00 +40 +00 +c7 +00 +52 +00 +5d +10 +e8 +00 +60 +20 +7e +00 +87 +10 +b6 +10 +32 +60 +9a +20 +70 +70 +92 +20 +6d +70 +23 +20 +58 +40 +91 +00 +77 +10 +56 +00 +08 +10 +44 +00 +9b +00 +3b +00 +8f +00 +33 +00 +a0 +00 +36 +00 +9c +00 +3d +00 +a2 +00 +32 +00 +af +00 +60 +00 +7b +10 +90 +00 +52 +10 +56 +00 +9d +00 +2c +00 +8a +00 +2f +00 +8d +00 +2a +00 +70 +00 +29 +00 +6d +00 +2c +00 +76 +00 +56 +00 +10 +10 +47 +00 +88 +00 +2c +00 +68 +00 +28 +00 +68 +00 +25 +00 +6b +00 +27 +00 +69 +00 +23 +00 +6e +00 +26 +00 +60 +00 +1d +00 +6f +00 +25 +00 +68 +00 +2a +00 +70 +00 +27 +00 +76 +00 +2a +00 +7f +00 +30 +00 +c5 +00 +20 +10 +f7 +20 +13 +10 +6e +20 +55 +00 +87 +00 +27 +00 +67 +00 +26 +00 +6a +00 +2e +00 +8c +00 +39 +00 +78 +00 +21 +00 +5f +00 +1f +00 +6a +00 +2d +00 +83 +00 +24 +00 +61 +00 +20 +00 +4f +00 +20 +00 +5c +00 +2f +00 +a8 +00 +44 +00 +a8 +00 +23 +00 +5a +00 +20 +00 +4f +00 +1a +00 +62 +00 +1a +00 +50 +00 +20 +00 +53 +00 +2d +00 +8b +00 +45 +00 +0d +10 +75 +00 +42 +10 +58 +00 +e3 +00 +41 +00 +af +00 +43 +00 +a5 +00 +2b +00 +77 +00 +24 +00 +52 +00 +24 +00 +6d +00 +2c +00 +66 +00 +1d +00 +59 +00 +1c +00 +59 +00 +2f +00 +6f +00 +37 +00 +65 +00 +27 +00 +67 +00 +34 +00 +6b +00 +34 +00 +84 +00 +2e +00 +81 +00 +29 +00 +76 +00 +25 +00 +67 +00 +25 +00 +60 +00 +23 +00 +5f +00 +1c +00 +6e +00 +2c +00 +67 +00 +27 +00 +6a +00 +2b +00 +67 +00 +27 +00 +5e +00 +23 +00 +4b +00 +1c +00 +4f +00 +2c +00 +71 +00 +28 +00 +5f +00 +14 +00 +49 +00 +29 +00 +5f +00 +26 +00 +61 +00 +25 +00 +66 +00 +1f +00 +60 +00 +28 +00 +5a +00 +29 +00 +58 +00 +22 +00 +65 +00 +2e +00 +66 +00 +34 +00 +74 +00 +33 +00 +83 +00 +29 +00 +93 +00 +4c +00 +82 +00 +32 +00 +81 +00 +38 +00 +92 +00 +38 +00 +c2 +00 +a6 +00 +9e +10 +79 +00 +a3 +10 +ae +00 +7f +30 +d4 +10 +82 +50 +6f +10 +23 +20 +72 +00 +28 +10 +62 +00 +41 +10 +98 +00 +10 +20 +f8 +00 +c0 +20 +bf +00 +a8 +10 +74 +00 +51 +10 +28 +10 +61 +50 +09 +30 +c2 +80 +02 +30 +a7 +60 +bd +20 +a3 +80 +1c +30 +73 +80 +20 +20 +1c +60 +cc +10 +6e +50 +af +10 +d6 +40 +7a +10 +26 +40 +12 +10 +f9 +20 +f4 +00 +20 +30 +f2 +00 +4f +30 +f5 +00 +ec +20 +c1 +00 +75 +20 +a3 +00 +a8 +10 +6d +00 +2a +10 +63 +00 +f7 +00 +58 +00 +2c +10 +53 +00 +d5 +00 +4f +00 +b8 +00 +42 +00 +df +00 +b5 +00 +85 +10 +55 +00 +8d +10 +c1 +00 +53 +10 +72 +00 +69 +10 +0d +10 +d7 +30 +7b +00 +19 +10 +e5 +00 +0f +50 +7d +20 +f3 +40 +8d +00 +17 +10 +64 +00 +7b +10 +3b +00 +85 +00 +81 +00 +2f +30 +59 +10 +6b +30 +fe +00 +29 +10 +2f +00 +85 +00 +2e +00 +c8 +00 +50 +00 +f8 +00 +4b +00 +d8 +00 +b0 +00 +0e +30 +10 +10 +22 +20 +7d +00 +56 +10 +85 +00 +4a +10 +6d +00 +dc +00 +38 +00 +66 +00 +1e +00 +59 +00 +27 +00 +5d +00 +24 +00 +56 +00 +1c +00 +50 +00 +20 +00 +3d +00 +14 +00 +36 +00 +12 +00 +41 +00 +13 +00 +45 +00 +1b +00 +4e +00 +21 +00 +7a +00 +30 +00 +5f +00 +1e +00 +5c +00 +24 +00 +46 +00 +1b +00 +4e +00 +1f +00 +48 +00 +23 +00 +4a +00 +1c +00 +43 +00 +1f +00 +50 +00 +1a +00 +48 +00 +14 +00 +46 +00 +1a +00 +4a +00 +20 +00 +3d +00 +1e +00 +46 +00 +1f +00 +4c +00 +17 +00 +46 +00 +1b +00 +45 +00 +1e +00 +7a +00 +18 +10 +e1 +20 +8e +00 +ce +00 +95 +00 +4a +20 +ee +00 +b8 +20 +23 +10 +d5 +20 +8b +00 +cf +00 +26 +00 +6b +00 +23 +00 +5e +00 +18 +00 +51 +00 +1d +00 +55 +00 +18 +00 +57 +00 +27 +00 +57 +00 +1f +00 +50 +00 +22 +00 +4c +00 +17 +00 +48 +00 +20 +00 +60 +00 +20 +00 +56 +00 +1b +00 +5e +00 +2b +00 +67 +00 +21 +00 +6b +00 +30 +00 +92 +00 +3b +00 +7c +00 +20 +00 +63 +00 +36 +00 +80 +00 +7d +00 +2b +10 +4e +00 +bd +00 +47 +00 +e3 +00 +41 +00 +c9 +00 +44 +00 +a9 +00 +41 +00 +9b +00 +3d +00 +9b +00 +49 +00 +a1 +10 +05 +20 +e9 +40 +9f +00 +23 +10 +60 +00 +b3 +10 +ed +10 +f0 +70 +47 +30 +80 +60 +dc +00 +2f +20 +51 +00 +99 +00 +22 +00 +74 +00 +2d +00 +b5 +00 +65 +10 +73 +30 +50 +00 +af +00 +3c +00 +a7 +00 +8d +00 +cd +00 +33 +00 +88 +00 +35 +00 +d6 +00 +98 +00 +47 +10 +66 +00 +fe +00 +33 +00 +64 +00 +00 +00 +00 +00 +b3 +00 +87 +00 +c0 +00 +8e +00 +ee +00 +b0 +10 +6a +30 +fc +20 +f3 +40 +bb +30 +16 +60 +f0 +20 +56 +30 +e9 +10 +7e +20 +46 +20 +f7 +30 +d7 +20 +83 +30 +3b +20 +81 +30 +c5 +10 +74 +20 +db +10 +83 +40 +15 +20 +10 +20 +c2 +00 +7f +10 +87 +10 +d7 +30 +47 +10 +0e +10 +b9 +00 +e7 +00 +94 +10 +eb +20 +e5 +10 +de +10 +e7 +00 +10 +10 +21 +10 +69 +20 +58 +30 +58 +70 +af +40 +62 +70 +6b +40 +b8 +60 +b8 +20 +1b +30 +68 +20 +2d +30 +23 +10 +f4 +00 +85 +00 +92 +00 +66 +00 +9d +00 +5d +00 +a3 +00 +61 +00 +96 +00 +69 +00 +85 +00 +8d +00 +da +00 +b4 +00 +1f +10 +a4 +00 +e7 +00 +6f +00 +a5 +00 +50 +00 +8a +00 +4c +00 +7a +00 +43 +00 +83 +00 +46 +00 +74 +00 +45 +00 +82 +00 +4c +00 +88 +00 +57 +00 +7d +00 +4a +00 +6e +00 +3a +00 +69 +00 +3c +00 +75 +00 +41 +00 +6d +00 +38 +00 +66 +00 +3a +00 +5c +00 +42 +00 +6e +00 +4b +00 +68 +00 +3f +00 +6f +00 +39 +00 +6e +00 +3a +00 +72 +00 +8d +00 +f6 +10 +b8 +10 +57 +30 +00 +10 +36 +10 +65 +00 +69 +00 +3a +00 +56 +00 +43 +00 +74 +00 +46 +00 +8f +00 +48 +00 +72 +00 +41 +00 +5f +00 +55 +00 +8d +00 +48 +00 +67 +00 +3c +00 +4d +00 +36 +00 +52 +00 +4b +00 +8c +00 +a2 +00 +c0 +00 +5b +00 +6c +00 +38 +00 +49 +00 +32 +00 +54 +00 +2f +00 +4f +00 +2e +00 +58 +00 +35 +00 +61 +00 +66 +00 +a6 +00 +92 +00 +1e +10 +a7 +00 +e4 +00 +77 +00 +9a +00 +62 +00 +97 +00 +4f +00 +82 +00 +3f +00 +51 +00 +34 +00 +5b +00 +35 +00 +73 +00 +37 +00 +58 +00 +3b +00 +59 +00 +38 +00 +69 +00 +35 +00 +84 +00 +38 +00 +5e +00 +39 +00 +65 +00 +44 +00 +84 +00 +be +00 +5b +10 +64 +00 +7a +00 +39 +00 +68 +00 +34 +00 +63 +00 +37 +00 +6b +00 +38 +00 +58 +00 +3d +00 +6f +00 +39 +00 +73 +00 +39 +00 +56 +00 +39 +00 +6e +00 +33 +00 +4f +00 +30 +00 +39 +00 +30 +00 +74 +00 +39 +00 +63 +00 +2c +00 +5f +00 +2f +00 +4e +00 +25 +00 +60 +00 +33 +00 +5d +00 +35 +00 +58 +00 +35 +00 +51 +00 +2e +00 +5b +00 +34 +00 +60 +00 +3e +00 +6d +00 +3f +00 +72 +00 +4e +00 +b1 +00 +90 +00 +96 +00 +5a +00 +9d +00 +4e +00 +93 +00 +62 +00 +94 +00 +5f +00 +a1 +00 +ad +00 +ca +10 +89 +10 +67 +20 +90 +20 +a9 +20 +15 +20 +f8 +50 +e0 +20 +94 +40 +b1 +10 +c0 +10 +eb +00 +0f +10 +d7 +00 +1b +10 +15 +10 +99 +20 +29 +30 +f3 +40 +05 +20 +89 +10 +36 +10 +7b +10 +ba +10 +01 +40 +5d +30 +48 +50 +64 +30 +c1 +50 +1e +40 +61 +50 +a9 +30 +bf +40 +50 +30 +bc +30 +dd +20 +95 +30 +92 +20 +29 +30 +49 +20 +bc +20 +0e +20 +98 +20 +00 +20 +f4 +20 +19 +20 +c4 +20 +ec +10 +43 +20 +82 +10 +03 +20 +58 +10 +84 +10 +1e +10 +61 +10 +22 +10 +b2 +10 +75 +10 +60 +10 +fb +00 +72 +10 +e0 +00 +0d +10 +f4 +10 +36 +30 +86 +20 +e7 +20 +d7 +20 +61 +50 +00 +20 +d5 +10 +1d +30 +f6 +50 +4a +30 +4f +40 +ea +10 +ed +30 +0a +30 +da +50 +ee +10 +93 +30 +a9 +10 +6b +10 +b6 +00 +e4 +00 +75 +00 +b9 +00 +b1 +00 +c2 +10 +fe +00 +ad +10 +9f +00 +b9 +00 +6b +00 +7f +00 +68 +00 +b1 +00 +8f +00 +bb +00 +f0 +00 +48 +20 +cf +00 +2d +10 +9a +00 +da +00 +a1 +00 +f5 +00 +a1 +00 +cc +00 +7c +00 +84 +00 +56 +00 +72 +00 +49 +00 +5f +00 +38 +00 +54 +00 +2f +00 +4c +00 +2c +00 +42 +00 +26 +00 +37 +00 +1f +00 +45 +00 +23 +00 +3c +00 +2c +00 +3f +00 +34 +00 +5d +00 +34 +00 +62 +00 +3b +00 +4d +00 +61 +00 +81 +00 +2e +00 +49 +00 +30 +00 +4e +00 +2e +00 +4c +00 +24 +00 +44 +00 +29 +00 +45 +00 +25 +00 +4c +00 +23 +00 +4d +00 +29 +00 +49 +00 +2a +00 +46 +00 +23 +00 +4b +00 +29 +00 +4b +00 +29 +00 +46 +00 +2b +00 +43 +00 +54 +00 +8d +00 +69 +00 +a4 +10 +23 +10 +75 +30 +84 +10 +6b +20 +2b +20 +f0 +30 +75 +20 +3e +40 +98 +10 +60 +10 +85 +00 +8e +00 +45 +00 +60 +00 +27 +00 +59 +00 +2f +00 +48 +00 +2f +00 +44 +00 +27 +00 +61 +00 +2f +00 +51 +00 +31 +00 +4e +00 +29 +00 +54 +00 +29 +00 +56 +00 +33 +00 +53 +00 +2a +00 +55 +00 +3b +00 +6a +00 +7a +00 +c4 +00 +46 +00 +69 +00 +45 +00 +7c +00 +57 +00 +67 +00 +4f +00 +7b +00 +a8 +00 +92 +10 +b9 +00 +de +00 +79 +00 +a9 +00 +81 +00 +a4 +00 +72 +00 +92 +00 +5a +00 +91 +00 +56 +00 +8b +00 +69 +00 +a8 +00 +d6 +00 +25 +30 +b6 +20 +d2 +40 +09 +20 +4a +10 +be +10 +59 +30 +f9 +30 +a6 +70 +80 +40 +d9 +60 +7e +20 +54 +10 +ac +00 +78 +00 +5e +00 +76 +00 +a4 +00 +af +10 +da +00 +31 +10 +88 +00 +95 +00 +56 +00 +9a +00 +5a +00 +8a +00 +8a +00 +cf +00 +06 +10 +7f +10 +cd +00 +08 +10 +7c +00 +86 +00 +3c +00 +00 +00 +00 +00 +45 +00 +b6 +00 +40 +00 +d0 +00 +5e +00 +cc +10 +59 +10 +9f +40 +96 +10 +49 +50 +d1 +10 +e5 +30 +cc +00 +0c +20 +a1 +00 +67 +20 +0a +10 +28 +30 +e4 +00 +94 +20 +cb +00 +f1 +10 +97 +00 +c5 +10 +d3 +00 +12 +20 +94 +00 +2d +10 +70 +00 +a2 +10 +f2 +00 +4e +20 +77 +00 +2a +10 +b7 +00 +be +20 +b0 +10 +b8 +30 +9e +00 +21 +10 +55 +00 +f7 +00 +90 +00 +53 +30 +7a +20 +f3 +60 +a9 +20 +19 +70 +bf +10 +38 +30 +21 +10 +bc +30 +1c +10 +36 +20 +57 +00 +bb +00 +3c +00 +99 +00 +32 +00 +8c +00 +37 +00 +91 +00 +30 +00 +88 +00 +37 +00 +99 +00 +42 +00 +ce +00 +5d +00 +ee +00 +5c +00 +be +00 +39 +00 +87 +00 +31 +00 +87 +00 +33 +00 +76 +00 +28 +00 +79 +00 +2c +00 +74 +00 +30 +00 +82 +00 +2d +00 +82 +00 +30 +00 +7a +00 +24 +00 +65 +00 +24 +00 +61 +00 +28 +00 +6f +00 +2b +00 +76 +00 +27 +00 +63 +00 +26 +00 +64 +00 +22 +00 +72 +00 +1d +00 +64 +00 +29 +00 +6d +00 +2d +00 +67 +00 +26 +00 +93 +00 +87 +00 +f0 +10 +b0 +00 +60 +10 +42 +00 +96 +00 +28 +00 +64 +00 +22 +00 +60 +00 +2b +00 +75 +00 +2f +00 +78 +00 +23 +00 +63 +00 +20 +00 +bb +00 +30 +00 +7f +00 +26 +00 +5e +00 +21 +00 +4c +00 +1e +00 +5d +00 +41 +00 +f9 +00 +46 +00 +86 +00 +20 +00 +52 +00 +27 +00 +4c +00 +1e +00 +5b +00 +26 +00 +51 +00 +29 +00 +59 +00 +20 +00 +72 +00 +27 +00 +a6 +00 +3c +00 +b2 +00 +3a +00 +9a +00 +30 +00 +79 +00 +35 +00 +77 +00 +27 +00 +60 +00 +24 +00 +4b +00 +1c +00 +63 +00 +23 +00 +5c +00 +25 +00 +57 +00 +2d +00 +65 +00 +33 +00 +6d +00 +32 +00 +73 +00 +27 +00 +5e +00 +26 +00 +66 +00 +2a +00 +db +00 +93 +00 +eb +00 +2a +00 +68 +00 +29 +00 +60 +00 +26 +00 +69 +00 +22 +00 +57 +00 +2f +00 +75 +00 +2c +00 +75 +00 +21 +00 +65 +00 +24 +00 +5f +00 +26 +00 +53 +00 +22 +00 +52 +00 +1c +00 +5b +00 +1d +00 +66 +00 +1e +00 +64 +00 +23 +00 +65 +00 +1e +00 +56 +00 +28 +00 +5d +00 +22 +00 +59 +00 +26 +00 +58 +00 +24 +00 +4a +00 +24 +00 +50 +00 +24 +00 +63 +00 +22 +00 +71 +00 +2c +00 +86 +00 +60 +00 +3a +10 +49 +00 +88 +00 +38 +00 +7a +00 +2e +00 +9d +00 +45 +00 +a9 +00 +43 +00 +cd +00 +8b +00 +b8 +20 +3a +20 +71 +60 +df +10 +05 +30 +30 +10 +e9 +20 +ca +10 +c3 +30 +85 +00 +2f +10 +5f +00 +f1 +00 +64 +00 +2b +10 +a9 +00 +06 +40 +bc +20 +fd +50 +b5 +00 +5f +10 +7a +00 +d3 +10 +10 +10 +c9 +30 +43 +10 +9d +30 +75 +10 +85 +40 +60 +10 +38 +40 +3e +10 +e7 +30 +32 +10 +38 +30 +f3 +00 +00 +30 +d7 +00 +bd +20 +cb +00 +6f +20 +c6 +00 +7d +20 +dd +00 +cc +20 +dc +00 +a0 +20 +ed +00 +03 +30 +11 +10 +b9 +20 +c5 +00 +e4 +10 +7c +00 +c4 +10 +8a +10 +df +30 +8b +00 +8b +10 +19 +10 +d9 +10 +8f +00 +5f +30 +4b +20 +c2 +50 +9c +10 +69 +40 +6f +10 +73 +20 +2b +10 +ad +50 +b3 +20 +b3 +60 +5a +10 +5e +20 +b3 +10 +c0 +40 +12 +10 +8d +20 +d8 +00 +a2 +20 +80 +00 +02 +10 +3f +00 +a0 +00 +39 +00 +b6 +00 +58 +00 +0e +10 +5f +00 +c1 +00 +37 +00 +7a +00 +2d +00 +85 +00 +32 +00 +a9 +00 +4a +00 +06 +10 +7f +00 +2b +10 +56 +00 +e0 +00 +46 +00 +b0 +00 +31 +00 +c0 +00 +36 +00 +94 +00 +34 +00 +bf +00 +3c +00 +75 +00 +29 +00 +70 +00 +22 +00 +5d +00 +20 +00 +50 +00 +1a +00 +38 +00 +16 +00 +41 +00 +20 +00 +3a +00 +1b +00 +3f +00 +1f +00 +5d +00 +2c +00 +69 +00 +24 +00 +4e +00 +20 +00 +ab +00 +34 +00 +64 +00 +1d +00 +44 +00 +21 +00 +45 +00 +1a +00 +49 +00 +17 +00 +48 +00 +1b +00 +44 +00 +1c +00 +51 +00 +1d +00 +50 +00 +1f +00 +53 +00 +1e +00 +4f +00 +1e +00 +51 +00 +1d +00 +45 +00 +19 +00 +50 +00 +1e +00 +7d +00 +40 +00 +7f +00 +46 +00 +1a +10 +47 +10 +cc +20 +f6 +10 +bb +40 +a6 +10 +06 +40 +fb +10 +6f +30 +61 +00 +cd +00 +2c +00 +63 +00 +21 +00 +4f +00 +1f +00 +48 +00 +1c +00 +4d +00 +26 +00 +54 +00 +1f +00 +49 +00 +24 +00 +58 +00 +24 +00 +54 +00 +1d +00 +68 +00 +1f +00 +4d +00 +20 +00 +61 +00 +22 +00 +5d +00 +28 +00 +8e +00 +3d +00 +71 +00 +29 +00 +61 +00 +28 +00 +76 +00 +2b +00 +85 +00 +56 +00 +8d +10 +5d +00 +cf +00 +46 +00 +a7 +00 +2f +00 +95 +00 +30 +00 +9b +00 +31 +00 +87 +00 +2b +00 +8e +00 +2c +00 +86 +00 +39 +00 +de +00 +b7 +00 +65 +40 +66 +20 +cd +40 +18 +10 +85 +20 +05 +10 +66 +60 +5e +30 +c0 +70 +78 +20 +11 +40 +69 +00 +c1 +00 +32 +00 +73 +00 +47 +00 +41 +10 +67 +00 +18 +10 +52 +00 +b8 +00 +34 +00 +7d +00 +38 +00 +84 +00 +33 +00 +cd +00 +22 +10 +8c +30 +68 +00 +ed +00 +3d +00 +90 +00 +29 +00 +59 +00 +00 +00 +00 +00 +be +00 +7a +00 +be +00 +97 +00 +e6 +00 +a6 +10 +ad +30 +96 +20 +3e +40 +5d +30 +e3 +40 +58 +20 +3d +20 +74 +10 +90 +10 +3a +10 +a0 +10 +8d +10 +5c +30 +98 +10 +db +10 +fa +00 +2a +10 +ef +00 +67 +10 +d9 +00 +0c +10 +b2 +00 +d0 +00 +be +00 +26 +10 +31 +10 +af +20 +38 +10 +d8 +10 +1e +20 +4a +50 +23 +20 +43 +20 +d8 +00 +e5 +00 +db +00 +28 +10 +91 +10 +02 +30 +7f +20 +82 +40 +8c +20 +e0 +30 +89 +20 +37 +40 +f0 +20 +16 +50 +f4 +10 +8e +10 +d1 +00 +d6 +00 +74 +00 +97 +00 +6e +00 +91 +00 +8a +00 +a1 +00 +81 +00 +9d +00 +6a +00 +98 +00 +7c +00 +c4 +00 +75 +00 +c4 +00 +54 +00 +9f +00 +5b +00 +90 +00 +48 +00 +83 +00 +43 +00 +75 +00 +42 +00 +73 +00 +53 +00 +7d +00 +91 +00 +11 +10 +b1 +00 +99 +00 +50 +00 +6a +00 +44 +00 +5f +00 +39 +00 +5d +00 +3e +00 +5a +00 +32 +00 +62 +00 +49 +00 +69 +00 +3f +00 +61 +00 +34 +00 +60 +00 +3b +00 +59 +00 +42 +00 +6d +00 +3a +00 +6d +00 +5e +00 +a7 +00 +8c +00 +2c +10 +9d +00 +a8 +00 +54 +00 +76 +00 +3e +00 +5b +00 +3c +00 +66 +00 +3e +00 +6d +00 +4b +00 +5f +00 +33 +00 +65 +00 +4a +00 +82 +00 +4b +00 +75 +00 +42 +00 +51 +00 +3c +00 +54 +00 +3d +00 +6c +00 +60 +00 +90 +00 +45 +00 +56 +00 +3c +00 +62 +00 +2f +00 +4e +00 +2f +00 +42 +00 +3c +00 +5b +00 +36 +00 +54 +00 +45 +00 +6a +00 +64 +00 +9a +00 +7e +00 +90 +00 +56 +00 +69 +00 +40 +00 +76 +00 +47 +00 +7a +00 +37 +00 +50 +00 +2c +00 +4d +00 +35 +00 +4f +00 +43 +00 +55 +00 +53 +00 +5e +00 +3f +00 +61 +00 +34 +00 +78 +00 +32 +00 +62 +00 +35 +00 +68 +00 +38 +00 +70 +00 +50 +00 +90 +00 +4c +00 +93 +00 +41 +00 +55 +00 +36 +00 +64 +00 +3d +00 +6f +00 +32 +00 +76 +00 +37 +00 +7b +00 +32 +00 +6b +00 +34 +00 +4f +00 +34 +00 +4f +00 +2f +00 +50 +00 +21 +00 +3c +00 +33 +00 +60 +00 +37 +00 +66 +00 +2b +00 +5b +00 +37 +00 +51 +00 +33 +00 +52 +00 +2c +00 +62 +00 +33 +00 +56 +00 +2f +00 +55 +00 +32 +00 +4c +00 +32 +00 +52 +00 +3a +00 +72 +00 +38 +00 +64 +00 +6b +00 +62 +10 +8c +00 +b5 +00 +55 +00 +83 +00 +4a +00 +96 +00 +57 +00 +af +00 +6f +00 +cd +00 +06 +10 +4b +10 +74 +10 +af +40 +d7 +20 +31 +60 +62 +20 +7f +20 +73 +10 +ff +20 +bc +10 +1b +20 +03 +10 +f2 +00 +a7 +00 +e4 +00 +d0 +00 +42 +10 +a0 +10 +62 +30 +d1 +10 +b0 +20 +d7 +10 +c1 +10 +e2 +20 +02 +50 +a5 +30 +d6 +30 +90 +40 +c8 +60 +22 +50 +44 +60 +07 +50 +24 +60 +87 +40 +70 +50 +8d +30 +87 +30 +15 +30 +13 +30 +ef +20 +16 +30 +c3 +20 +aa +30 +cf +20 +17 +40 +c3 +20 +a2 +30 +b9 +10 +d4 +20 +19 +30 +b1 +50 +0f +30 +ef +40 +82 +10 +a6 +10 +2d +20 +c2 +40 +37 +20 +52 +20 +2a +20 +cd +30 +c1 +20 +e0 +20 +b3 +20 +a5 +40 +e9 +10 +a4 +20 +d4 +10 +07 +20 +29 +20 +f5 +30 +4e +30 +2b +50 +fc +10 +b1 +20 +68 +10 +f7 +10 +3a +10 +05 +20 +3a +10 +b2 +10 +0b +10 +42 +10 +fd +00 +de +00 +85 +00 +88 +00 +8a +00 +cf +00 +aa +00 +df +00 +8f +00 +97 +00 +5c +00 +6b +00 +58 +00 +97 +00 +c9 +00 +8e +10 +94 +00 +bc +00 +7b +00 +d8 +00 +76 +00 +9e +00 +72 +00 +8a +00 +58 +00 +81 +00 +5f +00 +95 +00 +b1 +00 +1e +10 +58 +00 +61 +00 +37 +00 +5f +00 +32 +00 +52 +00 +2b +00 +43 +00 +24 +00 +31 +00 +26 +00 +40 +00 +21 +00 +44 +00 +28 +00 +51 +00 +33 +00 +53 +00 +28 +00 +4c +00 +27 +00 +4e +00 +32 +00 +53 +00 +31 +00 +53 +00 +31 +00 +53 +00 +30 +00 +42 +00 +24 +00 +4a +00 +24 +00 +4b +00 +27 +00 +45 +00 +22 +00 +54 +00 +26 +00 +56 +00 +22 +00 +4e +00 +29 +00 +53 +00 +36 +00 +4e +00 +25 +00 +4e +00 +23 +00 +53 +00 +2b +00 +54 +00 +55 +00 +89 +00 +91 +00 +47 +10 +5b +10 +ed +40 +81 +20 +b8 +40 +ab +10 +26 +20 +02 +10 +3f +10 +8e +00 +7e +00 +46 +00 +57 +00 +2d +00 +5a +00 +2d +00 +50 +00 +2b +00 +43 +00 +26 +00 +5c +00 +2a +00 +60 +00 +2c +00 +5a +00 +2d +00 +64 +00 +32 +00 +54 +00 +21 +00 +56 +00 +30 +00 +57 +00 +33 +00 +54 +00 +38 +00 +69 +00 +3a +00 +5a +00 +3b +00 +5d +00 +58 +00 +99 +00 +45 +10 +4b +20 +6e +10 +32 +10 +ac +00 +af +00 +6d +00 +7d +00 +50 +00 +7c +00 +77 +00 +95 +00 +75 +00 +82 +00 +72 +00 +92 +00 +74 +00 +a5 +00 +b1 +00 +56 +10 +6a +10 +a6 +40 +91 +20 +a9 +60 +b5 +20 +91 +20 +b3 +20 +3b +60 +3d +20 +5b +30 +60 +10 +78 +10 +b0 +00 +8d +00 +99 +00 +ff +00 +da +10 +c2 +20 +37 +10 +0d +10 +bd +00 +91 +00 +66 +00 +94 +00 +6a +00 +d3 +00 +ba +00 +23 +10 +c3 +00 +a9 +10 +af +00 +ad +00 +7c +00 +70 +00 +47 +00 +00 +00 +00 +00 +3a +00 +b6 +00 +44 +00 +bc +00 +60 +00 +85 +10 +e8 +00 +05 +30 +a2 +10 +8a +50 +9b +10 +61 +30 +c0 +00 +bd +10 +52 +00 +1a +10 +61 +00 +5e +10 +9b +00 +a8 +10 +60 +00 +07 +10 +57 +00 +f9 +00 +58 +00 +f9 +00 +4a +00 +ba +00 +49 +00 +e1 +00 +5f +00 +96 +10 +e2 +00 +b8 +10 +b5 +00 +92 +20 +96 +10 +c1 +30 +05 +10 +97 +10 +53 +00 +f2 +00 +57 +00 +6e +10 +9c +00 +99 +20 +ea +00 +c5 +20 +67 +10 +e1 +30 +54 +10 +41 +40 +d8 +10 +2b +40 +91 +00 +3f +10 +44 +00 +b7 +00 +3e +00 +bc +00 +47 +00 +db +00 +46 +00 +ba +00 +3d +00 +92 +00 +31 +00 +93 +00 +31 +00 +ac +00 +34 +00 +a0 +00 +2f +00 +92 +00 +35 +00 +86 +00 +34 +00 +82 +00 +34 +00 +7a +00 +31 +00 +82 +00 +29 +00 +ae +00 +ff +00 +59 +20 +4e +00 +8e +00 +21 +00 +6c +00 +22 +00 +58 +00 +24 +00 +60 +00 +29 +00 +65 +00 +23 +00 +5b +00 +28 +00 +70 +00 +31 +00 +66 +00 +1c +00 +62 +00 +25 +00 +5a +00 +20 +00 +5e +00 +28 +00 +63 +00 +29 +00 +b6 +00 +5f +00 +28 +10 +38 +00 +80 +00 +26 +00 +5e +00 +21 +00 +62 +00 +23 +00 +6b +00 +22 +00 +5e +00 +25 +00 +61 +00 +23 +00 +6a +00 +25 +00 +6e +00 +27 +00 +58 +00 +26 +00 +5f +00 +22 +00 +55 +00 +24 +00 +6e +00 +2e +00 +70 +00 +20 +00 +56 +00 +23 +00 +4f +00 +19 +00 +48 +00 +17 +00 +4f +00 +23 +00 +53 +00 +1f +00 +64 +00 +29 +00 +62 +00 +44 +00 +f8 +00 +37 +00 +9a +00 +32 +00 +73 +00 +23 +00 +61 +00 +25 +00 +55 +00 +27 +00 +50 +00 +20 +00 +44 +00 +1d +00 +62 +00 +2e +00 +8a +00 +29 +00 +74 +00 +28 +00 +68 +00 +2d +00 +67 +00 +22 +00 +62 +00 +26 +00 +5e +00 +2f +00 +80 +00 +2e +00 +7e +00 +25 +00 +72 +00 +27 +00 +62 +00 +22 +00 +58 +00 +32 +00 +75 +00 +2e +00 +80 +00 +28 +00 +5a +00 +22 +00 +5c +00 +25 +00 +53 +00 +1b +00 +52 +00 +1d +00 +4d +00 +17 +00 +48 +00 +20 +00 +65 +00 +22 +00 +63 +00 +20 +00 +50 +00 +1d +00 +45 +00 +19 +00 +64 +00 +21 +00 +5f +00 +2a +00 +4d +00 +1d +00 +59 +00 +21 +00 +51 +00 +22 +00 +6c +00 +23 +00 +6a +00 +2c +00 +82 +00 +7b +00 +10 +10 +45 +00 +a0 +00 +39 +00 +95 +00 +37 +00 +93 +00 +42 +00 +96 +00 +50 +00 +f9 +10 +cf +00 +99 +10 +c4 +00 +89 +20 +16 +10 +b5 +20 +9b +00 +c3 +10 +e0 +00 +37 +30 +8a +00 +67 +10 +56 +00 +ec +00 +56 +00 +ff +00 +66 +00 +f5 +10 +25 +10 +86 +20 +c9 +00 +64 +20 +59 +10 +15 +50 +c1 +20 +ef +60 +7b +20 +9a +70 +e5 +20 +14 +80 +cb +20 +11 +80 +eb +20 +e2 +70 +bd +20 +ac +60 +23 +20 +a3 +50 +20 +20 +1f +60 +22 +20 +d4 +50 +cc +10 +de +40 +39 +20 +c6 +50 +0c +10 +39 +20 +f5 +00 +c6 +40 +8d +20 +74 +60 +9a +10 +8b +20 +cb +00 +f4 +30 +bd +10 +51 +40 +57 +10 +e6 +30 +47 +10 +af +50 +67 +20 +3e +60 +41 +10 +d4 +20 +34 +10 +7c +30 +30 +10 +16 +40 +9b +10 +fb +40 +14 +10 +a1 +20 +9f +00 +82 +10 +6a +00 +6f +10 +78 +00 +85 +10 +67 +00 +3b +10 +be +00 +41 +20 +4f +00 +c9 +00 +2d +00 +96 +00 +82 +00 +6c +20 +69 +00 +b6 +00 +2a +00 +86 +00 +21 +00 +82 +00 +59 +00 +68 +10 +53 +00 +c4 +00 +36 +00 +b6 +00 +3e +00 +a2 +00 +2c +00 +74 +00 +2b +00 +68 +00 +2b +00 +82 +00 +4f +00 +d5 +10 +52 +00 +91 +00 +24 +00 +75 +00 +27 +00 +5a +00 +1f +00 +50 +00 +1c +00 +3c +00 +16 +00 +3a +00 +18 +00 +3d +00 +13 +00 +40 +00 +25 +00 +4b +00 +1f +00 +58 +00 +1e +00 +41 +00 +10 +00 +46 +00 +1d +00 +48 +00 +16 +00 +58 +00 +30 +00 +65 +00 +24 +00 +46 +00 +18 +00 +3c +00 +19 +00 +47 +00 +1e +00 +50 +00 +23 +00 +4f +00 +19 +00 +4c +00 +18 +00 +3e +00 +26 +00 +b3 +00 +2b +00 +4b +00 +1e +00 +4a +00 +18 +00 +4e +00 +20 +00 +64 +00 +23 +00 +92 +00 +52 +00 +6b +10 +79 +10 +99 +30 +60 +10 +39 +20 +71 +00 +23 +10 +47 +00 +98 +00 +25 +00 +5c +00 +20 +00 +4b +00 +1d +00 +45 +00 +21 +00 +4b +00 +22 +00 +49 +00 +25 +00 +54 +00 +1b +00 +56 +00 +24 +00 +5b +00 +24 +00 +5b +00 +1f +00 +51 +00 +26 +00 +56 +00 +1b +00 +54 +00 +28 +00 +5f +00 +20 +00 +69 +00 +21 +00 +64 +00 +2f +00 +7e +00 +75 +00 +07 +40 +4f +20 +de +30 +68 +00 +eb +00 +2e +00 +84 +00 +2d +00 +74 +00 +2b +00 +af +00 +a0 +00 +f2 +00 +40 +00 +a5 +00 +34 +00 +92 +00 +36 +00 +af +00 +4c +00 +d5 +10 +10 +10 +82 +20 +88 +10 +0b +40 +a7 +00 +45 +20 +f0 +00 +ba +20 +a1 +00 +89 +10 +52 +00 +ae +00 +39 +00 +d5 +00 +7a +00 +e7 +20 +f8 +00 +7d +20 +b9 +00 +14 +10 +3d +00 +8f +00 +5e +00 +16 +10 +dd +00 +d0 +10 +63 +00 +23 +10 +60 +00 +cc +00 +32 +00 +d4 +00 +68 +00 +87 +00 +00 +00 +00 +00 +a5 +00 +72 +00 +c4 +00 +9a +00 +cb +00 +e5 +00 +71 +10 +63 +10 +de +20 +02 +30 +6c +40 +03 +30 +ad +30 +75 +10 +36 +10 +e4 +00 +09 +10 +e8 +00 +12 +10 +b3 +00 +03 +10 +8e +00 +ce +00 +a5 +00 +f4 +00 +9d +00 +b6 +00 +76 +00 +bc +00 +a7 +00 +fd +00 +d2 +00 +21 +10 +b8 +00 +2e +10 +f3 +00 +b8 +10 +19 +10 +b4 +10 +d5 +00 +48 +10 +b9 +00 +e7 +00 +17 +10 +77 +10 +c5 +10 +cc +20 +38 +20 +d8 +20 +82 +10 +d1 +10 +cf +10 +c3 +30 +7d +20 +c4 +20 +aa +10 +53 +10 +c6 +00 +db +00 +d1 +00 +e4 +00 +ca +10 +da +20 +0a +10 +af +00 +6d +00 +95 +00 +66 +00 +95 +00 +62 +00 +8e +00 +50 +00 +8a +00 +4c +00 +86 +00 +4b +00 +85 +00 +55 +00 +7f +00 +62 +00 +a3 +00 +4d +00 +7f +00 +17 +10 +4e +20 +21 +10 +56 +10 +79 +00 +70 +00 +37 +00 +5d +00 +38 +00 +6c +00 +3b +00 +67 +00 +3c +00 +64 +00 +3d +00 +67 +00 +3e +00 +77 +00 +3e +00 +68 +00 +39 +00 +55 +00 +30 +00 +63 +00 +38 +00 +50 +00 +48 +00 +78 +00 +75 +00 +c0 +00 +73 +00 +9f +00 +4f +00 +74 +00 +41 +00 +5c +00 +3e +00 +54 +00 +36 +00 +5d +00 +33 +00 +5f +00 +3b +00 +58 +00 +3a +00 +5f +00 +3a +00 +6e +00 +79 +00 +16 +10 +50 +00 +5a +00 +35 +00 +69 +00 +39 +00 +57 +00 +3d +00 +55 +00 +28 +00 +4b +00 +36 +00 +48 +00 +2e +00 +45 +00 +28 +00 +4d +00 +2d +00 +68 +00 +37 +00 +59 +00 +3b +00 +75 +00 +4b +00 +8c +00 +70 +00 +93 +00 +41 +00 +68 +00 +3c +00 +4f +00 +3a +00 +5e +00 +31 +00 +4d +00 +2f +00 +4a +00 +b6 +00 +c6 +10 +c3 +00 +d1 +00 +54 +00 +69 +00 +38 +00 +64 +00 +35 +00 +4b +00 +2e +00 +58 +00 +32 +00 +6c +00 +40 +00 +8f +00 +4e +00 +76 +00 +33 +00 +5d +00 +37 +00 +58 +00 +3a +00 +82 +00 +36 +00 +69 +00 +2e +00 +58 +00 +31 +00 +55 +00 +2a +00 +4b +00 +26 +00 +46 +00 +2c +00 +52 +00 +2f +00 +5b +00 +2b +00 +47 +00 +34 +00 +4e +00 +30 +00 +4d +00 +2f +00 +61 +00 +35 +00 +58 +00 +27 +00 +66 +00 +32 +00 +55 +00 +35 +00 +5b +00 +32 +00 +5d +00 +2f +00 +56 +00 +3d +00 +6c +00 +37 +00 +74 +00 +8e +00 +dc +00 +b4 +00 +d4 +00 +81 +00 +97 +00 +5a +00 +99 +00 +50 +00 +a7 +00 +68 +00 +df +00 +b1 +10 +fa +20 +76 +10 +bf +10 +6f +10 +1f +20 +3d +10 +98 +10 +5a +10 +05 +20 +e9 +10 +ff +20 +f8 +00 +25 +10 +ae +00 +f6 +00 +dd +00 +0d +10 +ab +10 +39 +20 +f4 +20 +9e +40 +6d +40 +c1 +60 +46 +50 +4f +80 +d8 +50 +c5 +80 +0c +60 +e0 +80 +11 +60 +e1 +80 +2d +60 +39 +90 +12 +60 +25 +90 +cd +50 +da +80 +b6 +50 +a0 +80 +ee +40 +4a +70 +c1 +20 +3d +30 +08 +20 +19 +30 +0c +20 +e1 +20 +cb +10 +22 +20 +4c +30 +e0 +60 +b0 +20 +70 +30 +76 +10 +ae +10 +33 +10 +25 +20 +99 +10 +e4 +40 +e6 +20 +f9 +20 +73 +20 +9b +50 +55 +30 +80 +30 +81 +20 +0e +50 +0c +30 +ec +40 +db +20 +64 +50 +36 +30 +f3 +40 +c9 +10 +d8 +10 +08 +10 +2d +10 +19 +10 +7f +10 +a0 +10 +e8 +10 +a2 +10 +19 +30 +b6 +10 +9a +10 +d8 +00 +bc +00 +96 +00 +41 +10 +0a +10 +83 +20 +ec +00 +00 +10 +8c +00 +98 +00 +63 +00 +8b +00 +64 +00 +ab +00 +7a +00 +b5 +00 +7f +00 +98 +00 +59 +00 +6f +00 +43 +00 +58 +00 +43 +00 +6c +00 +7a +00 +b8 +00 +5b +00 +e2 +00 +51 +00 +88 +00 +46 +00 +57 +00 +32 +00 +5b +00 +30 +00 +49 +00 +2c +00 +38 +00 +27 +00 +40 +00 +1f +00 +3d +00 +23 +00 +56 +00 +2d +00 +51 +00 +30 +00 +47 +00 +31 +00 +35 +00 +38 +00 +50 +00 +24 +00 +4d +00 +31 +00 +4a +00 +2a +00 +5a +00 +23 +00 +49 +00 +26 +00 +4a +00 +2f +00 +45 +00 +1f +00 +42 +00 +24 +00 +44 +00 +2c +00 +3d +00 +1e +00 +49 +00 +30 +00 +66 +00 +29 +00 +5b +00 +28 +00 +4b +00 +2a +00 +57 +00 +51 +00 +63 +00 +61 +00 +92 +00 +bc +00 +5a +10 +f1 +00 +19 +20 +28 +10 +34 +10 +b9 +00 +9f +00 +5a +00 +64 +00 +32 +00 +55 +00 +33 +00 +64 +00 +34 +00 +5c +00 +23 +00 +4f +00 +29 +00 +5b +00 +27 +00 +57 +00 +2b +00 +55 +00 +30 +00 +5d +00 +2b +00 +51 +00 +2b +00 +52 +00 +2a +00 +5d +00 +29 +00 +58 +00 +33 +00 +64 +00 +41 +00 +77 +00 +66 +00 +7d +00 +89 +00 +eb +00 +0c +20 +bc +60 +da +20 +73 +20 +25 +10 +ad +00 +74 +00 +78 +00 +51 +00 +77 +00 +8c +00 +5c +20 +cf +10 +7a +20 +4b +10 +da +00 +d6 +00 +b0 +00 +d0 +00 +d7 +00 +06 +10 +ef +10 +61 +10 +ed +10 +99 +10 +ec +20 +c7 +10 +b2 +10 +3c +10 +66 +10 +df +00 +b5 +00 +cc +00 +52 +10 +70 +20 +c6 +40 +77 +30 +81 +50 +3a +30 +44 +40 +68 +10 +36 +10 +7a +00 +ae +00 +6e +00 +f4 +00 +84 +00 +ed +00 +7a +00 +c2 +00 +6e +00 +84 +00 +7b +00 +a9 +10 +77 +00 +00 +00 +00 +00 +35 +00 +ba +00 +43 +00 +b2 +00 +47 +00 +ff +00 +65 +00 +4d +10 +bc +00 +4a +30 +7d +10 +3f +50 +5a +10 +ee +10 +5d +00 +ec +00 +4c +00 +dc +00 +52 +00 +ff +00 +48 +00 +c1 +00 +40 +00 +d5 +00 +3d +00 +b1 +00 +47 +00 +b9 +00 +48 +00 +c5 +00 +5d +00 +81 +10 +6e +00 +f7 +00 +50 +00 +fb +00 +67 +00 +37 +10 +5a +00 +ed +00 +56 +00 +c3 +00 +4d +00 +e2 +00 +88 +00 +f2 +10 +ca +00 +bc +20 +8d +00 +8f +10 +7a +00 +14 +20 +85 +10 +49 +30 +45 +10 +04 +40 +90 +00 +1e +10 +66 +00 +4c +10 +9f +00 +42 +30 +07 +10 +0d +20 +4b +00 +ae +00 +32 +00 +8c +00 +30 +00 +8f +00 +43 +00 +a8 +00 +37 +00 +91 +00 +3c +00 +94 +00 +3b +00 +97 +00 +31 +00 +a4 +00 +3e +00 +91 +00 +3e +00 +58 +10 +41 +10 +d2 +20 +5a +00 +a0 +00 +35 +00 +71 +00 +26 +00 +76 +00 +21 +00 +65 +00 +22 +00 +61 +00 +28 +00 +61 +00 +24 +00 +6c +00 +2b +00 +6e +00 +29 +00 +61 +00 +18 +00 +5a +00 +1a +00 +5b +00 +19 +00 +5a +00 +26 +00 +6c +00 +2b +00 +77 +00 +33 +00 +6e +00 +28 +00 +6e +00 +1e +00 +66 +00 +23 +00 +57 +00 +22 +00 +50 +00 +1b +00 +5b +00 +22 +00 +65 +00 +20 +00 +5c +00 +22 +00 +9d +00 +43 +00 +93 +00 +1f +00 +4b +00 +23 +00 +5a +00 +24 +00 +5b +00 +24 +00 +51 +00 +20 +00 +54 +00 +13 +00 +40 +00 +1f +00 +47 +00 +23 +00 +56 +00 +1b +00 +55 +00 +20 +00 +62 +00 +2c +00 +6f +00 +2f +00 +c0 +00 +4b +00 +8b +00 +26 +00 +5a +00 +24 +00 +50 +00 +25 +00 +55 +00 +1e +00 +46 +00 +26 +00 +44 +10 +39 +10 +34 +20 +53 +00 +97 +00 +2e +00 +6a +00 +23 +00 +66 +00 +1e +00 +61 +00 +17 +00 +64 +00 +2d +00 +74 +00 +28 +00 +6c +00 +26 +00 +5e +00 +29 +00 +58 +00 +24 +00 +6a +00 +22 +00 +5f +00 +28 +00 +6c +00 +31 +00 +6a +00 +25 +00 +56 +00 +21 +00 +44 +00 +1e +00 +4c +00 +20 +00 +49 +00 +22 +00 +51 +00 +22 +00 +52 +00 +21 +00 +53 +00 +1a +00 +52 +00 +1a +00 +51 +00 +20 +00 +56 +00 +20 +00 +56 +00 +26 +00 +68 +00 +26 +00 +5e +00 +27 +00 +49 +00 +2b +00 +6d +00 +2e +00 +6a +00 +32 +00 +fe +00 +fc +00 +a6 +10 +48 +00 +b9 +00 +3c +00 +91 +00 +2b +00 +95 +00 +41 +00 +aa +00 +80 +00 +5c +30 +a9 +10 +d5 +20 +ae +00 +2c +30 +b2 +00 +ed +10 +76 +00 +73 +10 +8e +00 +d0 +10 +9b +00 +91 +10 +65 +00 +0e +10 +62 +00 +23 +10 +77 +00 +bb +20 +0b +20 +f8 +60 +17 +30 +2a +80 +53 +30 +10 +90 +70 +30 +68 +90 +9b +30 +cd +90 +b4 +30 +ef +90 +cd +30 +e5 +90 +9c +30 +f3 +90 +ae +30 +db +90 +7f +30 +6d +90 +8e +30 +a5 +80 +cd +10 +8c +30 +ee +00 +a1 +20 +e5 +00 +7a +20 +c8 +00 +65 +20 +32 +10 +ba +40 +06 +20 +01 +40 +d6 +00 +fd +10 +83 +00 +6f +10 +8a +00 +e4 +10 +96 +10 +62 +50 +23 +10 +f5 +20 +35 +20 +84 +60 +51 +10 +b5 +30 +14 +20 +d7 +30 +19 +10 +bb +30 +14 +20 +ed +40 +28 +10 +4e +20 +6c +00 +0f +10 +6d +00 +da +10 +d4 +00 +64 +20 +50 +10 +18 +30 +14 +10 +ff +20 +a8 +00 +34 +10 +59 +00 +d6 +00 +54 +00 +dc +00 +67 +00 +30 +10 +a5 +00 +15 +10 +42 +00 +96 +00 +2b +00 +8c +00 +41 +00 +a8 +00 +3d +00 +a2 +00 +38 +00 +b0 +00 +29 +00 +69 +00 +1f +00 +53 +00 +28 +00 +9b +00 +3a +00 +9d +00 +2b +00 +85 +00 +27 +00 +60 +00 +23 +00 +60 +00 +1b +00 +4f +00 +17 +00 +3c +00 +17 +00 +3d +00 +14 +00 +37 +00 +1a +00 +3d +00 +20 +00 +52 +00 +1c +00 +5c +00 +20 +00 +44 +00 +1c +00 +45 +00 +1b +00 +3c +00 +1d +00 +47 +00 +21 +00 +42 +00 +21 +00 +48 +00 +1d +00 +42 +00 +19 +00 +57 +00 +20 +00 +58 +00 +1a +00 +4e +00 +18 +00 +44 +00 +1c +00 +43 +00 +1e +00 +66 +00 +2a +00 +87 +00 +21 +00 +45 +00 +18 +00 +4e +00 +1f +00 +99 +00 +2a +00 +5f +00 +20 +00 +89 +00 +49 +00 +0d +10 +5a +00 +e9 +00 +2a +00 +9f +00 +24 +00 +74 +00 +25 +00 +5c +00 +29 +00 +4c +00 +24 +00 +60 +00 +24 +00 +5b +00 +25 +00 +5d +00 +28 +00 +64 +00 +24 +00 +55 +00 +2d +00 +6c +00 +26 +00 +64 +00 +23 +00 +5f +00 +24 +00 +49 +00 +20 +00 +50 +00 +23 +00 +4c +00 +29 +00 +66 +00 +36 +00 +ba +00 +3d +00 +a8 +00 +48 +00 +69 +20 +6c +20 +5b +60 +f1 +00 +92 +10 +37 +00 +7d +00 +30 +00 +7e +00 +35 +00 +c7 +00 +1b +10 +75 +40 +b6 +10 +08 +40 +7a +00 +18 +10 +41 +00 +bf +00 +49 +00 +0b +10 +6a +00 +51 +10 +7b +00 +4a +20 +03 +10 +d0 +10 +63 +00 +1c +10 +44 +00 +b3 +00 +3c +00 +2b +10 +f4 +00 +c7 +50 +eb +20 +f2 +60 +97 +20 +73 +60 +83 +10 +42 +20 +58 +00 +be +00 +39 +00 +94 +00 +3b +00 +b9 +00 +5a +00 +c0 +00 +40 +00 +8c +00 +36 +00 +8e +00 +51 +00 +b0 +00 +00 +00 +00 +00 +aa +00 +7a +00 +af +00 +8c +00 +b3 +00 +c4 +00 +f8 +00 +16 +10 +48 +10 +df +10 +01 +40 +10 +30 +13 +40 +84 +10 +30 +10 +36 +10 +3e +10 +c8 +00 +e8 +00 +bc +00 +e3 +00 +9d +00 +dc +00 +76 +00 +a4 +00 +6c +00 +ae +00 +73 +00 +ac +00 +d2 +00 +bd +10 +05 +10 +9d +10 +a8 +00 +b3 +00 +aa +00 +1a +10 +bd +00 +f1 +00 +7f +00 +b9 +00 +bc +00 +f1 +00 +36 +10 +ba +10 +fa +10 +35 +20 +63 +10 +95 +10 +05 +10 +56 +10 +f7 +10 +53 +30 +7e +20 +5b +40 +c2 +20 +f0 +20 +8a +10 +f3 +10 +e8 +20 +4f +40 +bf +20 +7e +30 +6b +10 +0b +10 +81 +00 +9c +00 +67 +00 +94 +00 +69 +00 +a8 +00 +69 +00 +96 +00 +60 +00 +a3 +00 +55 +00 +8e +00 +6d +00 +9d +00 +62 +00 +98 +00 +54 +00 +7a +00 +bd +00 +f1 +10 +41 +10 +9f +10 +a0 +00 +7b +00 +41 +00 +64 +00 +3d +00 +5b +00 +36 +00 +5c +00 +3e +00 +52 +00 +33 +00 +63 +00 +3a +00 +66 +00 +39 +00 +6c +00 +36 +00 +50 +00 +3c +00 +5e +00 +37 +00 +4f +00 +3e +00 +5c +00 +4a +00 +63 +00 +52 +00 +9e +00 +48 +00 +50 +00 +6c +00 +aa +00 +49 +00 +66 +00 +43 +00 +54 +00 +41 +00 +5a +00 +58 +00 +95 +00 +8e +00 +85 +00 +47 +00 +67 +00 +44 +00 +63 +00 +36 +00 +59 +00 +3b +00 +58 +00 +35 +00 +5a +00 +32 +00 +54 +00 +2a +00 +4e +00 +3c +00 +4f +00 +2c +00 +46 +00 +30 +00 +41 +00 +2f +00 +49 +00 +32 +00 +50 +00 +3d +00 +67 +00 +3a +00 +63 +00 +3b +00 +88 +00 +38 +00 +63 +00 +39 +00 +4e +00 +2b +00 +4e +00 +23 +00 +41 +00 +34 +00 +5f +00 +7f +00 +55 +10 +7a +00 +fd +00 +5b +00 +74 +00 +37 +00 +6f +00 +3c +00 +68 +00 +33 +00 +76 +00 +33 +00 +6c +00 +37 +00 +74 +00 +38 +00 +6b +00 +2f +00 +61 +00 +28 +00 +64 +00 +2c +00 +71 +00 +2d +00 +66 +00 +36 +00 +62 +00 +33 +00 +5d +00 +2c +00 +51 +00 +2f +00 +46 +00 +2b +00 +54 +00 +2a +00 +48 +00 +3f +00 +45 +00 +37 +00 +54 +00 +29 +00 +48 +00 +2d +00 +56 +00 +34 +00 +48 +00 +2e +00 +56 +00 +2e +00 +5a +00 +30 +00 +60 +00 +2d +00 +56 +00 +35 +00 +68 +00 +41 +00 +6a +00 +48 +00 +7c +00 +0d +10 +08 +40 +12 +20 +fc +10 +d8 +00 +a4 +00 +6a +00 +83 +00 +6f +00 +c6 +00 +8e +00 +0c +10 +5d +20 +3a +60 +d7 +20 +89 +20 +77 +10 +b7 +10 +54 +10 +27 +20 +7c +20 +9f +20 +ff +00 +25 +10 +fb +00 +1f +10 +50 +10 +45 +10 +4a +20 +8e +30 +05 +40 +89 +70 +54 +50 +fd +80 +f2 +50 +57 +90 +6a +60 +d0 +90 +84 +60 +f0 +90 +9c +60 +4e +a0 +99 +60 +47 +a0 +e2 +60 +2e +a0 +db +60 +1a +a0 +7d +60 +00 +a0 +94 +60 +91 +90 +75 +40 +91 +40 +02 +30 +2f +30 +c3 +30 +32 +50 +b4 +30 +cc +40 +e2 +30 +73 +60 +ec +30 +fc +40 +60 +20 +a2 +20 +63 +10 +6a +10 +12 +10 +5e +10 +31 +10 +02 +20 +82 +10 +ec +20 +58 +30 +c4 +50 +72 +40 +fe +60 +d0 +30 +a4 +40 +e4 +10 +14 +20 +a1 +10 +0c +30 +a3 +10 +48 +20 +26 +10 +40 +10 +1e +10 +05 +20 +42 +10 +62 +10 +41 +10 +f9 +20 +9c +10 +a4 +20 +72 +20 +b5 +30 +05 +10 +f3 +00 +df +00 +37 +10 +33 +10 +37 +10 +17 +10 +7a +10 +1e +10 +58 +10 +8f +00 +86 +00 +93 +00 +6e +10 +1e +10 +dc +00 +81 +00 +8a +00 +64 +00 +9d +00 +3f +00 +6a +00 +66 +00 +81 +00 +8a +00 +7c +00 +5b +00 +77 +00 +48 +00 +7b +00 +3c +00 +6d +00 +2e +00 +4e +00 +32 +00 +48 +00 +27 +00 +47 +00 +24 +00 +41 +00 +25 +00 +3a +00 +28 +00 +45 +00 +2e +00 +57 +00 +2e +00 +47 +00 +24 +00 +3b +00 +2d +00 +3f +00 +25 +00 +43 +00 +26 +00 +44 +00 +22 +00 +48 +00 +2e +00 +46 +00 +24 +00 +4c +00 +2b +00 +4d +00 +35 +00 +49 +00 +3b +00 +4d +00 +2d +00 +54 +00 +31 +00 +48 +00 +36 +00 +86 +00 +6a +00 +b2 +00 +35 +00 +3e +00 +32 +00 +4c +00 +2f +00 +5b +00 +3f +00 +52 +00 +6b +00 +86 +00 +88 +00 +b2 +00 +79 +00 +83 +00 +5f +00 +65 +00 +3d +00 +66 +00 +28 +00 +52 +00 +2a +00 +45 +00 +28 +00 +47 +00 +25 +00 +48 +00 +1f +00 +4d +00 +2b +00 +54 +00 +30 +00 +52 +00 +2e +00 +5b +00 +2b +00 +54 +00 +2b +00 +5d +00 +2a +00 +4f +00 +2e +00 +5f +00 +3e +00 +63 +00 +cd +00 +53 +10 +66 +20 +9a +20 +31 +10 +d9 +00 +50 +10 +6f +40 +de +20 +bd +40 +8f +10 +04 +10 +87 +00 +81 +00 +5b +00 +80 +00 +d6 +00 +dc +10 +90 +20 +94 +60 +16 +40 +7f +50 +be +20 +a6 +10 +df +10 +19 +10 +fa +10 +b2 +10 +49 +20 +5a +20 +1c +20 +b1 +20 +3d +10 +11 +10 +ea +00 +98 +00 +8f +00 +88 +00 +b9 +00 +c1 +10 +35 +20 +f1 +50 +24 +20 +92 +30 +da +10 +d1 +20 +0f +10 +07 +10 +81 +00 +94 +00 +5c +00 +93 +00 +5c +00 +9e +00 +63 +00 +8c +00 +5d +00 +7c +00 +47 +00 +91 +00 +5a +00 +00 +00 +00 +00 +49 +00 +ad +00 +48 +00 +ba +00 +46 +00 +07 +10 +4c +00 +e1 +00 +53 +00 +ab +10 +7f +10 +b6 +40 +25 +10 +1e +20 +be +00 +42 +30 +08 +10 +6a +10 +4b +00 +f6 +00 +56 +00 +c9 +00 +42 +00 +b5 +00 +36 +00 +a5 +00 +3a +00 +9a +00 +59 +00 +de +10 +a5 +00 +6d +10 +57 +00 +e5 +00 +37 +00 +b1 +00 +46 +00 +dd +00 +43 +00 +bb +00 +52 +00 +35 +10 +c6 +00 +2f +20 +a7 +00 +21 +30 +24 +10 +ec +10 +5f +00 +07 +10 +ba +00 +00 +30 +fb +00 +0a +30 +f9 +10 +4d +50 +f0 +00 +00 +20 +39 +10 +50 +50 +5f +20 +60 +60 +47 +10 +42 +20 +5f +00 +cf +00 +3a +00 +8f +00 +3c +00 +aa +00 +3f +00 +b4 +00 +40 +00 +9e +00 +42 +00 +ae +00 +3d +00 +a8 +00 +43 +00 +c6 +00 +2e +00 +7f +00 +2e +00 +a4 +00 +c5 +00 +15 +30 +c4 +00 +1a +10 +2e +00 +6a +00 +1c +00 +61 +00 +20 +00 +65 +00 +21 +00 +5d +00 +1f +00 +69 +00 +30 +00 +64 +00 +28 +00 +6b +00 +22 +00 +61 +00 +21 +00 +5e +00 +1e +00 +5f +00 +1f +00 +59 +00 +23 +00 +53 +00 +22 +00 +79 +00 +2a +00 +85 +00 +22 +00 +8c +00 +95 +00 +eb +00 +24 +00 +5c +00 +25 +00 +58 +00 +27 +00 +92 +00 +54 +00 +da +00 +3c +00 +74 +00 +29 +00 +6d +00 +28 +00 +6b +00 +19 +00 +4c +00 +22 +00 +5d +00 +1c +00 +55 +00 +22 +00 +64 +00 +21 +00 +59 +00 +1f +00 +40 +00 +18 +00 +49 +00 +17 +00 +45 +00 +1b +00 +58 +00 +20 +00 +4f +00 +25 +00 +55 +00 +2a +00 +63 +00 +27 +00 +73 +00 +22 +00 +50 +00 +18 +00 +52 +00 +1c +00 +44 +00 +1c +00 +49 +00 +25 +00 +8b +00 +40 +00 +bf +00 +3a +00 +7e +00 +2b +00 +73 +00 +30 +00 +75 +00 +20 +00 +5d +00 +2a +00 +75 +00 +27 +00 +6a +00 +26 +00 +60 +00 +1c +00 +69 +00 +2a +00 +5f +00 +22 +00 +59 +00 +29 +00 +59 +00 +2a +00 +5e +00 +28 +00 +5d +00 +1e +00 +54 +00 +20 +00 +4e +00 +1d +00 +47 +00 +1e +00 +58 +00 +1c +00 +50 +00 +26 +00 +5d +00 +14 +00 +57 +00 +25 +00 +4c +00 +22 +00 +54 +00 +23 +00 +45 +00 +22 +00 +53 +00 +1f +00 +57 +00 +2a +00 +56 +00 +20 +00 +5d +00 +2a +00 +6f +00 +30 +00 +89 +00 +36 +00 +f1 +00 +6d +10 +d4 +40 +10 +10 +f2 +10 +5b +00 +a3 +00 +43 +00 +b9 +00 +47 +00 +ad +00 +63 +00 +2f +20 +ab +20 +71 +60 +0a +10 +cf +10 +72 +00 +5d +10 +72 +10 +1e +50 +f2 +00 +c2 +10 +87 +00 +5c +10 +dc +00 +ab +30 +13 +10 +f7 +30 +9b +20 +bd +70 +4d +30 +41 +90 +a0 +30 +e9 +90 +9b +30 +0b +a0 +de +30 +22 +a0 +ce +30 +a2 +a0 +ca +30 +54 +a0 +bf +30 +92 +a0 +cb +30 +77 +a0 +b8 +30 +0a +a0 +b7 +30 +49 +a0 +52 +30 +b4 +60 +96 +10 +b7 +40 +52 +20 +13 +70 +ce +20 +cc +70 +cb +20 +b8 +70 +9e +20 +70 +60 +b2 +20 +34 +60 +c4 +00 +cb +10 +7d +00 +2b +10 +71 +00 +42 +10 +79 +00 +9a +10 +c2 +00 +e6 +30 +a7 +20 +e3 +70 +d0 +20 +55 +60 +16 +10 +4c +20 +8c +00 +9c +10 +9d +00 +ba +10 +84 +00 +50 +10 +5a +00 +3d +10 +01 +10 +97 +10 +71 +00 +4b +10 +a1 +00 +b4 +10 +2e +10 +56 +40 +4a +10 +f9 +10 +55 +00 +0e +10 +43 +10 +79 +30 +ae +00 +bb +10 +10 +10 +9d +20 +7b +00 +c9 +00 +3c +00 +9e +00 +fa +00 +b0 +20 +59 +00 +bb +00 +2c +00 +9d +00 +33 +00 +7d +00 +2e +00 +9f +00 +ac +00 +01 +10 +2b +00 +6f +00 +25 +00 +73 +00 +2c +00 +69 +00 +27 +00 +68 +00 +1c +00 +4d +00 +1f +00 +3b +00 +16 +00 +3c +00 +1c +00 +3d +00 +15 +00 +39 +00 +20 +00 +52 +00 +1f +00 +54 +00 +23 +00 +3f +00 +15 +00 +3f +00 +1a +00 +3e +00 +18 +00 +49 +00 +1f +00 +4a +00 +1c +00 +45 +00 +23 +00 +44 +00 +1d +00 +41 +00 +27 +00 +6b +00 +21 +00 +5d +00 +1f +00 +44 +00 +1a +00 +4f +00 +16 +00 +4f +00 +2d +00 +64 +00 +23 +00 +55 +00 +20 +00 +43 +00 +20 +00 +48 +00 +1c +00 +5b +00 +1b +00 +5b +00 +24 +00 +5f +00 +26 +00 +61 +00 +26 +00 +65 +00 +21 +00 +52 +00 +20 +00 +44 +00 +1d +00 +58 +00 +1f +00 +5e +00 +19 +00 +46 +00 +1b +00 +4e +00 +1b +00 +45 +00 +1e +00 +4b +00 +22 +00 +49 +00 +24 +00 +4d +00 +21 +00 +58 +00 +27 +00 +4a +00 +24 +00 +62 +00 +22 +00 +62 +00 +37 +00 +a0 +10 +1d +20 +a2 +60 +0c +20 +27 +20 +46 +00 +39 +10 +3e +10 +49 +40 +6c +10 +59 +20 +56 +00 +be +00 +3a +00 +84 +00 +37 +00 +f2 +00 +7b +10 +83 +50 +ad +20 +d8 +70 +0c +30 +1a +70 +4e +20 +2a +50 +7e +10 +66 +40 +4a +20 +e9 +40 +1d +10 +d9 +20 +53 +10 +53 +20 +65 +00 +58 +10 +49 +00 +8b +00 +31 +00 +9a +00 +70 +00 +03 +20 +fc +00 +8e +20 +ab +00 +f4 +10 +84 +00 +5f +10 +41 +00 +ad +00 +31 +00 +81 +00 +28 +00 +7c +00 +3a +00 +77 +00 +2e +00 +79 +00 +2a +00 +72 +00 +30 +00 +ac +00 +00 +00 +00 +00 +a8 +00 +74 +00 +ba +00 +74 +00 +c6 +00 +2d +10 +d0 +10 +e5 +00 +e9 +00 +b3 +10 +6d +30 +26 +30 +c5 +40 +f9 +10 +5e +20 +33 +10 +94 +20 +95 +10 +e3 +10 +3f +10 +ed +00 +d7 +00 +e4 +00 +a5 +00 +a8 +00 +67 +00 +96 +00 +71 +00 +bc +00 +a2 +00 +20 +10 +99 +00 +c7 +00 +81 +00 +a0 +00 +7b +00 +ad +00 +9e +00 +bf +00 +95 +00 +c6 +00 +af +10 +5a +40 +62 +20 +30 +30 +66 +20 +ae +30 +54 +10 +5e +10 +05 +10 +95 +10 +07 +20 +36 +30 +e1 +20 +3f +50 +35 +30 +78 +40 +43 +30 +d6 +40 +46 +40 +58 +70 +6c +40 +31 +60 +1f +20 +62 +10 +b1 +00 +c3 +00 +94 +00 +b3 +00 +7a +00 +b9 +00 +76 +00 +b1 +00 +69 +00 +ab +00 +7a +00 +c4 +00 +f0 +00 +7e +10 +81 +00 +8f +00 +59 +00 +7a +00 +89 +00 +41 +10 +af +10 +84 +30 +ef +00 +c5 +00 +59 +00 +62 +00 +37 +00 +62 +00 +37 +00 +64 +00 +34 +00 +51 +00 +30 +00 +65 +00 +3a +00 +60 +00 +3b +00 +5f +00 +3c +00 +5e +00 +33 +00 +52 +00 +35 +00 +51 +00 +39 +00 +61 +00 +39 +00 +51 +00 +3f +00 +65 +00 +3a +00 +66 +00 +4d +00 +8e +00 +4a +00 +84 +00 +40 +00 +69 +00 +68 +00 +d1 +00 +10 +10 +51 +20 +8f +00 +84 +00 +52 +00 +5d +00 +38 +00 +5c +00 +3f +00 +4f +00 +34 +00 +51 +00 +31 +00 +56 +00 +35 +00 +62 +00 +3e +00 +53 +00 +3f +00 +4d +00 +2a +00 +41 +00 +27 +00 +41 +00 +29 +00 +4c +00 +2d +00 +56 +00 +2f +00 +5f +00 +38 +00 +53 +00 +30 +00 +6d +00 +32 +00 +55 +00 +34 +00 +46 +00 +2f +00 +48 +00 +2a +00 +4e +00 +3c +00 +52 +00 +42 +00 +91 +00 +42 +00 +9d +00 +44 +00 +62 +00 +34 +00 +66 +00 +35 +00 +66 +00 +36 +00 +5d +00 +35 +00 +59 +00 +3a +00 +63 +00 +3f +00 +63 +00 +2b +00 +58 +00 +32 +00 +69 +00 +2d +00 +65 +00 +37 +00 +67 +00 +30 +00 +68 +00 +2c +00 +56 +00 +35 +00 +6e +00 +30 +00 +42 +00 +2a +00 +4e +00 +32 +00 +4d +00 +34 +00 +7b +00 +3e +00 +65 +00 +46 +00 +4d +00 +38 +00 +5c +00 +34 +00 +54 +00 +30 +00 +54 +00 +31 +00 +55 +00 +2b +00 +52 +00 +32 +00 +58 +00 +3b +00 +6d +00 +47 +00 +7c +00 +68 +00 +98 +00 +7f +00 +4c +10 +cd +00 +69 +20 +20 +10 +60 +10 +31 +10 +2d +20 +9c +00 +d8 +00 +9d +00 +db +00 +9a +10 +16 +50 +29 +20 +f8 +20 +79 +10 +ce +10 +60 +10 +e3 +10 +0f +10 +f8 +10 +73 +10 +a2 +20 +8c +20 +1c +30 +81 +30 +43 +60 +e8 +40 +32 +80 +87 +50 +6b +90 +a9 +60 +67 +a0 +90 +60 +62 +a0 +df +60 +6f +a0 +f8 +60 +8a +a0 +14 +70 +91 +a0 +29 +70 +52 +a0 +1a +70 +b5 +a0 +09 +70 +7f +a0 +e8 +60 +a4 +a0 +f0 +60 +b1 +90 +54 +60 +95 +80 +a8 +50 +2a +80 +3a +40 +fa +50 +0f +30 +a3 +50 +c5 +20 +85 +40 +93 +20 +df +50 +f3 +20 +36 +30 +9e +10 +5f +10 +fb +00 +fe +00 +f3 +00 +35 +10 +e4 +10 +3d +30 +fd +30 +0d +60 +09 +40 +39 +60 +88 +30 +e3 +30 +00 +20 +9e +10 +32 +10 +4b +10 +f9 +00 +59 +10 +11 +10 +06 +10 +b3 +10 +c5 +30 +2e +10 +27 +10 +d1 +00 +16 +10 +d2 +00 +69 +10 +18 +10 +10 +20 +fe +00 +c3 +10 +09 +20 +89 +40 +b1 +20 +18 +40 +0c +20 +6c +30 +ae +10 +c3 +10 +ae +00 +b6 +00 +47 +10 +bd +20 +a1 +10 +af +10 +c9 +00 +a1 +00 +57 +00 +81 +00 +61 +00 +83 +00 +93 +10 +d9 +20 +f5 +00 +b8 +00 +67 +00 +63 +00 +42 +00 +78 +00 +40 +00 +7c +00 +37 +00 +56 +00 +2e +00 +3e +00 +26 +00 +3a +00 +22 +00 +33 +00 +1c +00 +40 +00 +24 +00 +4f +00 +32 +00 +5d +00 +26 +00 +4a +00 +25 +00 +3a +00 +2e +00 +40 +00 +22 +00 +44 +00 +2a +00 +4d +00 +30 +00 +4a +00 +25 +00 +46 +00 +26 +00 +47 +00 +28 +00 +55 +00 +5c +00 +70 +10 +69 +00 +6c +00 +2e +00 +52 +00 +2b +00 +48 +00 +2b +00 +4b +00 +27 +00 +45 +00 +31 +00 +45 +00 +25 +00 +46 +00 +33 +00 +57 +00 +28 +00 +58 +00 +3e +00 +59 +00 +41 +00 +57 +00 +36 +00 +4a +00 +2e +00 +48 +00 +2d +00 +53 +00 +38 +00 +47 +00 +2d +00 +50 +00 +33 +00 +53 +00 +25 +00 +44 +00 +2f +00 +52 +00 +23 +00 +46 +00 +26 +00 +54 +00 +2d +00 +4f +00 +2e +00 +47 +00 +27 +00 +57 +00 +2f +00 +4d +00 +31 +00 +59 +00 +57 +00 +a2 +00 +33 +20 +ff +60 +2b +30 +4b +40 +66 +10 +07 +10 +01 +10 +6d +10 +7d +10 +b3 +20 +ae +10 +d0 +20 +db +00 +9e +00 +7c +00 +9a +00 +90 +10 +26 +30 +ee +30 +10 +80 +00 +50 +94 +80 +de +40 +62 +80 +d0 +40 +d2 +70 +f5 +40 +13 +80 +f9 +30 +07 +40 +12 +20 +e5 +40 +0f +20 +db +10 +de +00 +0f +10 +6c +00 +87 +00 +80 +00 +dd +00 +e9 +00 +c2 +10 +22 +10 +a3 +10 +30 +10 +34 +10 +dc +00 +b5 +00 +99 +00 +87 +00 +6c +00 +81 +00 +7b +00 +7e +00 +7b +00 +91 +00 +51 +00 +6f +00 +59 +00 +6e +00 +8c +00 +00 +00 +00 +00 +42 +00 +b0 +00 +40 +00 +b7 +00 +4e +00 +32 +10 +bb +00 +8f +10 +4d +00 +a4 +10 +61 +10 +af +50 +a8 +10 +59 +30 +ad +00 +95 +10 +88 +00 +20 +20 +51 +10 +b0 +20 +93 +00 +5a +10 +5a +00 +05 +10 +3d +00 +9f +00 +40 +00 +8e +00 +37 +00 +b8 +00 +4d +00 +cb +00 +40 +00 +a8 +00 +37 +00 +8d +00 +3c +00 +c3 +00 +57 +00 +e0 +00 +53 +00 +17 +20 +96 +10 +76 +30 +81 +10 +1a +40 +df +00 +b2 +10 +59 +00 +f9 +00 +b1 +00 +66 +30 +2b +10 +ac +30 +c0 +10 +bc +50 +39 +20 +68 +60 +84 +20 +57 +70 +c3 +20 +c3 +70 +6c +20 +6c +40 +79 +00 +15 +10 +4c +00 +e0 +00 +42 +00 +bc +00 +4f +00 +e7 +00 +3e +00 +a8 +00 +33 +00 +bf +00 +97 +00 +86 +20 +b3 +00 +24 +10 +32 +00 +88 +00 +2d +00 +92 +00 +53 +00 +e5 +10 +e3 +00 +93 +10 +35 +00 +73 +00 +1e +00 +5f +00 +1f +00 +5b +00 +1e +00 +5e +00 +19 +00 +67 +00 +1d +00 +69 +00 +1f +00 +62 +00 +23 +00 +60 +00 +21 +00 +62 +00 +21 +00 +5a +00 +23 +00 +53 +00 +29 +00 +59 +00 +1f +00 +58 +00 +1d +00 +5c +00 +2a +00 +6a +00 +31 +00 +6d +00 +20 +00 +5d +00 +25 +00 +9f +00 +be +00 +c3 +10 +7f +00 +ff +00 +33 +00 +79 +00 +23 +00 +60 +00 +1d +00 +59 +00 +1e +00 +4d +00 +29 +00 +5a +00 +22 +00 +54 +00 +1c +00 +61 +00 +39 +00 +8d +00 +21 +00 +4c +00 +14 +00 +48 +00 +1b +00 +4a +00 +20 +00 +4f +00 +20 +00 +49 +00 +1c +00 +62 +00 +23 +00 +4e +00 +1a +00 +61 +00 +1b +00 +4f +00 +1c +00 +4a +00 +1f +00 +4b +00 +23 +00 +5b +00 +22 +00 +5e +00 +2a +00 +86 +00 +31 +00 +73 +00 +28 +00 +67 +00 +25 +00 +56 +00 +2a +00 +5f +00 +22 +00 +5b +00 +20 +00 +59 +00 +1e +00 +5f +00 +25 +00 +5a +00 +25 +00 +5d +00 +21 +00 +5d +00 +23 +00 +58 +00 +22 +00 +63 +00 +24 +00 +62 +00 +2a +00 +62 +00 +20 +00 +50 +00 +1a +00 +4d +00 +1c +00 +4d +00 +1e +00 +51 +00 +40 +00 +96 +00 +34 +00 +83 +00 +22 +00 +57 +00 +20 +00 +58 +00 +25 +00 +53 +00 +20 +00 +5c +00 +21 +00 +5d +00 +21 +00 +5c +00 +2d +00 +6e +00 +2e +00 +7e +00 +36 +00 +a4 +00 +46 +00 +b0 +00 +53 +00 +2e +10 +9f +00 +d1 +10 +d5 +00 +96 +20 +bc +00 +53 +10 +51 +00 +d8 +00 +5e +00 +b8 +10 +d7 +10 +c7 +30 +e7 +00 +2e +20 +80 +00 +61 +10 +87 +00 +74 +10 +cd +00 +75 +20 +f4 +10 +82 +50 +c9 +10 +bb +60 +07 +30 +39 +80 +71 +30 +69 +90 +c4 +30 +b8 +a0 +ec +30 +5f +a0 +bd +30 +89 +a0 +d1 +30 +8c +a0 +bb +30 +7d +a0 +d5 +30 +6c +a0 +96 +30 +7a +a0 +ce +30 +93 +a0 +d2 +30 +7c +a0 +bb +30 +85 +a0 +c1 +30 +40 +a0 +72 +30 +26 +90 +d8 +20 +3d +50 +49 +10 +60 +30 +01 +10 +ef +20 +e2 +00 +d2 +20 +c1 +10 +e2 +40 +f8 +00 +36 +20 +7f +00 +38 +10 +71 +00 +0d +10 +a9 +00 +f4 +30 +90 +20 +44 +70 +dc +20 +c5 +60 +ff +10 +9b +50 +c0 +10 +7b +30 +7b +00 +16 +10 +59 +00 +15 +10 +ad +00 +70 +20 +d7 +00 +3b +30 +f9 +00 +cf +10 +6e +00 +fc +00 +47 +00 +05 +10 +5f +00 +46 +10 +6d +00 +26 +10 +ee +00 +30 +40 +05 +20 +6c +40 +fc +00 +77 +20 +dd +00 +a3 +20 +7c +00 +12 +10 +56 +00 +98 +20 +7a +10 +8b +30 +13 +10 +95 +10 +35 +00 +93 +00 +2d +00 +7a +00 +97 +00 +04 +40 +b5 +10 +29 +20 +4b +00 +86 +00 +1f +00 +5a +00 +32 +00 +72 +00 +24 +00 +57 +00 +1e +00 +4d +00 +14 +00 +39 +00 +0f +00 +3a +00 +15 +00 +3a +00 +16 +00 +3a +00 +19 +00 +55 +00 +24 +00 +4c +00 +1c +00 +46 +00 +1c +00 +4a +00 +1a +00 +41 +00 +1b +00 +5a +00 +27 +00 +57 +00 +1f +00 +3f +00 +1f +00 +44 +00 +17 +00 +51 +00 +1e +00 +63 +00 +5d +00 +d2 +00 +29 +00 +62 +00 +1f +00 +4e +00 +17 +00 +48 +00 +1e +00 +4a +00 +1d +00 +4a +00 +17 +00 +36 +00 +22 +00 +47 +00 +1e +00 +4d +00 +25 +00 +5c +00 +22 +00 +4e +00 +16 +00 +4a +00 +1c +00 +54 +00 +13 +00 +48 +00 +1d +00 +50 +00 +18 +00 +45 +00 +17 +00 +52 +00 +1e +00 +4b +00 +18 +00 +46 +00 +1e +00 +52 +00 +1e +00 +48 +00 +21 +00 +4e +00 +25 +00 +51 +00 +25 +00 +55 +00 +22 +00 +54 +00 +1a +00 +4f +00 +27 +00 +69 +00 +63 +00 +de +30 +f9 +20 +da +50 +dc +00 +ac +10 +3d +00 +bf +00 +60 +00 +ae +20 +46 +10 +5d +30 +ea +00 +4a +10 +3f +00 +a0 +00 +50 +00 +86 +20 +7a +20 +75 +60 +32 +30 +c8 +80 +97 +20 +30 +70 +9b +20 +80 +80 +8f +30 +ef +80 +30 +30 +bd +60 +0c +10 +64 +20 +6f +10 +9f +20 +7e +00 +0c +10 +41 +00 +82 +00 +37 +00 +91 +00 +3e +00 +e4 +00 +71 +00 +53 +10 +64 +00 +37 +10 +8a +00 +07 +10 +60 +00 +1b +10 +3d +00 +8c +00 +47 +00 +4b +10 +85 +00 +ed +00 +38 +00 +7b +00 +54 +00 +c1 +00 +28 +00 +a5 +00 +00 +00 +00 +00 +b6 +00 +7b +00 +bc +00 +6f +00 +b9 +00 +c0 +00 +bf +10 +10 +10 +2a +10 +32 +20 +8a +40 +23 +30 +ba +40 +84 +10 +7b +10 +0b +10 +9a +10 +3e +20 +3d +40 +82 +20 +2c +30 +c4 +20 +12 +40 +57 +10 +f7 +00 +63 +00 +a7 +00 +64 +00 +92 +00 +63 +00 +a4 +00 +69 +00 +a7 +00 +65 +00 +a2 +00 +69 +00 +a1 +00 +89 +00 +6c +10 +a5 +10 +a5 +10 +8b +10 +b4 +20 +97 +10 +33 +30 +75 +10 +ed +10 +60 +10 +5b +10 +4f +10 +66 +20 +4b +20 +20 +30 +5c +20 +04 +40 +01 +30 +66 +60 +aa +40 +96 +70 +50 +50 +33 +80 +19 +50 +01 +80 +ae +30 +be +20 +86 +10 +55 +10 +02 +10 +f5 +00 +d4 +00 +17 +10 +1d +10 +2e +10 +94 +00 +af +00 +8c +00 +1b +10 +9e +00 +29 +10 +7f +00 +9c +00 +56 +00 +7f +00 +7e +00 +a9 +00 +98 +00 +0f +10 +97 +00 +a6 +00 +50 +00 +6c +00 +41 +00 +56 +00 +39 +00 +6e +00 +3d +00 +4d +00 +34 +00 +5f +00 +3d +00 +69 +00 +39 +00 +63 +00 +34 +00 +4c +00 +32 +00 +5f +00 +36 +00 +62 +00 +2f +00 +55 +00 +2e +00 +53 +00 +32 +00 +59 +00 +39 +00 +60 +00 +47 +00 +7c +00 +51 +00 +5f +00 +4b +00 +5d +00 +74 +00 +29 +10 +76 +00 +e7 +00 +5b +00 +86 +00 +39 +00 +5f +00 +38 +00 +59 +00 +3b +00 +45 +00 +31 +00 +5d +00 +34 +00 +5e +00 +2d +00 +52 +00 +45 +00 +b8 +00 +3e +00 +5e +00 +2c +00 +42 +00 +28 +00 +53 +00 +2f +00 +53 +00 +2f +00 +50 +00 +2c +00 +5a +00 +36 +00 +5e +00 +35 +00 +4b +00 +2d +00 +48 +00 +29 +00 +45 +00 +31 +00 +47 +00 +59 +00 +b5 +00 +44 +00 +55 +00 +3b +00 +6d +00 +3b +00 +59 +00 +3c +00 +63 +00 +3a +00 +5e +00 +2c +00 +67 +00 +33 +00 +54 +00 +32 +00 +60 +00 +3e +00 +5e +00 +34 +00 +55 +00 +35 +00 +55 +00 +2c +00 +54 +00 +29 +00 +5d +00 +32 +00 +5b +00 +31 +00 +62 +00 +58 +00 +7d +00 +2f +00 +45 +00 +2e +00 +4c +00 +33 +00 +45 +00 +32 +00 +4e +00 +36 +00 +6d +00 +44 +00 +7b +00 +32 +00 +51 +00 +32 +00 +57 +00 +30 +00 +52 +00 +2e +00 +5d +00 +32 +00 +5a +00 +36 +00 +6e +00 +32 +00 +61 +00 +4c +00 +8b +00 +9c +00 +f1 +10 +83 +00 +c2 +00 +7a +00 +cc +00 +9e +00 +24 +10 +db +00 +b7 +10 +d0 +00 +3b +10 +bb +00 +fc +00 +6e +10 +15 +30 +d4 +10 +a5 +20 +30 +10 +f0 +10 +0f +10 +44 +10 +c2 +00 +27 +10 +d7 +00 +97 +20 +85 +10 +a6 +30 +2c +20 +43 +40 +38 +40 +28 +80 +ce +50 +b3 +90 +9d +60 +5c +a0 +09 +70 +c2 +a0 +17 +70 +a6 +a0 +3b +70 +63 +a0 +36 +70 +90 +a0 +26 +70 +94 +a0 +1f +70 +a2 +a0 +58 +70 +7e +a0 +3c +70 +d2 +a0 +28 +70 +ad +a0 +34 +70 +9b +a0 +ee +60 +e4 +90 +af +50 +8f +60 +d5 +20 +56 +30 +41 +20 +da +20 +dc +10 +42 +20 +c7 +10 +6e +20 +99 +20 +77 +50 +00 +30 +76 +20 +30 +20 +a4 +10 +50 +10 +54 +10 +37 +30 +f1 +60 +64 +40 +a0 +70 +07 +40 +97 +60 +4c +30 +c0 +30 +a8 +10 +76 +10 +d9 +00 +d4 +00 +a5 +00 +18 +10 +c9 +10 +d7 +40 +6e +20 +8e +20 +49 +10 +52 +10 +e1 +00 +d5 +00 +a3 +00 +de +00 +9a +00 +00 +10 +c4 +00 +36 +10 +4c +10 +53 +30 +20 +10 +df +10 +13 +10 +66 +10 +07 +10 +67 +10 +04 +10 +1c +10 +e6 +00 +5d +10 +d5 +00 +20 +20 +22 +10 +30 +10 +a1 +00 +8a +00 +bd +00 +10 +10 +b2 +10 +8c +50 +77 +10 +2c +10 +ad +00 +6e +00 +56 +00 +6b +00 +33 +00 +6d +00 +2f +00 +4b +00 +26 +00 +41 +00 +2c +00 +36 +00 +23 +00 +3b +00 +24 +00 +37 +00 +22 +00 +45 +00 +23 +00 +48 +00 +27 +00 +54 +00 +2b +00 +47 +00 +2a +00 +4c +00 +2a +00 +4c +00 +33 +00 +54 +00 +48 +00 +57 +00 +38 +00 +4a +00 +38 +00 +3d +00 +2b +00 +4b +00 +2e +00 +5a +00 +39 +00 +6d +00 +35 +00 +56 +00 +24 +00 +46 +00 +2d +00 +4d +00 +25 +00 +40 +00 +2a +00 +46 +00 +29 +00 +3e +00 +36 +00 +57 +00 +34 +00 +61 +00 +31 +00 +57 +00 +31 +00 +4a +00 +2e +00 +52 +00 +50 +00 +c0 +00 +89 +00 +77 +00 +3b +00 +40 +00 +24 +00 +4f +00 +27 +00 +4c +00 +24 +00 +43 +00 +27 +00 +4e +00 +28 +00 +55 +00 +30 +00 +4b +00 +28 +00 +4d +00 +24 +00 +4c +00 +2b +00 +4a +00 +28 +00 +53 +00 +29 +00 +56 +00 +46 +00 +a9 +00 +28 +10 +16 +40 +bd +10 +39 +20 +10 +10 +e0 +00 +c2 +00 +b9 +00 +f1 +00 +40 +20 +17 +10 +cc +10 +15 +10 +fc +00 +e0 +00 +98 +10 +13 +30 +2e +70 +6f +30 +d5 +40 +2a +30 +99 +40 +32 +30 +46 +60 +d0 +40 +54 +90 +12 +50 +20 +80 +35 +30 +a2 +20 +95 +10 +ef +10 +e8 +00 +45 +10 +a7 +00 +97 +00 +6a +00 +82 +00 +6a +00 +87 +00 +b1 +00 +d2 +00 +b7 +00 +d8 +00 +0d +10 +bc +10 +2e +20 +43 +40 +99 +10 +fd +00 +90 +00 +9c +00 +15 +10 +24 +40 +1f +10 +bf +00 +66 +00 +96 +00 +63 +00 +93 +00 +5f +00 +00 +00 +00 +00 +41 +00 +ac +00 +40 +00 +ab +00 +44 +00 +cf +00 +98 +00 +91 +10 +89 +00 +24 +20 +65 +10 +a6 +40 +04 +10 +07 +20 +59 +00 +39 +10 +22 +10 +53 +40 +b6 +10 +ba +40 +98 +10 +22 +50 +a4 +10 +d0 +20 +67 +00 +c4 +00 +42 +00 +a5 +00 +38 +00 +9b +00 +36 +00 +a6 +00 +2d +00 +92 +00 +36 +00 +8b +00 +31 +00 +bd +00 +73 +00 +28 +20 +6f +10 +29 +30 +a2 +00 +ba +10 +87 +00 +79 +10 +99 +00 +77 +20 +8e +00 +86 +10 +40 +10 +71 +30 +5d +10 +fd +30 +ec +00 +e7 +20 +3d +20 +2e +70 +eb +20 +da +70 +f7 +20 +4a +80 +ca +20 +52 +70 +42 +10 +b6 +20 +3c +10 +59 +20 +61 +00 +5c +10 +70 +00 +5b +10 +63 +00 +ec +00 +3d +00 +c3 +00 +54 +00 +f1 +00 +50 +00 +c3 +00 +2f +00 +97 +00 +2b +00 +91 +00 +33 +00 +db +00 +50 +00 +be +00 +28 +00 +68 +00 +2b +00 +72 +00 +27 +00 +61 +00 +1f +00 +5e +00 +1d +00 +6b +00 +25 +00 +70 +00 +23 +00 +6c +00 +20 +00 +60 +00 +26 +00 +4e +00 +20 +00 +53 +00 +1e +00 +51 +00 +28 +00 +60 +00 +24 +00 +5a +00 +1f +00 +62 +00 +22 +00 +62 +00 +29 +00 +81 +00 +28 +00 +72 +00 +23 +00 +71 +00 +35 +00 +95 +00 +35 +00 +91 +00 +27 +00 +68 +00 +1a +00 +5a +00 +1e +00 +4f +00 +1d +00 +55 +00 +1c +00 +56 +00 +27 +00 +56 +00 +21 +00 +65 +00 +20 +00 +56 +00 +1a +00 +50 +00 +1c +00 +40 +00 +19 +00 +3e +00 +14 +00 +51 +00 +1f +00 +53 +00 +29 +00 +50 +00 +22 +00 +4a +00 +24 +00 +4a +00 +1e +00 +4e +00 +1b +00 +51 +00 +25 +00 +92 +00 +4e +00 +90 +00 +23 +00 +51 +00 +28 +00 +62 +00 +2c +00 +6d +00 +30 +00 +67 +00 +1e +00 +5a +00 +25 +00 +4d +00 +1e +00 +63 +00 +26 +00 +67 +00 +25 +00 +56 +00 +23 +00 +5c +00 +20 +00 +58 +00 +1c +00 +59 +00 +27 +00 +56 +00 +24 +00 +55 +00 +2d +00 +70 +00 +2a +00 +62 +00 +19 +00 +4a +00 +20 +00 +53 +00 +21 +00 +40 +00 +1f +00 +51 +00 +1c +00 +5a +00 +1f +00 +5c +00 +21 +00 +60 +00 +1f +00 +58 +00 +1f +00 +52 +00 +28 +00 +67 +00 +2f +00 +62 +00 +20 +00 +52 +00 +26 +00 +74 +00 +54 +00 +06 +10 +8e +00 +21 +10 +4a +00 +ba +00 +45 +00 +c7 +00 +60 +00 +29 +10 +75 +00 +1d +10 +6b +00 +03 +10 +ea +00 +a5 +30 +d3 +10 +ff +20 +9e +00 +a7 +10 +77 +00 +4f +10 +5c +00 +14 +10 +6d +00 +3d +10 +78 +00 +70 +10 +ba +00 +2e +20 +47 +10 +1b +60 +31 +30 +85 +90 +d6 +30 +74 +a0 +f9 +30 +93 +a0 +ea +30 +a4 +a0 +ca +30 +fa +a0 +cf +30 +6b +a0 +d0 +30 +c4 +a0 +fe +30 +c2 +a0 +c5 +30 +ba +a0 +d6 +30 +85 +a0 +c1 +30 +66 +a0 +dc +30 +cd +a0 +be +30 +86 +a0 +b0 +30 +ef +80 +9d +10 +c1 +30 +fb +00 +71 +30 +4a +10 +71 +20 +89 +00 +b2 +10 +be +00 +c3 +30 +9f +20 +4d +70 +59 +20 +f3 +50 +64 +10 +e2 +10 +a6 +00 +36 +40 +c1 +20 +9d +60 +a9 +10 +c4 +40 +f6 +10 +19 +50 +e6 +00 +d5 +10 +69 +00 +01 +10 +4f +00 +c0 +00 +71 +00 +4f +20 +bb +10 +2d +50 +a9 +10 +48 +20 +7d +00 +4d +10 +4f +00 +c5 +00 +4b +00 +c5 +00 +3f +00 +d3 +00 +54 +00 +38 +10 +7d +00 +a5 +10 +72 +00 +46 +10 +57 +00 +81 +10 +c9 +00 +da +20 +7b +00 +1c +10 +60 +00 +18 +10 +73 +00 +3f +10 +66 +00 +10 +10 +49 +00 +cc +00 +52 +00 +8c +10 +65 +10 +73 +20 +76 +00 +f8 +00 +2f +00 +70 +00 +26 +00 +6c +00 +22 +00 +5f +00 +24 +00 +4a +00 +16 +00 +3c +00 +18 +00 +35 +00 +11 +00 +31 +00 +17 +00 +33 +00 +18 +00 +3f +00 +1c +00 +50 +00 +24 +00 +44 +00 +17 +00 +41 +00 +1d +00 +4c +00 +1b +00 +6e +00 +3a +00 +93 +00 +22 +00 +4a +00 +21 +00 +53 +00 +1a +00 +3b +00 +1d +00 +48 +00 +22 +00 +64 +00 +20 +00 +5d +00 +19 +00 +3d +00 +1c +00 +50 +00 +14 +00 +48 +00 +18 +00 +42 +00 +1f +00 +4b +00 +1d +00 +4e +00 +27 +00 +62 +00 +3c +00 +6e +00 +21 +00 +53 +00 +1d +00 +44 +00 +15 +00 +6c +00 +ce +00 +60 +20 +42 +00 +76 +00 +21 +00 +54 +00 +1f +00 +48 +00 +1d +00 +4b +00 +23 +00 +5c +00 +21 +00 +4f +00 +26 +00 +4b +00 +20 +00 +51 +00 +21 +00 +53 +00 +24 +00 +4d +00 +1f +00 +57 +00 +1d +00 +4d +00 +23 +00 +5f +00 +2d +00 +22 +10 +95 +00 +ec +10 +7b +00 +10 +10 +31 +00 +8f +00 +37 +00 +eb +00 +71 +00 +40 +10 +78 +00 +ab +20 +94 +00 +22 +10 +f9 +00 +25 +50 +cb +20 +e9 +50 +1f +10 +1e +30 +35 +10 +f6 +30 +a4 +10 +26 +70 +6f +30 +59 +70 +95 +20 +5d +40 +98 +00 +91 +10 +5d +00 +1f +10 +52 +00 +b8 +00 +3b +00 +87 +00 +39 +00 +92 +00 +39 +00 +b0 +00 +3f +00 +b1 +00 +3e +00 +05 +10 +6a +10 +09 +60 +66 +20 +3b +30 +55 +00 +b9 +00 +32 +00 +02 +10 +1d +10 +32 +20 +45 +00 +8f +00 +34 +00 +7a +00 +2f +00 +7f +00 +00 +00 +00 +00 +ba +00 +72 +00 +ab +00 +6d +00 +a5 +00 +b5 +00 +87 +10 +e7 +10 +1c +30 +a4 +20 +8a +40 +35 +20 +2e +20 +fc +00 +13 +10 +ed +00 +e6 +10 +29 +20 +22 +40 +d4 +20 +18 +40 +37 +20 +04 +30 +fe +00 +29 +10 +76 +00 +af +00 +61 +00 +9b +00 +65 +00 +97 +00 +5b +00 +95 +00 +56 +00 +99 +00 +a4 +00 +f0 +00 +a0 +00 +c7 +00 +53 +10 +5a +30 +7b +10 +e2 +10 +13 +10 +2b +10 +8d +10 +a4 +20 +c1 +20 +dc +30 +f2 +10 +f0 +20 +d2 +10 +63 +30 +dc +10 +4d +20 +74 +20 +31 +50 +96 +40 +f0 +70 +3e +50 +6d +80 +0d +50 +f3 +70 +36 +40 +47 +50 +ad +30 +97 +50 +d4 +20 +8b +20 +03 +30 +8d +30 +8b +10 +39 +10 +b3 +00 +c5 +00 +72 +00 +b6 +00 +71 +00 +c0 +00 +67 +00 +a6 +00 +6e +00 +92 +00 +5e +00 +9a +00 +82 +00 +d9 +00 +85 +00 +8c +00 +48 +00 +71 +00 +3f +00 +5f +00 +36 +00 +5a +00 +3c +00 +60 +00 +40 +00 +69 +00 +3c +00 +62 +00 +3e +00 +5d +00 +32 +00 +53 +00 +3a +00 +52 +00 +2d +00 +5a +00 +2f +00 +4f +00 +34 +00 +5e +00 +33 +00 +60 +00 +41 +00 +51 +00 +3f +00 +72 +00 +4e +00 +84 +00 +66 +00 +6e +00 +5d +00 +7f +00 +4f +00 +8f +00 +51 +00 +6f +00 +43 +00 +57 +00 +31 +00 +58 +00 +38 +00 +4a +00 +32 +00 +5c +00 +41 +00 +5f +00 +64 +00 +75 +00 +40 +00 +55 +00 +30 +00 +50 +00 +33 +00 +52 +00 +33 +00 +43 +00 +26 +00 +46 +00 +34 +00 +56 +00 +2b +00 +5d +00 +2e +00 +57 +00 +30 +00 +4a +00 +22 +00 +4b +00 +2e +00 +51 +00 +31 +00 +5d +00 +42 +00 +6d +00 +38 +00 +66 +00 +30 +00 +5c +00 +3b +00 +66 +00 +36 +00 +67 +00 +2b +00 +5a +00 +33 +00 +59 +00 +30 +00 +4f +00 +39 +00 +6b +00 +2b +00 +62 +00 +2c +00 +53 +00 +32 +00 +54 +00 +31 +00 +52 +00 +2f +00 +65 +00 +39 +00 +50 +00 +2e +00 +64 +00 +2d +00 +5c +00 +2d +00 +55 +00 +34 +00 +5d +00 +32 +00 +46 +00 +28 +00 +46 +00 +27 +00 +54 +00 +37 +00 +5b +00 +34 +00 +5e +00 +2b +00 +4f +00 +2a +00 +54 +00 +2c +00 +54 +00 +43 +00 +86 +00 +37 +00 +5c +00 +36 +00 +5b +00 +72 +00 +3f +10 +82 +00 +ce +00 +72 +00 +c4 +00 +74 +00 +ab +00 +69 +00 +ba +00 +90 +00 +fc +00 +84 +00 +e7 +00 +c0 +00 +dd +20 +32 +20 +7f +30 +3c +10 +b3 +10 +ef +00 +1f +10 +a7 +00 +e5 +00 +8e +00 +f2 +00 +a5 +00 +25 +10 +d1 +00 +60 +10 +39 +10 +ea +10 +f2 +20 +3a +60 +a8 +50 +43 +a0 +f5 +60 +a8 +a0 +37 +70 +a4 +a0 +3e +70 +83 +a0 +45 +70 +b7 +a0 +17 +70 +69 +a0 +33 +70 +5f +a0 +5c +70 +98 +a0 +4f +70 +bb +a0 +5b +70 +be +a0 +3d +70 +d3 +a0 +1e +70 +a0 +a0 +f4 +60 +55 +a0 +f2 +40 +d9 +40 +f4 +20 +2f +30 +d0 +30 +09 +50 +0c +20 +da +10 +81 +10 +ec +10 +8b +30 +5c +70 +f4 +40 +20 +80 +d5 +40 +91 +60 +a2 +20 +6b +20 +2c +30 +cd +50 +76 +20 +6a +30 +86 +20 +49 +40 +1d +20 +2c +20 +38 +10 +2a +10 +b1 +00 +d2 +00 +a2 +00 +ee +00 +f6 +00 +74 +20 +76 +20 +5f +50 +56 +20 +0d +30 +7f +10 +27 +10 +a8 +00 +a2 +00 +89 +00 +d6 +00 +7a +00 +b0 +00 +a0 +00 +1e +10 +b8 +00 +16 +10 +c1 +00 +dc +00 +eb +00 +a6 +20 +0c +20 +af +20 +f8 +00 +0b +10 +b8 +00 +11 +10 +75 +10 +fa +20 +c6 +20 +b4 +30 +b5 +20 +1f +30 +60 +20 +a9 +20 +ec +10 +e5 +20 +9d +10 +0b +10 +7a +00 +71 +00 +36 +00 +66 +00 +30 +00 +51 +00 +2d +00 +41 +00 +20 +00 +47 +00 +2d +00 +35 +00 +23 +00 +3b +00 +20 +00 +39 +00 +24 +00 +43 +00 +29 +00 +4c +00 +2c +00 +3b +00 +25 +00 +45 +00 +39 +00 +78 +00 +d2 +00 +a2 +10 +e0 +00 +b4 +00 +a5 +00 +84 +00 +7a +00 +51 +00 +2f +00 +45 +00 +30 +00 +4c +00 +2e +00 +55 +00 +31 +00 +50 +00 +2b +00 +4b +00 +22 +00 +4a +00 +21 +00 +4d +00 +32 +00 +4c +00 +24 +00 +58 +00 +25 +00 +62 +00 +3e +00 +21 +10 +4f +00 +69 +00 +34 +00 +47 +00 +27 +00 +4c +00 +44 +00 +a6 +00 +80 +00 +e3 +00 +4f +00 +5a +00 +34 +00 +57 +00 +25 +00 +4f +00 +2b +00 +40 +00 +27 +00 +52 +00 +2a +00 +52 +00 +3b +00 +63 +00 +38 +00 +4d +00 +2d +00 +5c +00 +26 +00 +56 +00 +2e +00 +57 +00 +28 +00 +4f +00 +4b +00 +7b +00 +b6 +00 +8a +10 +5e +10 +56 +10 +d8 +00 +93 +00 +76 +00 +8b +00 +86 +00 +c9 +00 +a7 +00 +01 +10 +37 +10 +eb +20 +1b +10 +31 +10 +69 +10 +fe +30 +05 +20 +f9 +20 +90 +20 +69 +40 +0b +30 +ba +40 +e5 +40 +4a +90 +dc +40 +66 +60 +71 +20 +dc +10 +2d +10 +f9 +00 +bf +00 +d4 +00 +87 +00 +7f +00 +a1 +00 +dc +00 +d0 +00 +bc +00 +d0 +00 +e2 +00 +8d +00 +c1 +00 +06 +10 +3a +40 +0b +30 +f8 +50 +88 +10 +68 +10 +c5 +00 +a5 +00 +a2 +00 +ef +00 +89 +00 +ef +00 +74 +00 +77 +00 +3d +00 +65 +00 +3d +00 +00 +00 +00 +00 +3e +00 +ad +00 +45 +00 +a8 +00 +35 +00 +c7 +00 +a0 +00 +43 +30 +2a +10 +7c +30 +a9 +10 +89 +30 +8d +00 +2f +10 +59 +00 +ef +00 +7f +00 +a4 +20 +e7 +10 +73 +50 +b4 +10 +eb +30 +a4 +00 +46 +10 +47 +00 +b2 +00 +40 +00 +a6 +00 +34 +00 +96 +00 +2f +00 +93 +00 +36 +00 +94 +00 +3a +00 +cb +00 +c7 +00 +94 +10 +5a +00 +b1 +10 +e2 +00 +0e +20 +70 +00 +27 +10 +73 +00 +39 +20 +76 +10 +5e +40 +4d +10 +cd +30 +e2 +00 +30 +20 +da +00 +fe +10 +7f +00 +61 +20 +f1 +10 +c4 +60 +c9 +20 +15 +80 +a9 +20 +eb +60 +61 +20 +5b +60 +de +10 +31 +60 +72 +20 +19 +60 +01 +20 +41 +60 +3e +20 +6b +40 +8a +00 +1d +10 +47 +00 +b5 +00 +33 +00 +a7 +00 +30 +00 +9b +00 +33 +00 +a2 +00 +3d +00 +95 +00 +34 +00 +b5 +00 +39 +00 +c9 +00 +32 +00 +7d +00 +2e +00 +70 +00 +23 +00 +72 +00 +23 +00 +65 +00 +25 +00 +6d +00 +27 +00 +6a +00 +25 +00 +5b +00 +24 +00 +5b +00 +17 +00 +5d +00 +18 +00 +52 +00 +21 +00 +58 +00 +20 +00 +5c +00 +1a +00 +5a +00 +23 +00 +59 +00 +2d +00 +72 +00 +32 +00 +84 +00 +46 +00 +f4 +00 +34 +00 +79 +00 +2a +00 +78 +00 +28 +00 +6a +00 +29 +00 +80 +00 +31 +00 +6b +00 +17 +00 +48 +00 +1e +00 +55 +00 +1b +00 +66 +00 +40 +00 +81 +10 +51 +00 +7e +00 +1f +00 +5a +00 +1c +00 +40 +00 +1e +00 +49 +00 +1f +00 +52 +00 +1f +00 +57 +00 +23 +00 +51 +00 +1f +00 +5c +00 +1d +00 +51 +00 +19 +00 +3e +00 +15 +00 +49 +00 +25 +00 +4e +00 +1d +00 +66 +00 +2c +00 +65 +00 +2b +00 +5a +00 +24 +00 +58 +00 +28 +00 +76 +00 +23 +00 +5f +00 +1c +00 +52 +00 +23 +00 +54 +00 +27 +00 +68 +00 +23 +00 +67 +00 +28 +00 +58 +00 +1e +00 +55 +00 +20 +00 +4e +00 +1b +00 +58 +00 +20 +00 +54 +00 +1a +00 +5a +00 +1f +00 +57 +00 +1e +00 +53 +00 +20 +00 +5c +00 +1c +00 +45 +00 +1b +00 +4f +00 +22 +00 +43 +00 +1f +00 +56 +00 +21 +00 +51 +00 +23 +00 +59 +00 +1b +00 +5c +00 +22 +00 +4b +00 +28 +00 +7a +00 +2a +00 +6a +00 +29 +00 +6e +00 +25 +00 +96 +00 +63 +00 +d5 +00 +49 +00 +a3 +00 +38 +00 +95 +00 +32 +00 +88 +00 +45 +00 +c4 +00 +4b +00 +d4 +00 +3e +00 +d1 +00 +a8 +00 +90 +20 +d3 +00 +a9 +10 +7d +00 +1a +10 +51 +00 +e7 +00 +52 +00 +ca +00 +58 +00 +df +00 +64 +00 +fb +00 +6e +00 +6c +10 +c6 +00 +46 +40 +ec +20 +07 +90 +9c +30 +6a +a0 +dd +30 +98 +a0 +c8 +30 +a1 +a0 +e8 +30 +b2 +a0 +e7 +30 +b1 +a0 +b1 +30 +7d +a0 +b5 +30 +99 +a0 +cb +30 +8e +a0 +e4 +30 +e0 +a0 +e2 +30 +d4 +a0 +c7 +30 +91 +a0 +a0 +30 +a0 +a0 +b4 +30 +f6 +70 +6b +10 +ae +30 +e4 +10 +09 +70 +ea +10 +f5 +20 +9e +00 +9b +10 +1c +10 +fe +50 +0e +30 +bf +80 +65 +30 +93 +80 +b1 +20 +11 +50 +8d +10 +0a +50 +36 +10 +e6 +20 +df +00 +e0 +20 +bc +00 +26 +20 +88 +00 +55 +10 +5b +00 +c9 +00 +4a +00 +c4 +00 +41 +00 +13 +10 +97 +00 +b4 +20 +f7 +10 +a6 +50 +36 +10 +e6 +10 +66 +00 +f7 +00 +42 +00 +a4 +00 +39 +00 +a1 +00 +2a +00 +b7 +00 +55 +00 +ec +00 +46 +00 +cf +00 +3f +00 +d7 +00 +c5 +00 +78 +30 +f5 +00 +87 +10 +3e +00 +cd +00 +60 +00 +21 +20 +1f +20 +98 +60 +38 +20 +46 +50 +97 +20 +56 +60 +7c +10 +28 +40 +8f +10 +5f +30 +63 +00 +b6 +00 +2e +00 +6d +00 +2d +00 +69 +00 +20 +00 +48 +00 +13 +00 +47 +00 +1c +00 +42 +00 +1c +00 +3d +00 +14 +00 +3e +00 +19 +00 +3d +00 +10 +00 +3d +00 +1c +00 +44 +00 +1c +00 +47 +00 +18 +00 +50 +00 +47 +00 +86 +10 +0c +10 +9b +10 +5d +00 +23 +20 +9f +00 +c5 +00 +24 +00 +47 +00 +16 +00 +5c +00 +1e +00 +4e +00 +21 +00 +4d +00 +1c +00 +51 +00 +24 +00 +4b +00 +1e +00 +44 +00 +22 +00 +52 +00 +18 +00 +4f +00 +1c +00 +4b +00 +26 +00 +61 +00 +30 +00 +6e +00 +2e +00 +5d +00 +1e +00 +4d +00 +1e +00 +4c +00 +30 +00 +91 +00 +36 +00 +7f +00 +38 +00 +7e +00 +25 +00 +4e +00 +1d +00 +45 +00 +1f +00 +43 +00 +1a +00 +43 +00 +1e +00 +8a +00 +5f +00 +6a +00 +19 +00 +4e +00 +28 +00 +54 +00 +20 +00 +54 +00 +1c +00 +52 +00 +20 +00 +62 +00 +2a +00 +91 +00 +8d +00 +7a +30 +c2 +00 +f5 +00 +36 +00 +7a +00 +2d +00 +95 +00 +33 +00 +b4 +00 +4d +00 +04 +20 +27 +10 +a1 +10 +63 +00 +7d +10 +a4 +00 +24 +20 +c1 +00 +6b +30 +28 +10 +d9 +30 +b8 +20 +47 +80 +1a +30 +15 +60 +1f +10 +65 +20 +79 +00 +25 +10 +5b +00 +d8 +00 +43 +00 +9a +00 +40 +00 +25 +10 +1b +10 +e8 +10 +93 +00 +28 +10 +52 +00 +c4 +00 +3f +00 +07 +10 +6a +10 +cb +40 +24 +10 +23 +20 +60 +00 +5c +10 +9d +00 +05 +10 +4d +00 +00 +10 +4a +00 +93 +00 +2a +00 +6d +00 +26 +00 +55 +00 +00 +00 +00 +00 +be +00 +6b +00 +a6 +00 +68 +00 +a2 +00 +fc +00 +13 +20 +1a +20 +3e +30 +74 +20 +35 +40 +c5 +10 +b3 +10 +ce +00 +c7 +00 +aa +00 +f7 +00 +7b +10 +a0 +30 +e4 +20 +b3 +50 +3a +20 +53 +20 +cb +00 +b4 +00 +84 +00 +ab +00 +7b +00 +ac +00 +6c +00 +7f +00 +68 +00 +c1 +00 +7c +00 +a0 +00 +b5 +00 +0c +20 +de +00 +20 +10 +3e +10 +14 +20 +4c +10 +5d +10 +55 +10 +e6 +10 +81 +20 +0c +40 +ac +20 +9a +30 +9f +20 +6d +30 +c1 +10 +d2 +10 +14 +10 +48 +10 +c0 +10 +a9 +30 +5e +30 +3e +70 +ec +30 +7b +50 +b1 +20 +a7 +30 +0c +30 +d9 +50 +81 +40 +61 +70 +c0 +40 +65 +70 +5b +40 +c8 +60 +e2 +20 +fb +30 +23 +10 +d1 +00 +7f +00 +96 +00 +77 +00 +95 +00 +95 +00 +a6 +00 +b8 +00 +b8 +00 +8c +00 +97 +00 +88 +00 +b1 +00 +7f +00 +93 +00 +55 +00 +7c +00 +3b +00 +6c +00 +38 +00 +74 +00 +42 +00 +74 +00 +46 +00 +6d +00 +3f +00 +6b +00 +42 +00 +67 +00 +34 +00 +59 +00 +29 +00 +5a +00 +3a +00 +5b +00 +32 +00 +50 +00 +33 +00 +61 +00 +3d +00 +70 +00 +3c +00 +88 +00 +61 +00 +d8 +00 +36 +10 +84 +10 +6c +10 +27 +10 +ab +00 +7a +00 +4c +00 +59 +00 +4c +00 +62 +00 +58 +00 +07 +10 +5e +00 +6b +00 +37 +00 +58 +00 +36 +00 +65 +00 +49 +00 +86 +00 +7d +00 +3f +10 +6c +00 +59 +00 +38 +00 +52 +00 +2e +00 +41 +00 +21 +00 +4b +00 +2f +00 +49 +00 +2b +00 +67 +00 +32 +00 +54 +00 +36 +00 +54 +00 +33 +00 +47 +00 +2a +00 +3d +00 +29 +00 +52 +00 +31 +00 +4d +00 +34 +00 +6a +00 +31 +00 +62 +00 +36 +00 +55 +00 +35 +00 +69 +00 +2c +00 +56 +00 +32 +00 +54 +00 +2c +00 +5a +00 +41 +00 +5d +00 +3d +00 +5a +00 +3d +00 +67 +00 +3d +00 +53 +00 +4a +00 +5f +00 +3e +00 +51 +00 +2f +00 +69 +00 +36 +00 +55 +00 +3c +00 +63 +00 +38 +00 +59 +00 +39 +00 +56 +00 +2c +00 +57 +00 +33 +00 +4d +00 +29 +00 +4a +00 +29 +00 +47 +00 +29 +00 +50 +00 +3c +00 +50 +00 +31 +00 +55 +00 +2f +00 +58 +00 +2b +00 +4c +00 +34 +00 +63 +00 +3b +00 +5d +00 +38 +00 +59 +00 +48 +00 +79 +00 +4d +00 +a3 +00 +55 +00 +8a +00 +55 +00 +84 +00 +6a +00 +a3 +00 +75 +00 +b8 +00 +79 +00 +b1 +00 +83 +00 +e7 +00 +b6 +00 +68 +10 +d2 +00 +2f +10 +ae +00 +d9 +00 +88 +00 +d2 +00 +8d +00 +d7 +00 +a3 +00 +00 +10 +d9 +00 +f9 +00 +5d +10 +16 +20 +64 +40 +56 +80 +f7 +50 +51 +a0 +14 +70 +e9 +a0 +30 +70 +a8 +a0 +1e +70 +a5 +a0 +84 +70 +ae +a0 +5a +70 +3c +a0 +54 +70 +65 +a0 +22 +70 +75 +a0 +0d +70 +e4 +a0 +50 +70 +d9 +a0 +67 +70 +9a +a0 +15 +70 +44 +a0 +04 +70 +6f +a0 +fc +50 +a0 +60 +27 +50 +4b +70 +3f +50 +4d +70 +af +20 +58 +20 +c4 +10 +72 +30 +0e +40 +15 +80 +a4 +40 +9a +80 +36 +40 +9f +60 +94 +20 +cc +30 +0b +20 +69 +20 +c8 +10 +c4 +20 +4f +30 +ad +30 +bb +20 +18 +20 +5f +10 +fc +00 +f9 +00 +83 +10 +04 +10 +76 +10 +d6 +00 +2c +10 +3a +10 +5d +30 +cc +20 +d2 +40 +55 +10 +26 +10 +a1 +00 +ac +00 +5a +00 +94 +00 +6c +00 +a5 +00 +7c +00 +a5 +00 +8a +00 +a0 +00 +75 +00 +9d +00 +96 +00 +15 +10 +77 +10 +c7 +30 +4d +10 +1a +10 +b3 +00 +dc +00 +8e +10 +64 +50 +95 +30 +5e +70 +a2 +30 +0f +60 +74 +20 +dd +20 +82 +10 +55 +20 +fb +00 +2c +10 +82 +00 +87 +00 +51 +00 +69 +00 +36 +00 +5b +00 +2f +00 +4b +00 +30 +00 +49 +00 +2a +00 +4b +00 +28 +00 +42 +00 +24 +00 +3a +00 +28 +00 +41 +00 +28 +00 +3f +00 +1c +00 +45 +00 +22 +00 +52 +00 +34 +00 +67 +00 +57 +00 +d2 +00 +88 +00 +5a +10 +db +10 +96 +30 +d5 +00 +91 +00 +56 +00 +44 +00 +35 +00 +50 +00 +26 +00 +4a +00 +33 +00 +4c +00 +2e +00 +5c +00 +28 +00 +50 +00 +30 +00 +57 +00 +2a +00 +48 +00 +26 +00 +55 +00 +2d +00 +4d +00 +2f +00 +6f +00 +2e +00 +5c +00 +35 +00 +4f +00 +2b +00 +40 +00 +3b +00 +67 +00 +37 +00 +78 +00 +3f +00 +89 +00 +45 +00 +5c +00 +2d +00 +44 +00 +23 +00 +4d +00 +29 +00 +49 +00 +24 +00 +4f +00 +36 +00 +60 +00 +33 +00 +59 +00 +32 +00 +4b +00 +31 +00 +4d +00 +29 +00 +59 +00 +29 +00 +4d +00 +3e +00 +69 +00 +6d +00 +9e +00 +9e +00 +c0 +10 +8e +00 +87 +00 +62 +00 +79 +00 +75 +00 +84 +00 +7a +00 +b4 +00 +06 +10 +0f +30 +a7 +10 +57 +10 +0c +10 +44 +10 +19 +10 +93 +10 +f4 +10 +3e +30 +67 +20 +7e +40 +09 +30 +21 +40 +4f +20 +7c +20 +6e +10 +48 +10 +1b +10 +19 +20 +4c +10 +ef +00 +e4 +00 +d6 +00 +29 +20 +fd +50 +7c +30 +c9 +50 +7f +20 +52 +10 +f7 +00 +d6 +00 +02 +10 +21 +20 +c0 +10 +cc +20 +a1 +10 +38 +10 +1f +10 +82 +20 +38 +10 +39 +10 +ba +00 +98 +00 +5d +00 +7b +00 +4c +00 +8a +00 +3e +00 +00 +00 +00 +00 +3c +00 +bf +00 +41 +00 +ab +00 +49 +00 +19 +10 +bd +00 +e2 +20 +0d +10 +61 +30 +33 +10 +69 +20 +53 +00 +dc +00 +38 +00 +b6 +00 +4a +00 +80 +10 +a9 +00 +a6 +20 +c1 +10 +7e +30 +96 +00 +0a +10 +44 +00 +ae +00 +3f +00 +c6 +00 +49 +00 +97 +00 +38 +00 +a6 +00 +47 +00 +cf +00 +42 +00 +f6 +00 +a5 +00 +57 +10 +5a +00 +87 +10 +06 +10 +4d +20 +88 +00 +f1 +10 +27 +10 +b3 +30 +cb +10 +85 +40 +a3 +10 +43 +40 +e4 +00 +78 +20 +91 +00 +75 +10 +5e +00 +bb +10 +cd +00 +35 +30 +e9 +10 +6d +50 +03 +10 +a4 +20 +d4 +00 +30 +30 +50 +20 +ed +60 +bb +20 +d5 +70 +c0 +20 +9a +70 +43 +20 +a5 +40 +d7 +00 +b4 +10 +4f +00 +ab +00 +42 +00 +9c +00 +3b +00 +ce +00 +86 +00 +ab +10 +62 +00 +cb +00 +39 +00 +a5 +00 +37 +00 +a8 +00 +28 +00 +81 +00 +32 +00 +6c +00 +25 +00 +5d +00 +2c +00 +5a +00 +28 +00 +75 +00 +21 +00 +64 +00 +28 +00 +6b +00 +1e +00 +62 +00 +22 +00 +58 +00 +1f +00 +5b +00 +20 +00 +56 +00 +1c +00 +5d +00 +25 +00 +58 +00 +28 +00 +69 +00 +3f +00 +8e +00 +61 +00 +90 +20 +8c +10 +16 +40 +8c +00 +e9 +00 +2b +00 +6d +00 +26 +00 +6b +00 +24 +00 +69 +00 +2f +00 +71 +00 +22 +00 +59 +00 +21 +00 +5c +00 +22 +00 +69 +00 +2e +00 +8f +00 +2e +00 +86 +00 +20 +00 +45 +00 +15 +00 +54 +00 +1e +00 +47 +00 +11 +00 +54 +00 +1a +00 +58 +00 +27 +00 +59 +00 +25 +00 +50 +00 +1b +00 +43 +00 +18 +00 +38 +00 +19 +00 +44 +00 +1e +00 +50 +00 +19 +00 +56 +00 +21 +00 +67 +00 +1f +00 +5e +00 +24 +00 +5d +00 +1f +00 +5c +00 +1f +00 +56 +00 +21 +00 +60 +00 +25 +00 +65 +00 +29 +00 +58 +00 +28 +00 +65 +00 +29 +00 +75 +00 +2a +00 +8d +00 +31 +00 +5a +00 +1b +00 +5a +00 +26 +00 +63 +00 +24 +00 +61 +00 +24 +00 +59 +00 +21 +00 +5c +00 +27 +00 +59 +00 +23 +00 +57 +00 +20 +00 +45 +00 +21 +00 +4a +00 +1d +00 +4a +00 +21 +00 +53 +00 +19 +00 +4d +00 +1d +00 +5b +00 +2a +00 +58 +00 +1f +00 +50 +00 +26 +00 +51 +00 +28 +00 +5c +00 +25 +00 +6a +00 +23 +00 +76 +00 +21 +00 +87 +00 +35 +00 +90 +00 +31 +00 +98 +00 +4b +00 +d0 +00 +52 +00 +ce +00 +4f +00 +a6 +00 +4b +00 +10 +10 +64 +00 +28 +10 +50 +00 +d3 +00 +4c +00 +b4 +00 +4e +00 +e2 +00 +88 +00 +41 +10 +5c +00 +1f +10 +85 +00 +7c +10 +20 +10 +50 +60 +6d +30 +a8 +90 +bc +30 +a1 +a0 +ff +30 +fe +a0 +e0 +30 +92 +a0 +b7 +30 +90 +a0 +df +30 +ad +a0 +e0 +30 +5b +a0 +cc +30 +7e +a0 +dd +30 +c3 +a0 +ee +30 +c6 +a0 +1d +40 +24 +b0 +e7 +30 +a3 +a0 +e3 +30 +86 +a0 +c0 +30 +05 +a0 +63 +30 +1e +90 +3d +30 +e2 +80 +23 +30 +ba +50 +ca +00 +00 +20 +05 +10 +f7 +40 +2a +30 +e3 +70 +ef +10 +1f +50 +3a +10 +fa +20 +ce +00 +1a +20 +ab +00 +f0 +10 +62 +10 +6a +50 +3c +20 +70 +60 +47 +10 +05 +20 +66 +00 +14 +10 +bf +00 +e7 +10 +8b +00 +0d +10 +51 +00 +23 +10 +c8 +00 +48 +30 +0a +10 +46 +20 +8b +00 +4a +10 +3e +00 +a8 +00 +3d +00 +a7 +00 +30 +00 +93 +00 +37 +00 +95 +00 +39 +00 +96 +00 +2e +00 +a7 +00 +53 +00 +f7 +10 +0d +10 +87 +20 +5c +00 +cf +00 +46 +00 +5f +10 +f7 +10 +4b +60 +8e +20 +54 +50 +59 +10 +a7 +20 +a0 +00 +ae +10 +74 +00 +2a +10 +52 +00 +b6 +00 +2d +00 +8b +00 +32 +00 +65 +00 +24 +00 +54 +00 +22 +00 +4f +00 +19 +00 +3e +00 +1a +00 +49 +00 +23 +00 +4e +00 +11 +00 +37 +00 +16 +00 +33 +00 +17 +00 +36 +00 +19 +00 +3b +00 +18 +00 +4b +00 +1e +00 +7e +00 +38 +00 +c7 +00 +a8 +00 +db +20 +3f +10 +9c +10 +38 +00 +78 +00 +23 +00 +49 +00 +19 +00 +4e +00 +1d +00 +4b +00 +27 +00 +60 +00 +2a +00 +5c +00 +1e +00 +4c +00 +1e +00 +4e +00 +1d +00 +57 +00 +2c +00 +4d +00 +1b +00 +53 +00 +2d +00 +65 +00 +20 +00 +4d +00 +1d +00 +51 +00 +1c +00 +48 +00 +20 +00 +56 +00 +22 +00 +5f +00 +2c +00 +50 +00 +18 +00 +4f +00 +18 +00 +4b +00 +1e +00 +53 +00 +1e +00 +45 +00 +23 +00 +57 +00 +20 +00 +53 +00 +22 +00 +46 +00 +1a +00 +4c +00 +23 +00 +46 +00 +1a +00 +4c +00 +19 +00 +47 +00 +2b +00 +69 +00 +29 +00 +aa +00 +51 +00 +b4 +00 +35 +00 +8b +00 +37 +00 +95 +00 +2f +00 +8a +00 +3e +00 +3c +10 +e8 +10 +db +40 +8e +00 +15 +10 +4c +00 +ff +00 +96 +00 +88 +20 +f3 +00 +88 +20 +27 +10 +c9 +40 +12 +10 +4e +20 +6e +00 +39 +10 +65 +00 +24 +10 +b3 +10 +52 +40 +a5 +00 +03 +10 +6d +00 +e0 +30 +15 +30 +62 +80 +14 +30 +d3 +40 +9e +00 +b5 +10 +4e +00 +25 +10 +9a +00 +7c +30 +e5 +10 +d2 +20 +61 +00 +ed +00 +81 +00 +7f +20 +f1 +00 +01 +10 +30 +00 +84 +00 +2c +00 +7c +00 +3c +00 +72 +00 +00 +00 +00 +00 +b3 +00 +73 +00 +a9 +00 +92 +00 +4c +10 +25 +10 +e0 +10 +65 +10 +3f +20 +fb +10 +e9 +20 +2f +10 +1c +10 +84 +00 +a4 +00 +77 +00 +b8 +00 +c9 +00 +49 +10 +36 +10 +48 +20 +2e +10 +76 +10 +be +00 +d5 +00 +53 +10 +d6 +10 +0f +10 +e6 +00 +af +00 +af +00 +e2 +00 +1d +10 +82 +00 +a7 +00 +ad +00 +05 +20 +4a +10 +46 +10 +f5 +10 +60 +30 +6a +20 +6e +30 +9e +10 +30 +20 +ba +20 +4b +50 +d5 +20 +8d +40 +c0 +10 +02 +20 +11 +10 +3d +10 +fd +00 +00 +10 +06 +10 +68 +10 +c4 +10 +03 +30 +09 +20 +b5 +20 +dd +10 +71 +20 +5f +30 +ab +50 +5b +40 +86 +70 +5d +40 +9c +70 +e9 +30 +38 +50 +3e +20 +0a +20 +1c +10 +e6 +00 +92 +00 +a4 +00 +75 +00 +fa +00 +54 +10 +f7 +20 +64 +20 +0f +30 +24 +10 +04 +10 +88 +10 +a2 +10 +a9 +00 +9c +00 +59 +00 +7c +00 +43 +00 +6a +00 +3d +00 +65 +00 +40 +00 +75 +00 +40 +00 +64 +00 +3b +00 +72 +00 +33 +00 +62 +00 +35 +00 +62 +00 +30 +00 +5b +00 +33 +00 +5c +00 +2b +00 +5c +00 +35 +00 +57 +00 +43 +00 +5c +00 +42 +00 +75 +00 +4f +00 +93 +00 +90 +10 +e6 +40 +33 +30 +26 +30 +45 +10 +a6 +00 +58 +00 +6b +00 +42 +00 +63 +00 +38 +00 +6f +00 +35 +00 +62 +00 +32 +00 +55 +00 +38 +00 +5b +00 +3e +00 +8e +00 +56 +00 +79 +00 +4f +00 +62 +00 +44 +00 +55 +00 +3f +00 +50 +00 +23 +00 +51 +00 +2b +00 +48 +00 +3e +00 +6a +00 +35 +00 +53 +00 +33 +00 +41 +00 +29 +00 +42 +00 +28 +00 +3a +00 +2a +00 +4e +00 +33 +00 +53 +00 +34 +00 +56 +00 +31 +00 +5c +00 +29 +00 +51 +00 +3b +00 +61 +00 +30 +00 +63 +00 +31 +00 +61 +00 +31 +00 +5e +00 +37 +00 +60 +00 +37 +00 +6e +00 +39 +00 +63 +00 +7a +00 +b1 +10 +30 +10 +d1 +00 +5f +00 +69 +00 +33 +00 +57 +00 +60 +00 +74 +10 +68 +00 +6d +00 +2e +00 +54 +00 +32 +00 +58 +00 +32 +00 +59 +00 +36 +00 +4d +00 +35 +00 +4a +00 +2c +00 +4c +00 +31 +00 +49 +00 +31 +00 +45 +00 +32 +00 +59 +00 +7b +00 +c0 +00 +49 +00 +57 +00 +36 +00 +52 +00 +2f +00 +5b +00 +34 +00 +5b +00 +34 +00 +70 +00 +6b +00 +87 +00 +78 +00 +8b +00 +7e +00 +aa +00 +d2 +00 +f6 +00 +32 +10 +47 +10 +7d +00 +aa +00 +64 +00 +af +00 +8f +00 +fe +00 +8f +00 +d3 +00 +79 +00 +ab +00 +7f +00 +b5 +00 +de +00 +8d +20 +27 +10 +35 +10 +ff +10 +7d +40 +08 +30 +c3 +20 +27 +40 +9e +80 +2f +60 +3d +a0 +46 +70 +f3 +a0 +60 +70 +c2 +a0 +44 +70 +c4 +a0 +74 +70 +e3 +a0 +5f +70 +bb +a0 +4d +70 +a4 +a0 +6f +70 +b3 +a0 +56 +70 +8f +a0 +51 +70 +ff +a0 +42 +70 +b1 +a0 +62 +70 +ae +a0 +1b +70 +a6 +a0 +dd +60 +32 +a0 +69 +60 +ab +90 +3d +60 +61 +90 +ea +40 +50 +40 +49 +20 +26 +20 +15 +30 +06 +70 +d1 +30 +5c +40 +d2 +20 +bb +30 +fc +20 +fb +30 +00 +20 +b2 +10 +bb +10 +8f +20 +36 +20 +ec +50 +4a +40 +6c +70 +cb +20 +c1 +10 +f8 +00 +0b +10 +9c +00 +e6 +00 +af +00 +d5 +00 +cb +00 +2a +10 +f4 +00 +7c +10 +ec +00 +2b +10 +a5 +00 +d1 +00 +79 +00 +a7 +00 +95 +00 +ac +00 +64 +00 +90 +00 +45 +00 +95 +00 +5a +00 +84 +00 +68 +00 +af +00 +db +00 +6d +20 +cb +00 +21 +10 +a4 +00 +ba +00 +f1 +00 +b0 +10 +18 +20 +e2 +50 +6a +20 +16 +30 +e1 +10 +28 +20 +25 +10 +0d +10 +e2 +00 +bb +00 +8b +00 +86 +00 +53 +00 +87 +00 +3d +00 +64 +00 +2f +00 +48 +00 +29 +00 +43 +00 +25 +00 +47 +00 +24 +00 +5a +00 +31 +00 +4f +00 +2a +00 +36 +00 +25 +00 +35 +00 +24 +00 +38 +00 +22 +00 +3b +00 +2f +00 +4f +00 +4e +00 +7f +00 +76 +00 +f1 +00 +e7 +00 +85 +20 +82 +10 +80 +10 +a0 +00 +5f +00 +39 +00 +49 +00 +3c +00 +5d +00 +49 +00 +82 +00 +2c +00 +55 +00 +27 +00 +4b +00 +28 +00 +40 +00 +2a +00 +45 +00 +27 +00 +50 +00 +2b +00 +42 +00 +2b +00 +55 +00 +34 +00 +54 +00 +2a +00 +4c +00 +2b +00 +43 +00 +2d +00 +53 +00 +2c +00 +46 +00 +25 +00 +56 +00 +27 +00 +4e +00 +24 +00 +43 +00 +29 +00 +50 +00 +37 +00 +5f +00 +31 +00 +43 +00 +2b +00 +53 +00 +45 +00 +4a +00 +5d +00 +4e +00 +3c +00 +53 +00 +2c +00 +4e +00 +2d +00 +53 +00 +33 +00 +71 +00 +44 +00 +71 +00 +53 +00 +88 +00 +62 +00 +a1 +00 +44 +10 +42 +20 +c3 +00 +96 +00 +7a +00 +ba +00 +f2 +00 +ec +20 +19 +10 +e8 +10 +d9 +00 +ba +00 +94 +00 +08 +10 +d0 +00 +97 +10 +21 +10 +cb +10 +5f +10 +31 +20 +4e +10 +41 +10 +f2 +00 +15 +10 +f9 +00 +c6 +20 +32 +20 +9e +40 +73 +10 +60 +10 +4f +30 +3e +80 +46 +50 +8d +90 +2e +40 +fa +20 +58 +20 +bc +20 +38 +10 +2c +10 +0a +20 +94 +60 +41 +30 +23 +30 +59 +10 +1b +10 +0d +10 +a8 +20 +d5 +00 +d5 +00 +6a +00 +75 +00 +4e +00 +6b +00 +53 +00 +00 +00 +00 +00 +43 +00 +ae +00 +42 +00 +bd +00 +b1 +00 +18 +20 +78 +00 +66 +10 +1b +10 +08 +40 +d3 +00 +81 +10 +49 +00 +b0 +00 +3d +00 +ad +00 +45 +00 +c0 +00 +57 +00 +39 +10 +74 +00 +35 +10 +4c +00 +d7 +00 +7e +00 +91 +20 +22 +10 +25 +30 +7a +00 +f9 +00 +7d +00 +f0 +10 +6f +00 +fa +00 +45 +00 +b6 +00 +77 +00 +d8 +10 +ee +00 +b1 +30 +b9 +10 +ff +40 +1e +10 +02 +20 +0e +10 +be +40 +ac +10 +ec +30 +27 +10 +72 +20 +6d +00 +4c +10 +84 +00 +0a +20 +69 +00 +ef +00 +59 +00 +60 +10 +eb +00 +38 +30 +98 +00 +98 +10 +2b +10 +50 +50 +54 +20 +e0 +60 +55 +20 +a6 +50 +d3 +10 +fb +40 +ab +10 +fd +30 +e0 +00 +f3 +10 +5b +00 +bc +00 +38 +00 +98 +00 +74 +00 +ef +10 +63 +10 +47 +40 +2d +10 +db +10 +05 +10 +82 +40 +74 +10 +11 +20 +4b +00 +8c +00 +27 +00 +72 +00 +1f +00 +60 +00 +27 +00 +62 +00 +28 +00 +67 +00 +27 +00 +61 +00 +27 +00 +57 +00 +20 +00 +5b +00 +25 +00 +5c +00 +24 +00 +5b +00 +21 +00 +4c +00 +28 +00 +63 +00 +20 +00 +6c +00 +2a +00 +81 +00 +29 +00 +67 +00 +32 +00 +5a +10 +fa +10 +96 +60 +b0 +10 +ee +20 +3f +00 +87 +00 +27 +00 +66 +00 +26 +00 +56 +00 +1f +00 +63 +00 +21 +00 +6a +00 +28 +00 +5a +00 +29 +00 +65 +00 +28 +00 +73 +00 +4e +00 +c1 +00 +21 +00 +80 +00 +2b +00 +4e +00 +1e +00 +42 +00 +22 +00 +46 +00 +28 +00 +6b +00 +20 +00 +56 +00 +20 +00 +45 +00 +1d +00 +46 +00 +1c +00 +35 +00 +23 +00 +46 +00 +1e +00 +51 +00 +26 +00 +57 +00 +27 +00 +5e +00 +22 +00 +54 +00 +22 +00 +65 +00 +2a +00 +6a +00 +23 +00 +5e +00 +23 +00 +67 +00 +26 +00 +6c +00 +24 +00 +74 +00 +22 +00 +63 +00 +22 +00 +91 +00 +08 +10 +49 +30 +7e +00 +ba +00 +29 +00 +67 +00 +25 +00 +7a +00 +6f +00 +e3 +00 +28 +00 +57 +00 +21 +00 +58 +00 +1c +00 +5e +00 +28 +00 +59 +00 +1f +00 +48 +00 +1c +00 +43 +00 +1c +00 +4a +00 +1c +00 +47 +00 +22 +00 +4c +00 +22 +00 +e6 +00 +90 +00 +c1 +00 +28 +00 +53 +00 +1f +00 +55 +00 +29 +00 +5f +00 +1f +00 +68 +00 +33 +00 +cf +00 +b2 +00 +22 +10 +3f +00 +c7 +00 +0f +10 +dd +20 +8f +00 +b2 +10 +6d +00 +dc +00 +4b +00 +a1 +00 +3e +00 +b3 +00 +48 +00 +c0 +00 +44 +00 +bd +00 +39 +00 +c8 +00 +54 +00 +44 +10 +a9 +00 +7f +10 +ce +00 +6b +30 +51 +20 +b7 +60 +85 +10 +28 +50 +45 +30 +b1 +90 +bd +30 +bb +a0 +eb +30 +ca +a0 +e0 +30 +84 +a0 +cd +30 +97 +a0 +d2 +30 +b5 +a0 +da +30 +b9 +a0 +c3 +30 +da +a0 +ec +30 +d5 +a0 +ff +30 +fa +a0 +f9 +30 +cf +a0 +f4 +30 +94 +a0 +e2 +30 +84 +a0 +bc +30 +76 +a0 +a5 +30 +1f +a0 +b1 +30 +4a +a0 +b1 +30 +58 +90 +2b +20 +f8 +30 +28 +10 +90 +30 +83 +20 +95 +60 +32 +10 +6d +30 +92 +10 +34 +50 +ee +10 +5d +30 +b0 +00 +80 +20 +ac +00 +15 +20 +0a +20 +8d +70 +db +20 +33 +50 +ac +00 +52 +10 +59 +00 +e7 +00 +4d +00 +cd +00 +47 +00 +bd +00 +55 +00 +2a +10 +63 +00 +06 +10 +5d +00 +bc +00 +41 +00 +b9 +00 +9c +00 +5c +20 +5a +00 +a5 +00 +34 +00 +8e +00 +32 +00 +87 +00 +3e +00 +87 +00 +3b +00 +da +00 +78 +00 +2f +10 +61 +00 +e1 +00 +40 +00 +d4 +00 +75 +00 +39 +20 +fa +00 +82 +20 +a4 +00 +1f +20 +b5 +00 +66 +10 +8b +00 +89 +10 +4b +00 +d3 +00 +38 +00 +86 +00 +30 +00 +69 +00 +2c +00 +64 +00 +23 +00 +4d +00 +20 +00 +4d +00 +1d +00 +50 +00 +1c +00 +4e +00 +20 +00 +44 +00 +1c +00 +38 +00 +13 +00 +3a +00 +1a +00 +38 +00 +17 +00 +4a +00 +22 +00 +5b +00 +21 +00 +8a +00 +3c +00 +f7 +00 +b3 +00 +6a +30 +b0 +00 +f6 +00 +22 +00 +60 +00 +1e +00 +57 +00 +27 +00 +76 +00 +33 +00 +59 +00 +1c +00 +44 +00 +19 +00 +4a +00 +1c +00 +54 +00 +21 +00 +48 +00 +1c +00 +54 +00 +1f +00 +50 +00 +26 +00 +5a +00 +1e +00 +50 +00 +1b +00 +50 +00 +1a +00 +49 +00 +1d +00 +47 +00 +1e +00 +4d +00 +24 +00 +5b +00 +21 +00 +4a +00 +1a +00 +43 +00 +23 +00 +6d +00 +27 +00 +5f +00 +25 +00 +47 +00 +1f +00 +76 +00 +59 +00 +b9 +00 +21 +00 +53 +00 +1f +00 +4e +00 +25 +00 +56 +00 +1f +00 +67 +00 +33 +00 +77 +00 +25 +00 +6f +00 +2b +00 +7c +00 +5d +00 +45 +30 +6e +10 +b3 +10 +32 +00 +8b +00 +4a +00 +32 +10 +85 +00 +71 +10 +6b +00 +f2 +00 +34 +00 +a6 +00 +54 +00 +22 +10 +7a +00 +2f +10 +6b +00 +4c +10 +61 +00 +21 +10 +66 +00 +1e +10 +04 +10 +fe +10 +ac +00 +ae +20 +1d +10 +ba +10 +aa +00 +99 +50 +85 +30 +c7 +90 +d7 +30 +38 +80 +50 +10 +90 +30 +3d +10 +96 +10 +4c +00 +d7 +10 +67 +20 +32 +70 +6e +10 +de +10 +66 +00 +67 +10 +02 +10 +96 +10 +43 +00 +99 +00 +30 +00 +8c +00 +38 +00 +72 +00 +00 +00 +00 +00 +a8 +00 +77 +00 +b1 +00 +a9 +00 +ce +10 +3a +10 +84 +10 +d8 +10 +48 +30 +d6 +10 +37 +30 +1d +10 +e9 +00 +6a +00 +89 +00 +6c +00 +8d +00 +9a +00 +d5 +00 +c1 +00 +02 +10 +bc +00 +cb +00 +85 +00 +e2 +00 +82 +10 +0c +40 +af +20 +06 +30 +b8 +10 +e3 +10 +d2 +10 +3a +20 +c0 +00 +b4 +00 +85 +00 +e5 +00 +2f +10 +01 +30 +39 +20 +b5 +40 +e1 +10 +46 +20 +41 +10 +b6 +20 +19 +20 +15 +40 +a7 +20 +cc +30 +54 +10 +5f +10 +e9 +00 +9e +10 +e0 +00 +9a +10 +de +00 +fa +00 +10 +10 +fa +10 +37 +10 +8d +10 +4f +10 +06 +20 +ad +20 +9f +50 +db +20 +e6 +30 +36 +20 +e0 +20 +53 +20 +f3 +30 +df +20 +e7 +40 +de +10 +ca +10 +8f +00 +a3 +00 +8c +00 +f4 +00 +1d +20 +70 +40 +10 +20 +a1 +20 +39 +10 +70 +20 +ba +10 +12 +30 +e9 +00 +e6 +00 +57 +00 +76 +00 +41 +00 +60 +00 +3c +00 +64 +00 +3c +00 +67 +00 +3a +00 +67 +00 +38 +00 +5e +00 +40 +00 +60 +00 +34 +00 +50 +00 +2f +00 +5b +00 +2c +00 +4e +00 +37 +00 +61 +00 +2e +00 +6b +00 +35 +00 +76 +00 +53 +00 +79 +00 +5d +00 +7d +00 +9a +10 +67 +40 +6d +30 +da +60 +0c +20 +81 +10 +82 +00 +7c +00 +47 +00 +70 +00 +3c +00 +70 +00 +36 +00 +60 +00 +47 +00 +61 +00 +35 +00 +5c +00 +36 +00 +58 +00 +3a +00 +73 +00 +44 +00 +6f +00 +39 +00 +58 +00 +2e +00 +48 +00 +25 +00 +51 +00 +35 +00 +74 +00 +42 +00 +60 +00 +30 +00 +4e +00 +2a +00 +45 +00 +27 +00 +4d +00 +2a +00 +4a +00 +34 +00 +58 +00 +33 +00 +56 +00 +33 +00 +51 +00 +32 +00 +57 +00 +37 +00 +52 +00 +2f +00 +68 +00 +30 +00 +5d +00 +38 +00 +70 +00 +45 +00 +6f +00 +43 +00 +78 +00 +41 +00 +72 +00 +3f +00 +8e +00 +80 +00 +f8 +00 +78 +00 +08 +10 +7b +00 +71 +00 +47 +00 +58 +00 +45 +00 +6f +00 +37 +00 +6b +00 +3e +00 +50 +00 +36 +00 +5c +00 +3c +00 +5c +00 +2e +00 +5a +00 +34 +00 +49 +00 +3a +00 +50 +00 +37 +00 +46 +00 +2e +00 +51 +00 +37 +00 +57 +00 +50 +00 +8e +00 +42 +00 +7b +00 +3a +00 +53 +00 +31 +00 +69 +00 +3e +00 +5e +00 +4a +00 +6e +00 +a0 +00 +f9 +20 +4e +10 +45 +10 +01 +10 +78 +20 +c1 +20 +fe +30 +23 +10 +00 +10 +8e +00 +a4 +00 +66 +00 +a5 +00 +95 +00 +c1 +00 +72 +00 +a9 +00 +9b +00 +27 +10 +c1 +10 +37 +30 +17 +20 +46 +20 +fa +20 +df +40 +46 +40 +98 +70 +ff +40 +98 +60 +26 +40 +7f +70 +19 +60 +44 +a0 +3e +70 +ca +a0 +70 +70 +96 +a0 +6c +70 +de +a0 +47 +70 +b0 +a0 +42 +70 +e0 +a0 +45 +70 +1b +b0 +7b +70 +bf +a0 +7c +70 +eb +a0 +79 +70 +b9 +a0 +30 +70 +cb +a0 +68 +70 +9e +a0 +5e +70 +90 +a0 +22 +70 +92 +a0 +f3 +60 +55 +a0 +a3 +60 +0d +a0 +e2 +40 +37 +80 +a6 +40 +24 +70 +74 +40 +c9 +60 +68 +40 +d4 +50 +98 +20 +65 +20 +e4 +20 +0a +60 +2b +30 +4c +40 +a2 +10 +8c +10 +ca +10 +44 +40 +3e +40 +76 +70 +6d +20 +64 +20 +24 +10 +0a +10 +d7 +00 +f5 +00 +c5 +00 +bf +00 +9c +00 +d0 +00 +b3 +00 +e7 +00 +a5 +00 +cc +00 +b9 +00 +0b +10 +7f +00 +29 +10 +b5 +00 +28 +10 +6f +00 +a6 +00 +5f +00 +84 +00 +47 +00 +89 +00 +5f +00 +82 +00 +86 +00 +cd +00 +96 +00 +1b +10 +d9 +00 +e1 +00 +e6 +00 +15 +10 +74 +10 +0d +20 +a1 +10 +a8 +10 +8c +10 +e1 +10 +f4 +10 +21 +40 +97 +20 +3e +20 +f3 +00 +b2 +00 +5d +00 +6e +00 +39 +00 +76 +00 +30 +00 +6b +00 +2d +00 +51 +00 +27 +00 +42 +00 +2b +00 +4c +00 +27 +00 +5b +00 +2e +00 +50 +00 +28 +00 +3e +00 +26 +00 +47 +00 +23 +00 +45 +00 +30 +00 +4f +00 +3b +00 +70 +00 +12 +10 +39 +10 +08 +10 +47 +10 +5a +10 +e2 +30 +ee +00 +9d +00 +73 +00 +e4 +00 +58 +00 +53 +00 +34 +00 +5c +00 +2b +00 +49 +00 +20 +00 +46 +00 +2e +00 +44 +00 +27 +00 +4f +00 +22 +00 +5e +00 +29 +00 +53 +00 +27 +00 +5b +00 +2d +00 +4d +00 +30 +00 +56 +00 +30 +00 +43 +00 +27 +00 +4f +00 +28 +00 +44 +00 +25 +00 +4b +00 +22 +00 +46 +00 +24 +00 +4d +00 +25 +00 +4f +00 +2c +00 +64 +00 +28 +00 +4b +00 +31 +00 +5e +00 +c0 +00 +15 +30 +ed +00 +b9 +00 +49 +00 +50 +00 +29 +00 +58 +00 +35 +00 +6d +00 +42 +00 +73 +00 +3d +00 +69 +00 +43 +00 +68 +00 +6d +00 +b6 +00 +36 +10 +b6 +40 +1e +10 +f2 +00 +b0 +00 +87 +10 +51 +10 +64 +10 +cf +00 +01 +10 +88 +00 +9f +00 +68 +00 +a9 +00 +82 +00 +d7 +00 +a9 +00 +ec +00 +c4 +00 +d6 +00 +9f +00 +f3 +00 +b6 +00 +b1 +10 +3a +10 +ee +10 +69 +10 +ae +20 +7f +10 +fa +10 +11 +40 +1f +90 +2a +60 +99 +a0 +8b +50 +e6 +40 +06 +30 +64 +20 +64 +10 +13 +10 +67 +10 +9d +20 +a2 +20 +f2 +40 +94 +10 +44 +10 +10 +10 +48 +20 +c7 +00 +e0 +00 +bd +00 +ab +10 +6f +10 +da +10 +7f +00 +00 +00 +00 +00 +3c +00 +ad +00 +3e +00 +ad +00 +bc +00 +a4 +20 +a8 +00 +5a +20 +37 +10 +89 +20 +87 +00 +60 +10 +45 +00 +b2 +00 +31 +00 +78 +00 +35 +00 +a9 +00 +3b +00 +c1 +00 +49 +00 +cf +00 +3e +00 +ac +00 +48 +00 +50 +10 +69 +10 +f1 +40 +84 +10 +ff +30 +22 +10 +5e +30 +b3 +00 +5b +10 +4d +00 +a8 +00 +3b +00 +64 +10 +0f +10 +79 +20 +d9 +00 +44 +20 +7f +00 +3a +10 +7f +00 +e2 +10 +df +00 +66 +30 +ce +00 +c4 +10 +56 +00 +d6 +00 +51 +00 +24 +10 +6f +00 +ed +00 +46 +00 +de +00 +61 +00 +3e +10 +5a +00 +f7 +00 +7c +00 +46 +20 +01 +10 +09 +30 +cf +00 +4b +20 +9e +00 +3a +20 +19 +10 +2e +40 +df +10 +80 +30 +7e +00 +f6 +00 +42 +00 +a5 +00 +8e +00 +bd +30 +ed +10 +d7 +30 +91 +00 +26 +10 +a3 +00 +05 +20 +8e +00 +27 +10 +2d +00 +83 +00 +27 +00 +73 +00 +2d +00 +59 +00 +21 +00 +62 +00 +22 +00 +62 +00 +24 +00 +60 +00 +26 +00 +67 +00 +20 +00 +5b +00 +1e +00 +5b +00 +20 +00 +5b +00 +20 +00 +62 +00 +27 +00 +65 +00 +22 +00 +70 +00 +26 +00 +81 +00 +24 +00 +75 +00 +3f +00 +a0 +10 +ff +10 +92 +60 +0a +20 +26 +30 +66 +00 +a8 +00 +2d +00 +66 +00 +24 +00 +6c +00 +1d +00 +60 +00 +22 +00 +65 +00 +22 +00 +64 +00 +20 +00 +58 +00 +22 +00 +57 +00 +20 +00 +51 +00 +1a +00 +58 +00 +20 +00 +49 +00 +18 +00 +3f +00 +1f +00 +5a +00 +20 +00 +62 +00 +23 +00 +5d +00 +22 +00 +3b +00 +17 +00 +4c +00 +14 +00 +37 +00 +1f +00 +62 +00 +1d +00 +74 +00 +29 +00 +5e +00 +1d +00 +59 +00 +1e +00 +59 +00 +21 +00 +5f +00 +27 +00 +5a +00 +21 +00 +4f +00 +22 +00 +6b +00 +27 +00 +63 +00 +26 +00 +62 +00 +2d +00 +6b +00 +47 +00 +be +00 +49 +00 +c6 +00 +33 +00 +a1 +00 +23 +00 +72 +00 +36 +00 +5a +00 +22 +00 +57 +00 +1f +00 +56 +00 +22 +00 +5f +00 +26 +00 +65 +00 +25 +00 +59 +00 +1c +00 +52 +00 +27 +00 +91 +00 +26 +00 +59 +00 +1d +00 +5a +00 +1d +00 +5b +00 +1e +00 +6d +00 +2f +00 +77 +00 +20 +00 +56 +00 +22 +00 +5b +00 +2c +00 +5f +00 +2a +00 +6f +00 +28 +00 +a2 +00 +88 +00 +7c +10 +c3 +00 +45 +20 +0b +10 +00 +50 +bf +10 +51 +20 +5a +00 +bf +00 +36 +00 +8d +00 +52 +00 +5d +10 +45 +00 +b5 +00 +45 +00 +d5 +00 +d9 +00 +3a +40 +01 +20 +4f +40 +cf +10 +63 +60 +d8 +20 +64 +70 +26 +30 +40 +90 +df +20 +3c +50 +cb +20 +37 +90 +e7 +30 +90 +a0 +d9 +30 +a4 +a0 +d3 +30 +ba +a0 +fa +30 +09 +b0 +ed +30 +8f +a0 +dc +30 +d6 +a0 +1e +40 +d1 +a0 +d7 +30 +e1 +a0 +d8 +30 +92 +a0 +df +30 +b9 +a0 +f3 +30 +0b +b0 +f1 +30 +a8 +a0 +dd +30 +b2 +a0 +d8 +30 +91 +a0 +bd +30 +44 +a0 +c9 +20 +cc +50 +95 +10 +ee +40 +d6 +20 +02 +80 +81 +20 +28 +60 +73 +10 +4d +30 +d0 +00 +d9 +30 +8e +20 +7b +50 +3a +10 +36 +20 +89 +00 +f1 +10 +14 +20 +9b +70 +23 +20 +94 +30 +9d +00 +61 +10 +60 +00 +6d +10 +83 +00 +18 +10 +4f +00 +c0 +00 +39 +00 +c0 +00 +42 +00 +d4 +00 +73 +00 +c0 +10 +88 +00 +e8 +00 +4b +00 +ec +00 +5b +00 +cc +00 +33 +00 +8e +00 +34 +00 +82 +00 +32 +00 +83 +00 +2c +00 +80 +00 +3d +00 +b0 +00 +56 +00 +bb +10 +be +00 +00 +20 +9c +00 +a4 +10 +f8 +00 +3b +30 +0e +10 +61 +20 +64 +10 +ae +30 +f2 +10 +4f +50 +1b +10 +93 +10 +3b +00 +8f +00 +2b +00 +6c +00 +2e +00 +71 +00 +26 +00 +62 +00 +26 +00 +46 +00 +1e +00 +57 +00 +24 +00 +53 +00 +1f +00 +5d +00 +20 +00 +4c +00 +1b +00 +3a +00 +1a +00 +3a +00 +17 +00 +47 +00 +27 +00 +51 +00 +3d +00 +7b +20 +2d +10 +bf +20 +bf +00 +7d +10 +7f +00 +21 +10 +3d +00 +84 +00 +7a +00 +db +00 +21 +00 +58 +00 +1e +00 +55 +00 +15 +00 +43 +00 +16 +00 +47 +00 +23 +00 +58 +00 +22 +00 +51 +00 +1f +00 +56 +00 +23 +00 +4f +00 +23 +00 +53 +00 +28 +00 +48 +00 +24 +00 +67 +00 +1b +00 +44 +00 +1c +00 +3c +00 +21 +00 +44 +00 +1b +00 +42 +00 +18 +00 +42 +00 +1c +00 +47 +00 +1b +00 +4e +00 +21 +00 +4c +00 +1d +00 +3f +00 +2c +00 +00 +10 +d6 +00 +3c +10 +36 +00 +65 +00 +22 +00 +49 +00 +1b +00 +62 +00 +2b +00 +76 +00 +2e +00 +66 +00 +34 +00 +69 +00 +24 +00 +72 +00 +3c +00 +49 +10 +dd +00 +a4 +10 +56 +00 +11 +10 +1f +10 +4e +30 +d1 +00 +47 +10 +44 +00 +9c +00 +3b +00 +7f +00 +35 +00 +b1 +00 +43 +00 +ce +00 +5f +00 +c6 +00 +4b +00 +c2 +00 +59 +00 +e3 +00 +7c +00 +60 +10 +bb +00 +6f +20 +d9 +00 +b5 +10 +fa +00 +76 +60 +bb +30 +92 +a0 +06 +40 +a5 +90 +79 +10 +b2 +30 +53 +10 +ee +10 +70 +00 +d6 +10 +c5 +00 +76 +30 +01 +10 +ff +10 +99 +00 +d2 +10 +93 +00 +17 +10 +3f +00 +f7 +00 +83 +10 +97 +30 +91 +00 +bf +00 +00 +00 +00 +00 +a0 +00 +7a +00 +a3 +00 +93 +00 +fd +00 +3a +10 +e8 +20 +c1 +10 +3e +20 +11 +10 +53 +10 +9c +00 +aa +00 +7e +00 +97 +00 +7c +00 +9d +00 +a2 +00 +aa +00 +a6 +00 +bd +00 +b0 +00 +a1 +00 +85 +00 +c7 +00 +e9 +00 +97 +10 +f4 +10 +cd +40 +ca +20 +75 +40 +af +10 +d7 +20 +df +00 +e5 +00 +8a +00 +c2 +00 +b3 +00 +43 +10 +e0 +00 +9b +10 +ea +00 +4b +10 +b9 +00 +fe +00 +f2 +00 +7a +10 +21 +10 +aa +10 +10 +10 +f4 +00 +a2 +00 +d2 +00 +8f +00 +ee +00 +8f +00 +b5 +00 +c5 +00 +cc +00 +da +00 +db +00 +cc +00 +03 +10 +23 +10 +c1 +10 +16 +20 +97 +20 +a8 +10 +ac +10 +6c +10 +68 +20 +2d +20 +e4 +30 +56 +10 +66 +10 +89 +00 +9c +00 +c2 +00 +a4 +10 +17 +20 +e4 +40 +c0 +10 +c8 +10 +d9 +00 +0c +10 +af +00 +0c +10 +8c +00 +99 +00 +5d +00 +75 +00 +3c +00 +6e +00 +3a +00 +59 +00 +3d +00 +61 +00 +36 +00 +53 +00 +31 +00 +59 +00 +33 +00 +6d +00 +30 +00 +62 +00 +31 +00 +56 +00 +37 +00 +53 +00 +2d +00 +60 +00 +33 +00 +6a +00 +41 +00 +6e +00 +3d +00 +6d +00 +70 +00 +dd +00 +67 +20 +48 +50 +c0 +20 +bc +30 +75 +10 +3c +10 +7f +00 +70 +00 +42 +00 +65 +00 +45 +00 +6c +00 +3a +00 +69 +00 +3d +00 +71 +00 +42 +00 +65 +00 +39 +00 +54 +00 +37 +00 +55 +00 +2d +00 +42 +00 +2e +00 +52 +00 +2e +00 +4b +00 +2b +00 +5c +00 +3c +00 +57 +00 +41 +00 +61 +00 +29 +00 +44 +00 +2b +00 +4f +00 +30 +00 +47 +00 +30 +00 +4c +00 +36 +00 +5e +00 +30 +00 +55 +00 +2d +00 +58 +00 +2d +00 +56 +00 +31 +00 +5c +00 +39 +00 +7a +00 +30 +00 +53 +00 +33 +00 +5a +00 +37 +00 +56 +00 +30 +00 +59 +00 +3c +00 +76 +00 +4d +00 +a0 +00 +5f +00 +9b +00 +64 +00 +a4 +00 +6f +00 +76 +00 +5e +00 +a1 +00 +48 +00 +60 +00 +3b +00 +5e +00 +2f +00 +56 +00 +40 +00 +5c +00 +3a +00 +6e +00 +38 +00 +55 +00 +33 +00 +50 +00 +61 +00 +75 +00 +3d +00 +5a +00 +34 +00 +53 +00 +33 +00 +54 +00 +35 +00 +66 +00 +31 +00 +6c +00 +37 +00 +52 +00 +33 +00 +62 +00 +61 +00 +20 +10 +63 +00 +82 +00 +67 +00 +ca +00 +7a +00 +f6 +00 +20 +10 +73 +30 +04 +20 +0e +40 +2f +10 +5a +10 +aa +00 +97 +00 +5d +00 +b2 +00 +5e +00 +d6 +00 +70 +00 +b2 +00 +88 +00 +1e +10 +fb +00 +6f +20 +75 +20 +37 +60 +7b +40 +4e +80 +34 +50 +bd +80 +a3 +50 +24 +90 +94 +40 +51 +60 +e7 +50 +30 +a0 +02 +70 +ec +a0 +25 +70 +90 +a0 +66 +70 +ab +a0 +59 +70 +ec +a0 +24 +70 +ea +a0 +92 +70 +e0 +a0 +43 +70 +b6 +a0 +81 +70 +bf +a0 +5c +70 +e6 +a0 +2c +70 +c0 +a0 +47 +70 +a3 +a0 +6b +70 +fb +a0 +46 +70 +7e +a0 +e6 +60 +30 +a0 +c8 +50 +45 +60 +16 +30 +8b +30 +ae +20 +65 +40 +99 +40 +a5 +70 +2f +40 +70 +50 +f1 +20 +af +20 +4f +30 +e1 +50 +20 +20 +bc +20 +80 +10 +b9 +10 +bb +20 +fe +50 +45 +40 +cf +50 +4d +20 +3c +20 +b1 +10 +6e +10 +cc +20 +4d +40 +c6 +10 +1e +10 +c6 +00 +b3 +00 +9b +00 +d2 +00 +bf +00 +f3 +00 +87 +00 +e7 +00 +79 +00 +c1 +00 +74 +00 +b4 +00 +62 +00 +9f +00 +60 +00 +89 +00 +69 +00 +98 +00 +5f +00 +84 +00 +65 +00 +af +00 +64 +00 +b1 +00 +9d +00 +72 +10 +97 +10 +c5 +40 +68 +10 +5f +10 +0d +10 +7e +20 +0b +10 +88 +10 +0f +10 +4c +20 +ff +00 +c9 +10 +be +00 +c6 +00 +67 +00 +69 +00 +35 +00 +5b +00 +38 +00 +5f +00 +35 +00 +58 +00 +29 +00 +50 +00 +37 +00 +59 +00 +49 +00 +6a +00 +5d +00 +69 +00 +39 +00 +55 +00 +30 +00 +39 +00 +29 +00 +40 +00 +2f +00 +45 +00 +3d +00 +6c +00 +80 +00 +f6 +00 +bb +00 +60 +10 +c7 +00 +03 +10 +7f +00 +91 +00 +5f +00 +71 +00 +41 +00 +5d +00 +35 +00 +4c +00 +2f +00 +50 +00 +27 +00 +4d +00 +23 +00 +46 +00 +26 +00 +4f +00 +2a +00 +5d +00 +55 +00 +6d +00 +35 +00 +43 +00 +2e +00 +49 +00 +25 +00 +47 +00 +27 +00 +4f +00 +28 +00 +3f +00 +22 +00 +47 +00 +20 +00 +43 +00 +23 +00 +4a +00 +26 +00 +4c +00 +3e +00 +4e +00 +2f +00 +4f +00 +31 +00 +4b +00 +34 +00 +69 +00 +83 +00 +4c +10 +6b +00 +a3 +00 +50 +00 +54 +00 +37 +00 +50 +00 +2a +00 +5a +00 +34 +00 +6d +00 +39 +00 +5d +00 +31 +00 +6f +00 +95 +00 +f9 +00 +1b +10 +36 +10 +ae +00 +dc +00 +bf +00 +9f +10 +57 +10 +2c +40 +10 +10 +d1 +00 +77 +00 +8c +00 +4d +00 +a4 +00 +54 +00 +a7 +00 +63 +00 +cc +00 +70 +00 +b8 +00 +81 +00 +d5 +00 +1d +10 +80 +10 +44 +20 +70 +30 +e9 +20 +8a +30 +d8 +10 +95 +20 +af +40 +cb +90 +4d +60 +c0 +a0 +60 +50 +c8 +50 +eb +20 +41 +40 +f9 +10 +f2 +30 +fe +20 +bf +40 +18 +20 +03 +20 +43 +10 +82 +20 +30 +10 +51 +10 +8d +00 +c3 +00 +aa +00 +7f +20 +c0 +00 +3c +10 +87 +00 +00 +00 +00 +00 +3a +00 +bd +00 +59 +00 +35 +10 +55 +00 +3d +10 +a4 +00 +3b +20 +b1 +00 +88 +10 +51 +00 +be +00 +3a +00 +8d +00 +38 +00 +ad +00 +3d +00 +c9 +00 +3c +00 +a5 +00 +43 +00 +ce +00 +3b +00 +a8 +00 +45 +00 +f9 +00 +a9 +00 +00 +30 +51 +10 +68 +30 +e4 +00 +ed +10 +7e +00 +37 +10 +40 +00 +a9 +00 +3b +00 +ac +00 +48 +00 +00 +10 +59 +00 +25 +10 +47 +00 +d0 +00 +4c +00 +ed +00 +55 +00 +10 +10 +61 +00 +06 +10 +48 +00 +c5 +00 +47 +00 +ca +00 +3d +00 +af +00 +4a +00 +d5 +00 +4f +00 +f6 +00 +42 +00 +c4 +00 +45 +00 +18 +10 +b1 +00 +9c +30 +f4 +00 +ec +10 +72 +00 +28 +10 +97 +00 +f6 +10 +9d +00 +74 +10 +58 +00 +bb +00 +3b +00 +c9 +00 +7a +00 +49 +20 +f2 +00 +38 +20 +72 +00 +fa +00 +55 +00 +fe +00 +48 +00 +be +00 +34 +00 +7e +00 +1f +00 +62 +00 +22 +00 +6c +00 +26 +00 +5f +00 +28 +00 +5c +00 +21 +00 +5a +00 +19 +00 +66 +00 +21 +00 +64 +00 +1f +00 +65 +00 +24 +00 +5f +00 +22 +00 +55 +00 +1c +00 +4e +00 +25 +00 +6a +00 +26 +00 +6c +00 +26 +00 +86 +00 +83 +00 +8c +30 +e5 +10 +fd +30 +bb +00 +a4 +10 +50 +00 +a7 +00 +31 +00 +7c +00 +21 +00 +65 +00 +27 +00 +61 +00 +25 +00 +6b +00 +28 +00 +73 +00 +28 +00 +56 +00 +1a +00 +56 +00 +19 +00 +53 +00 +1c +00 +4b +00 +1f +00 +56 +00 +23 +00 +55 +00 +2a +00 +72 +00 +29 +00 +67 +00 +1b +00 +4b +00 +1b +00 +5a +00 +24 +00 +50 +00 +1c +00 +4d +00 +20 +00 +5a +00 +1e +00 +57 +00 +2b +00 +5f +00 +26 +00 +50 +00 +21 +00 +54 +00 +26 +00 +62 +00 +28 +00 +52 +00 +1d +00 +53 +00 +1e +00 +65 +00 +23 +00 +5a +00 +24 +00 +62 +00 +2f +00 +77 +00 +38 +00 +74 +00 +2d +00 +90 +00 +4b +00 +27 +10 +36 +00 +81 +00 +2c +00 +5e +00 +1f +00 +51 +00 +1e +00 +4e +00 +2b +00 +66 +00 +3c +00 +84 +00 +2b +00 +57 +00 +1e +00 +5c +00 +1f +00 +67 +00 +2a +00 +5f +00 +2d +00 +75 +00 +20 +00 +63 +00 +23 +00 +5e +00 +27 +00 +5d +00 +20 +00 +64 +00 +25 +00 +49 +00 +25 +00 +96 +00 +a8 +00 +01 +10 +2f +00 +87 +00 +3d +00 +c0 +00 +4d +00 +f6 +00 +93 +00 +37 +20 +f4 +00 +cc +10 +55 +00 +b9 +00 +47 +00 +b1 +00 +3f +00 +b9 +00 +46 +00 +b4 +00 +47 +00 +c4 +00 +50 +00 +2e +10 +a5 +00 +00 +30 +c5 +20 +a9 +70 +d7 +20 +68 +70 +67 +30 +73 +90 +66 +30 +33 +70 +10 +20 +4b +80 +c6 +30 +e3 +a0 +fc +30 +02 +b0 +e4 +30 +ed +a0 +db +30 +ce +a0 +e0 +30 +dd +a0 +e2 +30 +aa +a0 +eb +30 +e5 +a0 +01 +40 +e8 +a0 +11 +40 +c5 +a0 +dc +30 +9e +a0 +af +30 +e0 +a0 +05 +40 +ce +a0 +dc +30 +12 +b0 +e0 +30 +71 +a0 +59 +30 +39 +80 +82 +10 +c7 +30 +f0 +00 +f9 +20 +47 +20 +b0 +70 +2b +30 +5d +80 +2a +20 +21 +40 +63 +10 +74 +50 +70 +10 +f6 +20 +bd +00 +f3 +10 +a6 +00 +c5 +30 +a8 +20 +78 +70 +84 +10 +06 +30 +ac +00 +e3 +10 +6b +10 +ec +50 +39 +20 +5f +30 +76 +00 +0d +10 +4b +00 +d2 +00 +63 +00 +2f +10 +58 +00 +d5 +00 +45 +00 +c3 +00 +44 +00 +c9 +00 +4d +00 +aa +00 +3c +00 +9d +00 +41 +00 +c1 +00 +3d +00 +92 +00 +39 +00 +81 +00 +3f +00 +8b +00 +32 +00 +b7 +00 +4b +00 +50 +10 +30 +10 +1b +20 +6b +00 +f8 +00 +58 +00 +06 +10 +63 +00 +0d +10 +78 +00 +48 +10 +5f +00 +eb +00 +3d +00 +88 +00 +27 +00 +59 +00 +1f +00 +5e +00 +25 +00 +6d +00 +2d +00 +58 +00 +25 +00 +5a +00 +27 +00 +8b +00 +5a +00 +b4 +00 +38 +00 +62 +00 +25 +00 +4a +00 +19 +00 +49 +00 +1a +00 +45 +00 +24 +00 +4a +00 +27 +00 +8a +00 +43 +00 +d1 +00 +53 +00 +d3 +00 +35 +00 +a4 +00 +29 +00 +8f +00 +32 +00 +66 +00 +24 +00 +48 +00 +1e +00 +51 +00 +23 +00 +4f +00 +23 +00 +57 +00 +1c +00 +44 +00 +26 +00 +55 +00 +36 +00 +06 +10 +32 +00 +63 +00 +1f +00 +49 +00 +1d +00 +4f +00 +1e +00 +53 +00 +15 +00 +43 +00 +1a +00 +4c +00 +19 +00 +3f +00 +23 +00 +49 +00 +19 +00 +51 +00 +39 +00 +cd +00 +22 +00 +49 +00 +14 +00 +54 +00 +18 +00 +46 +00 +2e +00 +25 +10 +50 +00 +bd +00 +2a +00 +66 +00 +21 +00 +4d +00 +1c +00 +59 +00 +23 +00 +6b +00 +2b +00 +67 +00 +27 +00 +60 +00 +32 +00 +d2 +00 +73 +10 +09 +40 +6b +00 +f0 +00 +32 +00 +b1 +00 +5b +00 +69 +10 +ea +00 +8f +10 +4f +00 +a3 +00 +39 +00 +9e +00 +40 +00 +96 +00 +39 +00 +a3 +00 +48 +00 +c5 +00 +49 +00 +e2 +00 +b9 +00 +5e +30 +99 +10 +22 +60 +42 +20 +cc +50 +31 +10 +f2 +10 +71 +10 +e3 +70 +dc +30 +d3 +a0 +c5 +30 +80 +70 +bf +10 +53 +30 +d0 +00 +31 +20 +b1 +10 +05 +60 +13 +10 +ad +20 +26 +10 +9c +10 +70 +00 +2a +10 +52 +00 +d5 +00 +45 +00 +cb +00 +75 +00 +20 +10 +48 +00 +a9 +00 +00 +00 +00 +00 +b5 +00 +8a +00 +1e +10 +d9 +00 +4a +10 +b8 +00 +f9 +00 +ae +00 +2a +10 +da +00 +fd +00 +85 +00 +a2 +00 +a8 +00 +b1 +00 +26 +10 +1c +10 +34 +10 +f3 +00 +2a +10 +f4 +00 +2a +10 +ff +00 +ab +00 +b0 +00 +21 +10 +83 +20 +fe +10 +b2 +30 +41 +10 +93 +10 +e1 +00 +29 +10 +79 +00 +b2 +00 +68 +00 +9a +00 +7b +00 +cb +00 +a5 +00 +d1 +00 +9c +00 +b7 +00 +7f +00 +b4 +00 +a8 +00 +d9 +00 +dc +00 +4a +10 +87 +10 +98 +10 +ca +00 +aa +00 +73 +00 +a5 +00 +b6 +00 +a3 +10 +91 +10 +91 +20 +76 +10 +1f +20 +e1 +00 +d3 +00 +72 +10 +eb +20 +38 +20 +ba +20 +63 +10 +15 +10 +d7 +00 +0b +10 +d1 +00 +2b +10 +af +00 +e4 +00 +74 +00 +aa +00 +a7 +00 +eb +00 +c5 +00 +79 +10 +dd +00 +2a +10 +94 +00 +a5 +00 +85 +00 +b9 +00 +75 +00 +85 +00 +5c +00 +77 +00 +38 +00 +65 +00 +3c +00 +6e +00 +35 +00 +54 +00 +3a +00 +50 +00 +3d +00 +5c +00 +35 +00 +5e +00 +35 +00 +5f +00 +35 +00 +57 +00 +37 +00 +63 +00 +3e +00 +5a +00 +38 +00 +5c +00 +41 +00 +6a +00 +58 +00 +84 +00 +e7 +00 +28 +10 +1d +20 +c1 +30 +e1 +10 +20 +20 +f7 +00 +de +00 +5a +00 +8b +00 +41 +00 +58 +00 +3b +00 +69 +00 +42 +00 +61 +00 +38 +00 +6a +00 +3e +00 +69 +00 +32 +00 +55 +00 +2f +00 +4d +00 +30 +00 +42 +00 +44 +00 +53 +00 +37 +00 +57 +00 +84 +00 +83 +10 +09 +10 +34 +10 +65 +00 +55 +00 +32 +00 +50 +00 +40 +00 +c7 +00 +44 +00 +50 +00 +3d +00 +59 +00 +34 +00 +54 +00 +30 +00 +55 +00 +35 +00 +66 +00 +2d +00 +64 +00 +31 +00 +5d +00 +31 +00 +5e +00 +31 +00 +49 +00 +2f +00 +55 +00 +38 +00 +64 +00 +41 +00 +5a +00 +45 +00 +6f +00 +47 +00 +74 +00 +3c +00 +6f +00 +58 +00 +75 +00 +71 +00 +40 +10 +64 +00 +7f +00 +47 +00 +64 +00 +37 +00 +53 +00 +5a +00 +83 +00 +84 +00 +ed +00 +4e +00 +68 +00 +38 +00 +59 +00 +2f +00 +47 +00 +2c +00 +58 +00 +33 +00 +66 +00 +44 +00 +67 +00 +3c +00 +4e +00 +36 +00 +52 +00 +30 +00 +5b +00 +38 +00 +63 +00 +31 +00 +58 +00 +3e +00 +8c +00 +49 +00 +95 +00 +62 +00 +8b +00 +68 +00 +b0 +00 +92 +00 +e8 +00 +d2 +00 +4e +10 +aa +00 +ee +00 +a0 +00 +b7 +00 +5d +00 +a7 +00 +6f +00 +bd +00 +67 +00 +b5 +00 +6f +00 +d0 +00 +bc +00 +71 +10 +a7 +20 +07 +70 +56 +40 +e4 +50 +6c +30 +8c +60 +95 +40 +1e +90 +c8 +40 +60 +50 +75 +50 +5d +90 +c8 +60 +aa +a0 +71 +70 +ea +a0 +79 +70 +f3 +a0 +4f +70 +c7 +a0 +78 +70 +27 +b0 +94 +70 +dd +a0 +d0 +70 +0f +b0 +67 +70 +22 +b0 +82 +70 +a3 +a0 +33 +70 +fb +a0 +4e +70 +b7 +a0 +82 +70 +e2 +a0 +34 +70 +86 +a0 +21 +70 +f0 +90 +d3 +40 +68 +40 +b1 +20 +a4 +20 +7b +30 +d6 +60 +72 +50 +ea +80 +92 +40 +5e +50 +6c +20 +83 +30 +95 +30 +6c +50 +62 +20 +76 +20 +6d +20 +81 +20 +ba +30 +85 +60 +96 +40 +d4 +50 +c0 +30 +08 +40 +3c +40 +8c +60 +63 +40 +a0 +70 +4d +30 +97 +20 +58 +10 +1b +10 +b1 +10 +64 +30 +4d +10 +00 +10 +9e +00 +c2 +00 +67 +00 +a6 +00 +7b +00 +ae +00 +63 +00 +9a +00 +7e +00 +20 +10 +24 +10 +fa +00 +80 +00 +79 +00 +58 +00 +7c +00 +61 +00 +a7 +00 +8c +00 +b8 +00 +a6 +00 +1f +10 +a3 +00 +21 +10 +a6 +00 +c5 +00 +82 +00 +d3 +00 +a1 +00 +f6 +00 +a6 +00 +dd +00 +7d +00 +8a +00 +4e +00 +6e +00 +38 +00 +5d +00 +2f +00 +5a +00 +2f +00 +5a +00 +35 +00 +5f +00 +54 +00 +a2 +00 +84 +10 +18 +30 +24 +10 +bc +00 +91 +00 +88 +00 +52 +00 +7d +00 +b5 +00 +93 +00 +65 +00 +4e +00 +31 +00 +45 +00 +3e +00 +8c +00 +5f +00 +a7 +00 +6a +00 +9e +00 +4b +00 +7a +00 +48 +00 +9d +00 +40 +00 +66 +00 +2c +00 +4d +00 +2f +00 +4a +00 +2c +00 +3e +00 +23 +00 +53 +00 +27 +00 +44 +00 +28 +00 +65 +00 +32 +00 +7b +00 +39 +00 +57 +00 +1d +00 +4b +00 +2f +00 +53 +00 +28 +00 +4c +00 +2f +00 +3e +00 +20 +00 +49 +00 +28 +00 +3d +00 +1d +00 +40 +00 +2a +00 +9f +00 +4d +00 +84 +00 +39 +00 +3f +00 +29 +00 +3a +00 +36 +00 +67 +00 +86 +00 +a5 +10 +77 +00 +7d +00 +3d +00 +4c +00 +2f +00 +5b +00 +36 +00 +5a +00 +2d +00 +62 +00 +2b +00 +57 +00 +41 +00 +7e +00 +fd +00 +aa +30 +93 +10 +e7 +10 +be +00 +92 +00 +80 +00 +e8 +00 +ab +00 +3d +10 +9b +00 +d8 +00 +7c +00 +a8 +00 +64 +00 +b6 +00 +6b +00 +a0 +00 +75 +00 +af +00 +82 +00 +ea +00 +19 +10 +3a +20 +56 +30 +ac +60 +79 +30 +78 +60 +4f +20 +18 +30 +14 +20 +39 +40 +d5 +40 +d1 +90 +ae +50 +73 +70 +91 +30 +0c +40 +f0 +10 +a8 +10 +d2 +10 +95 +30 +27 +20 +18 +30 +83 +10 +4f +20 +09 +10 +f9 +00 +9a +00 +d4 +00 +8d +00 +a0 +00 +83 +00 +ce +00 +73 +00 +a7 +00 +5d +00 +00 +00 +00 +00 +3e +00 +c7 +00 +44 +00 +f6 +00 +47 +00 +da +00 +50 +00 +d9 +00 +51 +00 +22 +10 +4c +00 +bc +00 +35 +00 +e9 +00 +a0 +00 +77 +20 +00 +10 +e6 +20 +cf +00 +ff +10 +fb +00 +71 +30 +ca +00 +63 +10 +48 +00 +27 +10 +be +00 +4d +20 +a1 +00 +a6 +10 +61 +00 +07 +10 +3d +00 +dc +00 +3d +00 +9d +00 +40 +00 +94 +00 +35 +00 +bb +00 +38 +00 +ab +00 +35 +00 +83 +00 +33 +00 +a0 +00 +38 +00 +b3 +00 +ef +00 +ff +30 +68 +10 +7f +20 +4d +00 +91 +00 +38 +00 +d7 +00 +15 +10 +48 +30 +f6 +00 +c6 +20 +f5 +00 +08 +20 +5f +00 +3c +10 +a3 +10 +a8 +40 +c5 +00 +83 +10 +51 +00 +db +00 +4a +00 +0c +10 +4a +00 +fb +00 +3a +00 +8b +00 +47 +00 +2f +10 +55 +00 +0f +10 +64 +00 +2f +10 +48 +00 +a6 +00 +2c +00 +9d +00 +2b +00 +80 +00 +29 +00 +84 +00 +29 +00 +7b +00 +26 +00 +64 +00 +29 +00 +6b +00 +2a +00 +68 +00 +22 +00 +5e +00 +26 +00 +61 +00 +20 +00 +5e +00 +2e +00 +5c +00 +25 +00 +63 +00 +21 +00 +65 +00 +25 +00 +5c +00 +25 +00 +67 +00 +24 +00 +76 +00 +49 +00 +90 +10 +e5 +00 +7c +30 +21 +10 +b8 +20 +73 +00 +1b +10 +37 +00 +89 +00 +2f +00 +78 +00 +26 +00 +6c +00 +38 +00 +77 +00 +26 +00 +61 +00 +2a +00 +65 +00 +21 +00 +57 +00 +23 +00 +4a +00 +16 +00 +4a +00 +2d +00 +10 +10 +2f +00 +59 +00 +2b +00 +e4 +00 +b1 +00 +f7 +10 +83 +00 +d0 +00 +20 +00 +51 +00 +28 +00 +6e +00 +2d +00 +55 +00 +22 +00 +50 +00 +23 +00 +56 +00 +27 +00 +59 +00 +28 +00 +61 +00 +29 +00 +6f +00 +26 +00 +63 +00 +1c +00 +5b +00 +23 +00 +67 +00 +1e +00 +56 +00 +1c +00 +5c +00 +2b +00 +5e +00 +2c +00 +6c +00 +27 +00 +74 +00 +2a +00 +67 +00 +23 +00 +65 +00 +2b +00 +70 +00 +52 +00 +aa +00 +29 +00 +5d +00 +28 +00 +68 +00 +29 +00 +cf +00 +97 +00 +fa +00 +33 +00 +81 +00 +2c +00 +60 +00 +1c +00 +45 +00 +20 +00 +51 +00 +1f +00 +5c +00 +1b +00 +57 +00 +1f +00 +5d +00 +1f +00 +58 +00 +23 +00 +4d +00 +23 +00 +58 +00 +1f +00 +4d +00 +23 +00 +5c +00 +2c +00 +6e +00 +2b +00 +6b +00 +26 +00 +86 +00 +30 +00 +a9 +00 +41 +00 +d5 +00 +5b +00 +f0 +00 +47 +00 +a3 +00 +33 +00 +84 +00 +42 +00 +a9 +00 +3d +00 +be +00 +46 +00 +cc +00 +51 +00 +ef +00 +b0 +00 +f3 +30 +cb +20 +d9 +70 +a2 +10 +db +30 +2e +10 +24 +40 +ab +20 +00 +80 +a5 +10 +aa +60 +83 +30 +45 +a0 +f7 +30 +95 +a0 +f2 +30 +12 +b0 +ec +30 +dd +a0 +0a +40 +1b +b0 +eb +30 +e0 +a0 +14 +40 +13 +b0 +0e +40 +49 +b0 +de +30 +00 +b0 +e8 +30 +ee +a0 +f0 +30 +e6 +a0 +ee +30 +cb +a0 +e3 +30 +a1 +a0 +df +30 +87 +a0 +7d +30 +55 +70 +2c +10 +dc +20 +fc +00 +df +40 +fe +20 +bb +80 +38 +30 +5f +60 +3e +10 +0c +30 +58 +10 +68 +60 +75 +20 +7d +40 +12 +10 +af +40 +bd +10 +c1 +50 +db +20 +85 +80 +04 +30 +d0 +70 +c5 +20 +6b +70 +cc +20 +37 +70 +aa +20 +41 +60 +fd +00 +dc +10 +d1 +00 +f5 +30 +43 +10 +11 +20 +64 +00 +f4 +00 +3f +00 +bc +00 +41 +00 +9a +00 +3e +00 +88 +00 +34 +00 +a6 +00 +33 +10 +43 +40 +9c +00 +f6 +00 +32 +00 +86 +00 +3e +00 +93 +00 +6f +00 +f4 +00 +49 +00 +e4 +00 +4e +00 +da +00 +48 +00 +b0 +00 +36 +00 +a1 +00 +2f +00 +aa +00 +32 +00 +b0 +00 +37 +00 +8f +00 +31 +00 +62 +00 +2b +00 +46 +00 +21 +00 +5e +00 +1c +00 +5f +00 +1f +00 +5a +00 +23 +00 +7f +00 +bf +00 +a5 +40 +8c +10 +7f +20 +69 +00 +c7 +10 +52 +00 +8d +00 +93 +00 +4c +30 +a0 +00 +b0 +00 +1a +00 +47 +00 +1c +00 +54 +00 +1f +00 +61 +00 +26 +00 +81 +00 +2b +00 +6b +00 +29 +00 +66 +00 +22 +00 +66 +00 +27 +00 +52 +00 +25 +00 +45 +00 +1f +00 +54 +00 +1e +00 +4d +00 +22 +00 +55 +00 +1e +00 +59 +00 +25 +00 +5e +00 +30 +00 +60 +00 +19 +00 +55 +00 +20 +00 +4c +00 +1f +00 +48 +00 +17 +00 +42 +00 +18 +00 +45 +00 +1e +00 +42 +00 +1b +00 +44 +00 +1a +00 +43 +00 +2e +00 +71 +00 +2b +00 +66 +00 +1d +00 +58 +00 +2a +00 +4e +00 +2a +00 +a0 +00 +43 +00 +a3 +00 +26 +00 +59 +00 +1f +00 +56 +00 +24 +00 +5e +00 +21 +00 +58 +00 +29 +00 +59 +00 +20 +00 +63 +00 +35 +00 +7b +10 +6a +10 +3e +20 +7c +00 +e5 +00 +31 +00 +93 +00 +3e +00 +be +00 +4f +00 +dc +00 +59 +00 +ed +00 +3f +00 +95 +00 +4f +00 +aa +00 +3c +00 +c5 +00 +4e +00 +d2 +00 +6a +00 +33 +20 +ae +10 +51 +70 +b3 +20 +a8 +40 +11 +10 +c0 +20 +a2 +00 +f6 +10 +49 +20 +73 +80 +6d +30 +95 +70 +e3 +10 +06 +40 +9d +00 +91 +10 +77 +00 +de +10 +ee +00 +4b +30 +bc +00 +c2 +10 +67 +00 +10 +10 +56 +00 +41 +10 +46 +00 +ad +00 +30 +00 +9c +00 +38 +00 +b1 +00 +2d +00 +67 +00 +00 +00 +00 +00 +9d +00 +7c +00 +ab +00 +6a +00 +91 +00 +7d +00 +c2 +00 +9d +00 +ec +00 +60 +10 +71 +20 +c4 +00 +bd +00 +e2 +10 +f6 +30 +91 +30 +97 +50 +8c +30 +9e +40 +57 +30 +26 +50 +af +20 +d4 +30 +17 +10 +f5 +00 +a1 +00 +f6 +00 +e9 +00 +55 +10 +f5 +00 +12 +10 +a9 +00 +be +00 +6b +00 +9a +00 +57 +00 +8d +00 +66 +00 +9c +00 +77 +00 +83 +00 +5e +00 +8d +00 +63 +00 +7d +00 +6d +00 +90 +00 +a6 +00 +94 +10 +48 +10 +47 +30 +0f +10 +07 +10 +80 +00 +8a +00 +86 +00 +98 +10 +eb +00 +81 +10 +fb +00 +c9 +20 +11 +20 +53 +20 +b8 +10 +3a +30 +95 +20 +4b +40 +54 +10 +e7 +00 +b1 +00 +b7 +00 +97 +00 +d0 +00 +90 +00 +a8 +00 +5b +00 +ba +00 +c3 +00 +01 +10 +a5 +00 +f4 +00 +93 +00 +af +00 +58 +00 +87 +00 +62 +00 +72 +00 +87 +00 +9f +00 +71 +00 +93 +00 +56 +00 +6b +00 +3c +00 +6d +00 +45 +00 +65 +00 +56 +00 +6e +00 +43 +00 +67 +00 +3a +00 +69 +00 +3a +00 +67 +00 +36 +00 +61 +00 +34 +00 +6d +00 +37 +00 +62 +00 +42 +00 +66 +00 +46 +00 +6b +00 +c3 +00 +21 +10 +2d +20 +ec +30 +4f +30 +3a +50 +13 +20 +92 +10 +b7 +00 +9b +00 +54 +00 +75 +00 +41 +00 +62 +00 +4a +00 +7e +00 +3a +00 +6d +00 +3e +00 +6a +00 +3d +00 +57 +00 +37 +00 +4f +00 +27 +00 +4b +00 +34 +00 +58 +00 +3c +00 +80 +00 +3e +00 +6e +00 +58 +00 +b0 +00 +60 +00 +a0 +00 +54 +00 +66 +00 +30 +00 +46 +00 +36 +00 +5c +00 +29 +00 +49 +00 +2b +00 +58 +00 +31 +00 +59 +00 +2e +00 +63 +00 +2e +00 +5d +00 +31 +00 +6f +00 +33 +00 +59 +00 +2c +00 +55 +00 +35 +00 +55 +00 +35 +00 +58 +00 +36 +00 +67 +00 +38 +00 +74 +00 +51 +00 +75 +00 +41 +00 +74 +00 +3a +00 +64 +00 +3c +00 +64 +00 +3e +00 +73 +00 +43 +00 +6c +00 +3b +00 +52 +00 +31 +00 +54 +00 +7d +00 +95 +10 +77 +00 +a3 +00 +4c +00 +5c +00 +32 +00 +51 +00 +29 +00 +54 +00 +3f +00 +4c +00 +36 +00 +55 +00 +30 +00 +4e +00 +2e +00 +4a +00 +35 +00 +47 +00 +33 +00 +60 +00 +34 +00 +5f +00 +2f +00 +4f +00 +3c +00 +72 +00 +44 +00 +72 +00 +50 +00 +70 +00 +4f +00 +91 +00 +6b +00 +a8 +00 +9b +00 +ef +00 +a2 +00 +03 +10 +91 +00 +dc +00 +6f +00 +9f +00 +a7 +00 +ca +00 +9f +00 +a8 +00 +90 +00 +d0 +00 +a2 +00 +2d +10 +be +10 +54 +50 +d9 +30 +17 +50 +ac +20 +b8 +20 +66 +20 +6f +30 +92 +30 +52 +50 +7d +40 +cf +80 +a5 +60 +99 +a0 +66 +70 +f0 +a0 +63 +70 +cd +a0 +a0 +70 +e2 +a0 +55 +70 +ec +a0 +7d +70 +04 +b0 +94 +70 +07 +b0 +a2 +70 +63 +b0 +82 +70 +d9 +a0 +36 +70 +cd +a0 +58 +70 +98 +a0 +38 +70 +db +a0 +67 +70 +ab +a0 +f2 +60 +ca +90 +57 +40 +38 +40 +91 +30 +bd +40 +ee +40 +61 +80 +ca +50 +9e +80 +9a +30 +8e +30 +43 +20 +b8 +20 +da +30 +af +70 +52 +40 +3a +50 +05 +40 +29 +60 +d1 +40 +74 +80 +4e +50 +64 +80 +4c +50 +2a +70 +4c +50 +7d +70 +74 +50 +97 +80 +26 +40 +df +30 +b4 +20 +af +20 +74 +20 +98 +20 +a0 +10 +64 +10 +c4 +00 +c6 +00 +7b +00 +a1 +00 +59 +00 +91 +00 +59 +00 +99 +00 +9c +00 +5c +20 +a3 +10 +83 +20 +c9 +00 +b8 +00 +56 +00 +7c +00 +8e +00 +3d +10 +54 +10 +3c +20 +d5 +00 +d6 +00 +8b +00 +a6 +00 +70 +00 +80 +00 +66 +00 +8d +00 +62 +00 +86 +00 +5d +00 +91 +00 +4e +00 +6f +00 +41 +00 +56 +00 +36 +00 +5c +00 +35 +00 +64 +00 +48 +00 +57 +00 +92 +00 +8f +00 +8c +00 +b9 +10 +88 +10 +8f +30 +10 +10 +25 +10 +a7 +00 +1b +10 +9a +00 +e2 +00 +ac +00 +21 +20 +82 +00 +6d +00 +49 +00 +3f +00 +36 +00 +4f +00 +41 +00 +69 +00 +48 +00 +65 +00 +37 +00 +5c +00 +34 +00 +57 +00 +2c +00 +62 +00 +30 +00 +55 +00 +2e +00 +40 +00 +22 +00 +48 +00 +2b +00 +4d +00 +2a +00 +50 +00 +29 +00 +54 +00 +2b +00 +67 +00 +31 +00 +52 +00 +38 +00 +56 +00 +2b +00 +5d +00 +2c +00 +54 +00 +24 +00 +42 +00 +1b +00 +41 +00 +1e +00 +3e +00 +2b +00 +43 +00 +25 +00 +51 +00 +31 +00 +64 +00 +2d +00 +5f +00 +34 +00 +5f +00 +34 +00 +5d +00 +3d +00 +8a +00 +4d +00 +61 +00 +36 +00 +56 +00 +29 +00 +61 +00 +25 +00 +59 +00 +2c +00 +46 +00 +32 +00 +5f +00 +45 +00 +7b +00 +96 +00 +84 +10 +d8 +00 +31 +10 +c1 +00 +a5 +00 +77 +00 +9d +00 +72 +00 +b1 +00 +9e +00 +6e +20 +06 +10 +ea +00 +8d +00 +cb +00 +cf +00 +ea +00 +f2 +00 +10 +10 +df +00 +2d +10 +42 +20 +ed +60 +85 +40 +fe +80 +f9 +30 +2e +30 +f3 +10 +8e +10 +f1 +10 +80 +50 +b5 +30 +a6 +60 +9c +40 +36 +70 +22 +30 +1a +20 +84 +10 +00 +10 +41 +10 +1d +20 +e3 +10 +f9 +10 +1c +10 +05 +10 +a9 +00 +ee +00 +d5 +00 +47 +10 +76 +00 +eb +00 +96 +00 +d4 +00 +6b +00 +8f +00 +47 +00 +00 +00 +00 +00 +3a +00 +9a +00 +38 +00 +99 +00 +2c +00 +90 +00 +30 +00 +a2 +00 +51 +00 +77 +10 +e0 +00 +da +10 +63 +00 +05 +20 +f5 +10 +18 +60 +44 +20 +20 +60 +a6 +10 +84 +50 +20 +20 +f3 +40 +d1 +00 +76 +10 +56 +00 +d3 +00 +5a +00 +f6 +00 +5f +00 +fe +00 +45 +00 +c1 +00 +35 +00 +a1 +00 +28 +00 +91 +00 +34 +00 +7e +00 +2f +00 +7b +00 +2c +00 +83 +00 +28 +00 +6a +00 +2e +00 +ad +00 +3c +00 +83 +00 +54 +00 +1d +10 +67 +00 +22 +10 +44 +00 +b0 +00 +32 +00 +a7 +00 +47 +00 +02 +10 +57 +00 +27 +10 +98 +00 +1d +20 +fd +00 +e1 +20 +2c +10 +95 +40 +27 +10 +0a +20 +56 +00 +d5 +00 +48 +00 +ba +00 +3b +00 +9c +00 +31 +00 +87 +00 +33 +00 +e7 +00 +53 +00 +bf +00 +38 +00 +b3 +00 +38 +00 +81 +00 +2e +00 +87 +00 +2d +00 +d6 +00 +c4 +00 +91 +10 +3b +00 +86 +00 +26 +00 +73 +00 +27 +00 +6a +00 +22 +00 +aa +00 +4b +00 +87 +00 +2e +00 +5b +00 +1e +00 +64 +00 +25 +00 +5e +00 +1f +00 +65 +00 +1d +00 +6a +00 +21 +00 +64 +00 +22 +00 +68 +00 +2f +00 +4d +10 +58 +10 +65 +40 +29 +20 +2e +60 +c9 +10 +0a +30 +67 +00 +cb +00 +2c +00 +7c +00 +2b +00 +66 +00 +21 +00 +72 +00 +21 +00 +7c +00 +29 +00 +73 +00 +25 +00 +58 +00 +20 +00 +69 +00 +1d +00 +50 +00 +16 +00 +41 +00 +1c +00 +66 +00 +21 +00 +61 +00 +2a +00 +71 +00 +2e +00 +85 +00 +34 +00 +72 +00 +22 +00 +54 +00 +19 +00 +4a +00 +1d +00 +50 +00 +1a +00 +46 +00 +20 +00 +5d +00 +22 +00 +53 +00 +1d +00 +5d +00 +20 +00 +58 +00 +23 +00 +5d +00 +2c +00 +56 +00 +23 +00 +45 +00 +1c +00 +63 +00 +21 +00 +54 +00 +24 +00 +65 +00 +30 +00 +8a +00 +29 +00 +75 +00 +27 +00 +65 +00 +21 +00 +6c +00 +28 +00 +64 +00 +28 +00 +5e +00 +20 +00 +54 +00 +22 +00 +4d +00 +24 +00 +81 +00 +2f +00 +89 +00 +2c +00 +7b +00 +21 +00 +49 +00 +1e +00 +46 +00 +22 +00 +52 +00 +19 +00 +50 +00 +1c +00 +43 +00 +1e +00 +4c +00 +1c +00 +57 +00 +1f +00 +5d +00 +24 +00 +5f +00 +21 +00 +46 +00 +21 +00 +50 +00 +36 +00 +cd +00 +36 +00 +75 +00 +28 +00 +75 +00 +36 +00 +8e +00 +36 +00 +9f +00 +44 +00 +e9 +00 +41 +00 +bc +00 +4d +00 +af +00 +46 +00 +7e +10 +a1 +00 +31 +10 +3e +00 +b7 +00 +42 +00 +bc +00 +60 +00 +63 +10 +4a +10 +80 +50 +aa +10 +66 +30 +a7 +00 +1c +20 +db +00 +32 +40 +bc +10 +0f +50 +4e +30 +b7 +90 +d7 +30 +b2 +a0 +fe +30 +d5 +a0 +f9 +30 +d7 +a0 +16 +40 +1b +b0 +09 +40 +e4 +a0 +ec +30 +02 +b0 +c6 +30 +1e +b0 +39 +40 +28 +b0 +00 +40 +7b +a0 +d2 +30 +1a +b0 +e7 +30 +b8 +a0 +e1 +30 +a6 +a0 +f6 +30 +bf +a0 +36 +30 +87 +60 +e6 +10 +38 +60 +f5 +20 +3b +80 +6e +30 +a7 +90 +0d +30 +99 +50 +fa +00 +69 +20 +00 +10 +73 +50 +00 +30 +a8 +70 +59 +20 +2c +70 +ca +20 +3a +70 +c2 +20 +10 +80 +e8 +20 +6b +80 +74 +30 +34 +90 +6d +30 +d3 +90 +73 +30 +2e +70 +5c +10 +22 +50 +3a +20 +01 +60 +24 +20 +c4 +30 +83 +00 +0c +10 +4b +00 +ab +00 +36 +00 +9f +00 +33 +00 +9d +00 +30 +00 +a6 +00 +9a +00 +80 +20 +97 +00 +3f +10 +34 +00 +9c +00 +2f +00 +b4 +00 +de +00 +cb +30 +b1 +00 +08 +10 +39 +00 +9a +00 +30 +00 +78 +00 +32 +00 +7e +00 +2f +00 +7f +00 +2d +00 +75 +00 +2c +00 +63 +00 +27 +00 +70 +00 +27 +00 +5f +00 +24 +00 +59 +00 +23 +00 +54 +00 +9b +00 +a6 +20 +61 +00 +9f +00 +55 +00 +40 +10 +94 +00 +25 +20 +87 +00 +e8 +00 +46 +00 +b0 +00 +3a +00 +d7 +00 +4b +00 +c2 +00 +2f +00 +55 +00 +11 +00 +3c +00 +1c +00 +3b +00 +26 +00 +67 +00 +24 +00 +6c +00 +26 +00 +5d +00 +1c +00 +40 +00 +22 +00 +5c +00 +20 +00 +4e +00 +1c +00 +43 +00 +1c +00 +4f +00 +22 +00 +53 +00 +23 +00 +5d +00 +1f +00 +4b +00 +16 +00 +51 +00 +17 +00 +50 +00 +20 +00 +4f +00 +21 +00 +60 +00 +18 +00 +3b +00 +17 +00 +38 +00 +1b +00 +40 +00 +1a +00 +43 +00 +21 +00 +57 +00 +1e +00 +54 +00 +1c +00 +5c +00 +25 +00 +74 +00 +29 +00 +51 +00 +21 +00 +5d +00 +20 +00 +5a +00 +29 +00 +5e +00 +23 +00 +61 +00 +2c +00 +4e +00 +17 +00 +48 +00 +2c +00 +68 +00 +20 +00 +61 +00 +2e +00 +bb +00 +54 +00 +14 +10 +94 +00 +25 +10 +32 +00 +a1 +00 +36 +00 +91 +00 +40 +00 +b9 +00 +cd +00 +4b +20 +65 +00 +13 +10 +d5 +00 +8b +20 +09 +10 +84 +30 +be +00 +3e +10 +70 +00 +7d +20 +e7 +20 +e9 +80 +93 +30 +80 +80 +16 +10 +0e +20 +68 +00 +6a +10 +26 +10 +f4 +30 +10 +20 +5d +60 +d9 +10 +c2 +30 +82 +00 +30 +10 +57 +00 +28 +10 +0f +10 +37 +30 +83 +00 +40 +10 +50 +00 +cf +00 +4c +00 +ed +00 +59 +00 +cb +00 +42 +00 +bd +00 +57 +00 +cd +00 +3e +00 +7d +00 +00 +00 +00 +00 +af +00 +5b +00 +94 +00 +56 +00 +88 +00 +60 +00 +86 +00 +6c +00 +ad +00 +82 +00 +0a +10 +bb +00 +63 +10 +54 +20 +c8 +40 +1c +40 +bd +60 +b8 +30 +2f +50 +c1 +30 +39 +60 +32 +20 +34 +20 +c9 +00 +c7 +00 +8d +00 +bb +00 +f7 +00 +1c +10 +55 +10 +07 +10 +9d +00 +96 +00 +5a +00 +8f +00 +5a +00 +83 +00 +4d +00 +85 +00 +46 +00 +77 +00 +61 +00 +8d +00 +73 +00 +d7 +00 +81 +00 +c1 +00 +8c +00 +ad +00 +8a +00 +e8 +00 +9a +00 +ce +00 +69 +00 +90 +00 +a0 +00 +e4 +00 +b5 +00 +0c +10 +c2 +00 +22 +10 +bb +00 +2e +10 +f6 +00 +a6 +10 +45 +10 +49 +20 +b8 +10 +bf +10 +bd +00 +d3 +00 +73 +00 +a1 +00 +60 +00 +ad +00 +4d +00 +7f +00 +63 +00 +9f +00 +68 +00 +8e +00 +5d +00 +8c +00 +4d +00 +83 +00 +50 +00 +79 +00 +80 +00 +f7 +10 +62 +10 +ce +10 +89 +00 +77 +00 +46 +00 +75 +00 +3e +00 +64 +00 +9b +00 +08 +20 +96 +00 +9b +00 +4d +00 +5b +00 +31 +00 +6a +00 +37 +00 +64 +00 +42 +00 +57 +00 +42 +00 +5a +00 +44 +00 +64 +00 +45 +00 +89 +00 +b4 +00 +2e +20 +15 +30 +60 +60 +77 +30 +42 +40 +8a +10 +86 +10 +98 +00 +88 +00 +50 +00 +78 +00 +3e +00 +63 +00 +47 +00 +77 +00 +62 +00 +74 +00 +46 +00 +6b +00 +3e +00 +5f +00 +31 +00 +56 +00 +32 +00 +51 +00 +37 +00 +51 +00 +46 +00 +50 +00 +34 +00 +5e +00 +53 +00 +6e +00 +60 +00 +7b +00 +45 +00 +5c +00 +34 +00 +45 +00 +2f +00 +55 +00 +32 +00 +51 +00 +44 +00 +4f +00 +30 +00 +5e +00 +31 +00 +59 +00 +32 +00 +57 +00 +2f +00 +5d +00 +39 +00 +66 +00 +39 +00 +73 +00 +31 +00 +59 +00 +35 +00 +66 +00 +3a +00 +51 +00 +3a +00 +73 +00 +4f +00 +9b +00 +3b +00 +60 +00 +32 +00 +67 +00 +34 +00 +67 +00 +34 +00 +60 +00 +3c +00 +50 +00 +3d +00 +60 +00 +2d +00 +51 +00 +3c +00 +6e +00 +3e +00 +7b +00 +40 +00 +55 +00 +38 +00 +41 +00 +3a +00 +59 +00 +4a +00 +6a +00 +3d +00 +4c +00 +2f +00 +49 +00 +34 +00 +57 +00 +3b +00 +5a +00 +37 +00 +5b +00 +3d +00 +5f +00 +32 +00 +51 +00 +49 +00 +67 +00 +5f +00 +9a +00 +87 +00 +81 +00 +50 +00 +6c +00 +6b +00 +af +00 +83 +00 +9e +00 +69 +00 +9a +00 +67 +00 +ab +00 +8c +00 +ff +00 +b4 +10 +22 +30 +7d +10 +44 +10 +96 +00 +b0 +00 +7e +00 +af +00 +06 +10 +9e +10 +3b +20 +fa +40 +20 +20 +1a +20 +ce +10 +be +10 +ba +20 +31 +50 +8d +30 +ce +70 +15 +60 +3e +a0 +0f +70 +db +a0 +7b +70 +f2 +a0 +7b +70 +d6 +a0 +b5 +70 +35 +b0 +72 +70 +e9 +a0 +88 +70 +12 +b0 +5a +70 +d6 +a0 +82 +70 +ba +a0 +bb +70 +29 +b0 +73 +70 +cd +a0 +98 +70 +d8 +a0 +64 +70 +9d +a0 +35 +70 +08 +a0 +64 +60 +c1 +80 +d2 +50 +de +80 +e2 +50 +7c +90 +91 +50 +42 +80 +82 +40 +f2 +30 +b6 +20 +cb +20 +c1 +30 +fb +50 +83 +40 +ef +60 +3e +50 +a1 +70 +e2 +50 +32 +90 +2e +60 +8b +90 +6c +60 +b1 +90 +81 +60 +08 +a0 +79 +60 +97 +90 +2a +50 +fe +60 +20 +50 +b6 +70 +81 +40 +67 +70 +b9 +20 +43 +20 +18 +10 +e7 +00 +78 +00 +b4 +00 +5d +00 +a4 +00 +5a +00 +8c +00 +6d +00 +db +00 +a9 +00 +3a +10 +90 +00 +be +00 +6f +00 +84 +00 +70 +00 +f9 +00 +c7 +00 +4f +10 +00 +10 +f7 +00 +9a +00 +93 +00 +4d +00 +81 +00 +3b +00 +7c +00 +3d +00 +70 +00 +41 +00 +69 +00 +48 +00 +5f +00 +32 +00 +65 +00 +35 +00 +50 +00 +2a +00 +54 +00 +4e +00 +bb +00 +7f +00 +e0 +00 +85 +00 +ae +00 +aa +00 +0a +10 +e0 +00 +71 +10 +87 +00 +9c +00 +61 +00 +91 +00 +69 +00 +ae +00 +52 +00 +7b +00 +3d +00 +49 +00 +31 +00 +3b +00 +2c +00 +46 +00 +30 +00 +58 +00 +3c +00 +a5 +00 +30 +00 +51 +00 +2b +00 +50 +00 +2f +00 +53 +00 +2d +00 +52 +00 +26 +00 +41 +00 +25 +00 +50 +00 +28 +00 +4d +00 +2b +00 +46 +00 +30 +00 +4c +00 +26 +00 +46 +00 +25 +00 +4a +00 +21 +00 +5b +00 +2d +00 +54 +00 +26 +00 +3f +00 +23 +00 +36 +00 +20 +00 +40 +00 +29 +00 +47 +00 +32 +00 +6a +00 +2c +00 +3b +00 +33 +00 +66 +00 +2e +00 +54 +00 +2d +00 +54 +00 +30 +00 +64 +00 +2f +00 +55 +00 +2c +00 +4d +00 +2b +00 +56 +00 +2a +00 +5a +00 +29 +00 +5c +00 +2e +00 +5d +00 +3f +00 +73 +00 +6b +00 +bf +00 +06 +10 +6f +20 +05 +10 +d7 +00 +b0 +00 +43 +10 +87 +00 +a0 +00 +77 +00 +cf +00 +80 +00 +05 +10 +fa +00 +30 +30 +6d +10 +aa +40 +79 +20 +ce +20 +7d +10 +4e +10 +d5 +10 +ea +40 +de +40 +c5 +90 +94 +50 +58 +70 +8a +20 +37 +10 +82 +10 +93 +20 +ac +30 +c6 +70 +49 +50 +f3 +50 +71 +30 +14 +30 +c9 +20 +44 +20 +d0 +10 +99 +30 +c6 +10 +b4 +10 +0f +10 +c7 +00 +93 +00 +c8 +00 +6f +00 +ae +00 +62 +00 +92 +00 +50 +00 +87 +00 +4e +00 +78 +00 +3b +00 +00 +00 +00 +00 +33 +00 +98 +00 +2b +00 +94 +00 +25 +00 +85 +00 +2c +00 +84 +00 +34 +00 +a9 +00 +42 +00 +cc +00 +84 +00 +30 +30 +12 +20 +5d +60 +64 +20 +8e +50 +f7 +10 +40 +60 +f7 +10 +90 +30 +7f +00 +07 +10 +44 +00 +a7 +00 +42 +00 +f0 +00 +08 +10 +a2 +30 +a8 +00 +ec +00 +39 +00 +8e +00 +2d +00 +7b +00 +30 +00 +8c +00 +1e +00 +77 +00 +2b +00 +85 +00 +4c +00 +bb +00 +58 +00 +ed +00 +3f +00 +98 +00 +3a +00 +c1 +00 +50 +00 +e6 +00 +3e +00 +96 +00 +35 +00 +dc +00 +6e +00 +14 +10 +79 +00 +3b +10 +45 +00 +08 +10 +4f +00 +f7 +00 +5e +00 +66 +10 +d3 +00 +ed +30 +0e +10 +d0 +10 +47 +00 +b7 +00 +3a +00 +95 +00 +2c +00 +7f +00 +29 +00 +85 +00 +2f +00 +84 +00 +2a +00 +7d +00 +36 +00 +7f +00 +2b +00 +81 +00 +28 +00 +a5 +00 +87 +00 +2c +20 +86 +00 +da +00 +2e +00 +7a +00 +29 +00 +78 +00 +31 +00 +98 +00 +a0 +00 +80 +10 +35 +00 +5c +00 +26 +00 +75 +00 +22 +00 +5f +00 +21 +00 +6f +00 +27 +00 +6a +00 +2c +00 +73 +00 +25 +00 +73 +00 +27 +00 +a2 +00 +be +00 +74 +40 +92 +20 +80 +50 +ed +00 +bb +10 +54 +00 +b1 +00 +36 +00 +80 +00 +2d +00 +62 +00 +27 +00 +6c +00 +2f +00 +b9 +00 +36 +00 +80 +00 +2b +00 +6b +00 +20 +00 +59 +00 +23 +00 +52 +00 +1c +00 +5d +00 +1b +00 +64 +00 +22 +00 +56 +00 +25 +00 +82 +00 +32 +00 +82 +00 +29 +00 +6c +00 +20 +00 +54 +00 +1b +00 +57 +00 +21 +00 +4f +00 +22 +00 +70 +00 +33 +00 +6a +00 +1f +00 +59 +00 +23 +00 +5d +00 +30 +00 +70 +00 +1f +00 +59 +00 +2c +00 +65 +00 +27 +00 +5e +00 +1d +00 +62 +00 +21 +00 +5e +00 +22 +00 +54 +00 +27 +00 +7e +00 +34 +00 +72 +00 +1f +00 +5e +00 +1f +00 +55 +00 +27 +00 +5a +00 +1c +00 +4b +00 +1b +00 +53 +00 +1c +00 +50 +00 +21 +00 +5e +00 +25 +00 +72 +00 +26 +00 +5c +00 +1c +00 +46 +00 +20 +00 +4b +00 +3b +00 +94 +00 +22 +00 +60 +00 +21 +00 +4a +00 +18 +00 +50 +00 +17 +00 +61 +00 +29 +00 +70 +00 +26 +00 +64 +00 +2b +00 +61 +00 +22 +00 +54 +00 +27 +00 +76 +00 +9b +00 +eb +10 +44 +00 +84 +00 +30 +00 +cd +00 +65 +00 +d1 +00 +44 +00 +a4 +00 +42 +00 +96 +00 +44 +00 +c8 +00 +d7 +00 +18 +40 +9f +10 +de +30 +8e +00 +01 +10 +47 +00 +aa +00 +61 +00 +6e +10 +5e +00 +06 +20 +3d +10 +b8 +20 +85 +00 +7c +10 +84 +00 +dc +20 +d5 +10 +ed +30 +e7 +20 +13 +90 +bf +30 +8e +a0 +e5 +30 +cb +a0 +e8 +30 +bf +a0 +29 +40 +5e +b0 +e7 +30 +18 +b0 +f4 +30 +0f +b0 +d2 +30 +20 +b0 +c9 +30 +fe +a0 +e3 +30 +90 +a0 +dd +30 +db +a0 +19 +40 +22 +b0 +ed +30 +e4 +a0 +db +30 +b9 +a0 +b5 +30 +fd +90 +91 +30 +a2 +90 +7a +30 +40 +90 +1b +30 +29 +80 +46 +30 +31 +80 +bf +10 +5c +30 +93 +10 +a0 +50 +9e +20 +e8 +70 +37 +30 +10 +90 +80 +30 +b1 +90 +7e +30 +41 +a0 +b5 +30 +2c +a0 +af +30 +21 +a0 +b8 +30 +ed +90 +81 +30 +ff +80 +4e +30 +b8 +80 +e6 +20 +c3 +60 +84 +20 +53 +50 +c8 +00 +69 +10 +49 +00 +ad +00 +3d +00 +a7 +00 +3a +00 +98 +00 +34 +00 +a2 +00 +46 +00 +06 +10 +4b +00 +d3 +00 +35 +00 +93 +00 +49 +00 +ec +00 +51 +00 +f0 +00 +c0 +00 +aa +30 +bd +00 +ee +00 +2d +00 +87 +00 +30 +00 +6d +00 +2b +00 +6c +00 +24 +00 +5b +00 +26 +00 +69 +00 +2e +00 +6e +00 +23 +00 +5b +00 +21 +00 +53 +00 +24 +00 +63 +00 +37 +00 +a7 +00 +38 +00 +b1 +00 +2f +00 +c5 +00 +65 +00 +8e +10 +59 +00 +b0 +00 +2b +00 +7c +00 +2d +00 +88 +00 +2c +00 +81 +00 +20 +00 +5b +00 +20 +00 +46 +00 +17 +00 +3b +00 +16 +00 +48 +00 +1f +00 +55 +00 +27 +00 +54 +00 +1a +00 +46 +00 +1d +00 +62 +00 +22 +00 +53 +00 +1a +00 +51 +00 +1a +00 +41 +00 +1e +00 +4d +00 +24 +00 +4a +00 +22 +00 +4f +00 +1f +00 +45 +00 +14 +00 +44 +00 +1a +00 +4a +00 +23 +00 +46 +00 +23 +00 +46 +00 +12 +00 +38 +00 +17 +00 +42 +00 +15 +00 +43 +00 +1e +00 +4f +00 +2d +00 +4c +00 +1b +00 +4e +00 +19 +00 +52 +00 +1f +00 +4e +00 +21 +00 +4f +00 +1e +00 +54 +00 +22 +00 +5e +00 +2c +00 +61 +00 +26 +00 +4f +00 +16 +00 +58 +00 +1f +00 +5c +00 +26 +00 +64 +00 +28 +00 +8a +00 +65 +00 +64 +20 +f1 +00 +7c +10 +8f +00 +3d +10 +53 +00 +b3 +00 +59 +00 +25 +10 +4e +00 +c5 +00 +6c +00 +4e +10 +80 +00 +78 +10 +2b +10 +cc +50 +05 +20 +55 +30 +87 +00 +d6 +10 +6a +10 +90 +70 +ac +30 +bc +90 +ab +20 +56 +30 +66 +00 +5c +10 +ff +00 +db +50 +87 +30 +70 +90 +53 +30 +92 +70 +a4 +20 +a2 +60 +6b +10 +84 +20 +51 +10 +fd +20 +c8 +00 +66 +10 +48 +00 +c9 +00 +44 +00 +bd +00 +48 +00 +97 +00 +2d +00 +9b +00 +2f +00 +76 +00 +2d +00 +74 +00 +00 +00 +00 +00 +9c +00 +5e +00 +8e +00 +5e +00 +81 +00 +51 +00 +85 +00 +4f +00 +8c +00 +66 +00 +be +00 +b1 +00 +04 +10 +62 +20 +36 +50 +cf +30 +7e +60 +2a +30 +1b +50 +1a +30 +39 +50 +b5 +10 +91 +10 +a2 +00 +b0 +00 +72 +00 +a6 +00 +3c +10 +a3 +30 +ba +10 +54 +20 +ad +00 +96 +00 +53 +00 +87 +00 +4b +00 +7f +00 +42 +00 +71 +00 +50 +00 +6f +00 +5b +00 +ba +00 +5d +00 +c3 +00 +5d +00 +a1 +00 +60 +00 +8c +00 +72 +00 +b1 +00 +6a +00 +8f +00 +58 +00 +8f +00 +62 +00 +9e +00 +8e +00 +d3 +00 +8c +00 +df +00 +87 +00 +c8 +00 +93 +00 +e7 +00 +c6 +00 +68 +10 +93 +10 +4a +30 +39 +10 +2c +10 +70 +00 +ab +00 +65 +00 +a5 +00 +50 +00 +77 +00 +58 +00 +8a +00 +51 +00 +76 +00 +42 +00 +7c +00 +44 +00 +83 +00 +42 +00 +72 +00 +5f +00 +cb +00 +77 +00 +d7 +00 +70 +00 +8f +00 +4b +00 +7f +00 +4c +00 +6d +00 +64 +00 +f1 +00 +95 +00 +f1 +00 +55 +00 +66 +00 +46 +00 +69 +00 +48 +00 +56 +00 +42 +00 +73 +00 +46 +00 +82 +00 +61 +00 +79 +00 +55 +00 +8f +00 +ba +00 +84 +10 +29 +30 +81 +60 +bd +20 +92 +20 +28 +10 +d9 +00 +77 +00 +92 +00 +49 +00 +71 +00 +45 +00 +6e +00 +43 +00 +67 +00 +43 +00 +75 +00 +48 +00 +72 +00 +35 +00 +59 +00 +36 +00 +52 +00 +32 +00 +6e +00 +a0 +00 +9e +00 +3e +00 +59 +00 +46 +00 +6b +00 +8a +00 +8d +10 +83 +00 +82 +00 +43 +00 +5a +00 +2f +00 +56 +00 +2f +00 +4c +00 +2f +00 +60 +00 +41 +00 +c6 +00 +49 +00 +6b +00 +2e +00 +62 +00 +39 +00 +6c +00 +36 +00 +60 +00 +34 +00 +5e +00 +32 +00 +60 +00 +2c +00 +68 +00 +2d +00 +5c +00 +31 +00 +62 +00 +33 +00 +65 +00 +37 +00 +64 +00 +3b +00 +55 +00 +32 +00 +5d +00 +31 +00 +54 +00 +37 +00 +62 +00 +60 +00 +a1 +00 +47 +00 +77 +00 +32 +00 +56 +00 +36 +00 +65 +00 +38 +00 +54 +00 +3b +00 +48 +00 +35 +00 +48 +00 +62 +00 +19 +10 +f7 +00 +d2 +00 +63 +00 +63 +00 +3f +00 +52 +00 +37 +00 +59 +00 +57 +00 +94 +00 +99 +00 +81 +00 +49 +00 +6b +00 +40 +00 +64 +00 +3f +00 +5c +00 +5b +00 +24 +10 +83 +00 +e3 +00 +66 +00 +8a +00 +89 +00 +9f +10 +ba +00 +10 +10 +7f +00 +c2 +00 +6a +00 +99 +00 +1c +10 +4b +30 +79 +10 +8b +20 +f9 +00 +ae +10 +b0 +00 +e5 +00 +8a +00 +c1 +00 +c2 +00 +32 +10 +6a +10 +5f +20 +3d +20 +36 +20 +01 +20 +95 +10 +69 +20 +dd +40 +11 +30 +b0 +60 +d9 +50 +c7 +90 +df +60 +de +a0 +5d +70 +11 +b0 +53 +70 +2e +b0 +57 +70 +d8 +a0 +6b +70 +0f +b0 +c2 +70 +fb +a0 +6d +70 +d8 +a0 +48 +70 +d2 +a0 +5c +70 +1f +b0 +a1 +70 +3d +b0 +85 +70 +bd +a0 +54 +70 +a7 +a0 +e8 +60 +5f +a0 +a7 +60 +cf +90 +08 +60 +5e +90 +fe +40 +07 +70 +38 +50 +e9 +70 +c1 +40 +14 +60 +e6 +40 +29 +70 +9e +50 +e5 +80 +28 +60 +ef +90 +9e +60 +ed +90 +bc +60 +19 +a0 +f2 +60 +42 +a0 +e0 +60 +50 +a0 +c9 +60 +1e +a0 +97 +60 +17 +a0 +15 +60 +85 +90 +ba +40 +6f +50 +1e +30 +ec +30 +c4 +20 +4a +30 +50 +10 +0c +10 +97 +00 +bb +00 +72 +00 +b2 +00 +6f +00 +95 +00 +77 +00 +ca +00 +c5 +00 +20 +10 +79 +00 +9d +00 +7b +00 +33 +10 +b9 +00 +0c +10 +84 +00 +77 +10 +3f +10 +17 +20 +9d +00 +b1 +00 +55 +00 +a0 +00 +5f +00 +82 +00 +47 +00 +65 +00 +36 +00 +5b +00 +3e +00 +6a +00 +2b +00 +66 +00 +34 +00 +50 +00 +41 +00 +5e +00 +5c +00 +8a +00 +74 +00 +a1 +00 +ac +00 +a3 +00 +e2 +00 +16 +10 +9d +00 +d8 +00 +65 +00 +8a +00 +54 +00 +69 +00 +4c +00 +5f +00 +52 +00 +63 +00 +35 +00 +44 +00 +2d +00 +3e +00 +22 +00 +3b +00 +2d +00 +4d +00 +28 +00 +51 +00 +2b +00 +4f +00 +33 +00 +4a +00 +22 +00 +49 +00 +2b +00 +41 +00 +29 +00 +44 +00 +27 +00 +37 +00 +29 +00 +49 +00 +32 +00 +5a +00 +2a +00 +4e +00 +2b +00 +41 +00 +27 +00 +43 +00 +30 +00 +57 +00 +28 +00 +4e +00 +29 +00 +42 +00 +25 +00 +42 +00 +22 +00 +3e +00 +23 +00 +42 +00 +25 +00 +58 +00 +28 +00 +4c +00 +2b +00 +4a +00 +2d +00 +52 +00 +31 +00 +50 +00 +2d +00 +52 +00 +2b +00 +59 +00 +30 +00 +6c +00 +2f +00 +5d +00 +2d +00 +51 +00 +2b +00 +4e +00 +31 +00 +61 +00 +3b +00 +65 +00 +97 +00 +4c +10 +fe +10 +ca +20 +f6 +00 +e7 +10 +3d +10 +2c +10 +80 +00 +ab +00 +73 +00 +d0 +00 +6a +00 +bc +00 +82 +00 +f8 +00 +c7 +00 +dd +10 +6c +10 +89 +40 +b7 +20 +5c +30 +6e +20 +fe +20 +20 +40 +d3 +80 +75 +40 +03 +50 +58 +20 +df +10 +a6 +20 +df +30 +bb +40 +3c +90 +b7 +50 +17 +90 +b9 +30 +3c +40 +54 +20 +2b +40 +0a +20 +21 +20 +c2 +10 +0e +40 +d7 +10 +6e +10 +a2 +00 +b6 +00 +73 +00 +aa +00 +53 +00 +8e +00 +49 +00 +84 +00 +3c +00 +67 +00 +2d +00 +00 +00 +00 +00 +3a +00 +9c +00 +2c +00 +7e +00 +2d +00 +78 +00 +26 +00 +7c +00 +2e +00 +94 +00 +39 +00 +c0 +00 +61 +00 +8c +20 +b9 +10 +40 +50 +d3 +10 +b3 +30 +e2 +00 +f7 +20 +29 +10 +43 +20 +60 +00 +d5 +00 +30 +00 +9d +00 +45 +00 +58 +10 +64 +10 +2f +30 +94 +00 +f4 +00 +2e +00 +7a +00 +2c +00 +81 +00 +25 +00 +79 +00 +24 +00 +64 +00 +33 +00 +ca +00 +3b +00 +8c +00 +39 +00 +8e +00 +35 +00 +89 +00 +29 +00 +86 +00 +2c +00 +8b +00 +33 +00 +85 +00 +2a +00 +7c +00 +2e +00 +b0 +00 +35 +00 +9a +00 +3a +00 +9a +00 +3c +00 +b7 +00 +3c +00 +dd +00 +5c +00 +18 +20 +19 +10 +fe +10 +58 +00 +c7 +00 +3e +00 +8d +00 +39 +00 +8a +00 +28 +00 +68 +00 +2a +00 +73 +00 +25 +00 +6d +00 +2d +00 +7c +00 +2f +00 +72 +00 +25 +00 +80 +00 +35 +00 +be +00 +45 +00 +8d +00 +32 +00 +76 +00 +32 +00 +83 +00 +2e +00 +7e +00 +4c +00 +e0 +00 +43 +00 +8d +00 +2a +00 +67 +00 +22 +00 +71 +00 +26 +00 +6e +00 +2a +00 +81 +00 +2f +00 +95 +00 +2e +00 +85 +00 +34 +00 +9d +00 +da +00 +16 +50 +33 +20 +55 +40 +9e +00 +46 +10 +3d +00 +a3 +00 +30 +00 +7b +00 +32 +00 +6c +00 +2d +00 +6d +00 +30 +00 +7d +00 +2a +00 +69 +00 +2e +00 +78 +00 +23 +00 +48 +00 +1e +00 +5c +00 +34 +00 +85 +10 +65 +00 +98 +00 +23 +00 +57 +00 +2b +00 +94 +00 +3a +00 +90 +00 +28 +00 +68 +00 +20 +00 +55 +00 +2a +00 +65 +00 +22 +00 +4c +00 +20 +00 +71 +00 +37 +00 +75 +00 +2a +00 +60 +00 +23 +00 +62 +00 +2d +00 +5d +00 +23 +00 +4b +00 +1d +00 +5d +00 +20 +00 +5e +00 +21 +00 +5d +00 +22 +00 +59 +00 +1d +00 +68 +00 +27 +00 +66 +00 +28 +00 +5d +00 +1f +00 +64 +00 +1f +00 +62 +00 +26 +00 +65 +00 +1e +00 +7c +00 +99 +00 +e1 +00 +24 +00 +5e +00 +27 +00 +5b +00 +1f +00 +5f +00 +1f +00 +4a +00 +1b +00 +46 +00 +17 +00 +7b +00 +10 +10 +e7 +20 +5b +00 +a6 +00 +24 +00 +5a +00 +1d +00 +4e +00 +1c +00 +6b +00 +9e +00 +60 +20 +4c +00 +73 +00 +26 +00 +75 +00 +27 +00 +7d +00 +2a +00 +61 +00 +33 +00 +a3 +00 +3d +00 +97 +00 +2b +00 +9a +00 +4c +00 +f7 +00 +83 +00 +29 +10 +49 +00 +a8 +00 +45 +00 +b8 +10 +46 +10 +1a +20 +8c +00 +68 +10 +5b +00 +f9 +00 +39 +00 +b1 +00 +50 +00 +ef +00 +61 +00 +39 +10 +81 +10 +aa +50 +07 +20 +0b +50 +0b +10 +ac +20 +e1 +00 +d7 +20 +9c +20 +dc +80 +8b +30 +86 +a0 +c1 +30 +af +a0 +e0 +30 +b4 +a0 +1c +40 +ec +a0 +f6 +30 +2f +b0 +ff +30 +20 +b0 +fd +30 +ed +a0 +0d +40 +0f +b0 +f6 +30 +fe +a0 +ff +30 +de +a0 +e8 +30 +f9 +a0 +de +30 +17 +b0 +25 +40 +10 +b0 +b9 +30 +dc +90 +36 +30 +5f +80 +db +20 +6c +70 +9c +20 +da +70 +ca +20 +8a +70 +08 +30 +7a +80 +62 +30 +1c +90 +7a +30 +c2 +90 +a9 +30 +3e +a0 +cc +30 +38 +a0 +de +30 +67 +a0 +ce +30 +a2 +a0 +c4 +30 +43 +a0 +a4 +30 +f2 +90 +2f +30 +77 +80 +b4 +20 +de +50 +7a +10 +38 +40 +00 +20 +04 +60 +f6 +00 +bf +10 +54 +00 +b9 +00 +3c +00 +bb +00 +4e +00 +c4 +00 +32 +00 +a3 +00 +3f +00 +cc +00 +95 +00 +e9 +00 +37 +00 +b7 +00 +a4 +00 +42 +10 +55 +00 +d5 +00 +54 +00 +20 +10 +69 +00 +f5 +00 +31 +00 +8f +00 +47 +00 +df +00 +37 +00 +7d +00 +25 +00 +61 +00 +21 +00 +56 +00 +25 +00 +5b +00 +1e +00 +54 +00 +26 +00 +4d +00 +32 +00 +e2 +00 +43 +00 +a4 +00 +4e +00 +e4 +00 +48 +00 +e3 +00 +40 +00 +b5 +00 +30 +00 +99 +00 +2c +00 +69 +00 +2d +00 +52 +00 +24 +00 +60 +00 +1b +00 +3e +00 +21 +00 +3f +00 +15 +00 +3d +00 +1d +00 +43 +00 +1e +00 +5d +00 +20 +00 +50 +00 +1f +00 +4c +00 +1e +00 +52 +00 +1d +00 +42 +00 +1c +00 +40 +00 +22 +00 +48 +00 +18 +00 +3f +00 +12 +00 +51 +00 +22 +00 +5b +00 +1d +00 +42 +00 +18 +00 +48 +00 +1c +00 +4a +00 +1f +00 +4b +00 +17 +00 +4b +00 +1c +00 +4f +00 +20 +00 +3d +00 +16 +00 +43 +00 +18 +00 +44 +00 +20 +00 +57 +00 +1e +00 +48 +00 +1f +00 +54 +00 +1e +00 +50 +00 +24 +00 +56 +00 +19 +00 +4d +00 +25 +00 +65 +00 +1d +00 +59 +00 +28 +00 +5e +00 +27 +00 +53 +00 +26 +00 +66 +00 +29 +00 +60 +00 +2d +00 +b9 +00 +1c +10 +2b +40 +cc +00 +6c +10 +e6 +00 +9c +20 +64 +00 +d5 +00 +36 +00 +a2 +00 +43 +00 +a5 +00 +33 +00 +a6 +00 +45 +00 +15 +10 +6d +00 +b2 +10 +d6 +00 +e0 +20 +50 +20 +a4 +50 +04 +20 +b9 +60 +56 +20 +bb +60 +7f +10 +70 +20 +a8 +00 +10 +50 +96 +20 +88 +80 +d2 +30 +8b +90 +df +10 +b6 +30 +e6 +00 +1b +30 +3a +20 +2f +30 +b0 +00 +83 +20 +fe +10 +16 +30 +71 +00 +df +00 +47 +00 +b0 +00 +36 +00 +a0 +00 +3a +00 +7e +00 +36 +00 +9a +00 +29 +00 +66 +00 +00 +00 +00 +00 +b8 +00 +a8 +00 +ca +00 +55 +00 +85 +00 +42 +00 +78 +00 +52 +00 +8d +00 +7b +00 +b0 +00 +e6 +00 +0a +10 +16 +20 +ae +30 +2c +20 +43 +30 +e8 +10 +13 +20 +91 +10 +f0 +10 +10 +10 +00 +10 +a2 +00 +af +00 +ac +00 +c6 +00 +d7 +00 +6e +10 +d2 +00 +38 +10 +8e +00 +91 +00 +56 +00 +7e +00 +41 +00 +76 +00 +47 +00 +78 +00 +4c +00 +a3 +00 +aa +00 +2b +10 +6f +00 +7e +00 +4f +00 +7e +00 +55 +00 +82 +00 +54 +00 +70 +00 +4d +00 +7e +00 +4a +00 +83 +00 +6a +00 +7e +00 +6f +00 +95 +00 +66 +00 +98 +00 +6b +00 +90 +00 +81 +00 +95 +00 +8b +00 +c9 +00 +a6 +00 +5b +10 +98 +00 +e1 +00 +71 +00 +9e +00 +53 +00 +86 +00 +43 +00 +85 +00 +48 +00 +70 +00 +48 +00 +6f +00 +3c +00 +73 +00 +4a +00 +7f +00 +41 +00 +78 +00 +56 +00 +88 +00 +77 +00 +a4 +00 +72 +00 +78 +00 +54 +00 +95 +00 +52 +00 +71 +00 +68 +00 +03 +10 +69 +00 +96 +00 +59 +00 +6b +00 +54 +00 +77 +00 +9a +00 +a6 +00 +6b +00 +86 +00 +a7 +00 +b1 +00 +a0 +00 +9c +00 +66 +00 +8b +00 +eb +00 +04 +20 +7a +30 +b7 +60 +ee +20 +98 +20 +37 +10 +cf +00 +8c +00 +aa +00 +5c +00 +8a +00 +49 +00 +68 +00 +3c +00 +69 +00 +48 +00 +72 +00 +4b +00 +65 +00 +3f +00 +68 +00 +3a +00 +59 +00 +3b +00 +67 +00 +5b +00 +ad +00 +60 +00 +6f +00 +9e +00 +3e +10 +7a +00 +7c +00 +48 +00 +6e +00 +30 +00 +5b +00 +3e +00 +5d +00 +36 +00 +55 +00 +38 +00 +56 +00 +3b +00 +6b +00 +3e +00 +61 +00 +37 +00 +5c +00 +32 +00 +58 +00 +30 +00 +5d +00 +2f +00 +59 +00 +32 +00 +59 +00 +4d +00 +62 +00 +46 +00 +56 +00 +35 +00 +65 +00 +35 +00 +67 +00 +36 +00 +73 +00 +39 +00 +65 +00 +37 +00 +49 +00 +2f +00 +52 +00 +2f +00 +55 +00 +6c +00 +b8 +00 +5f +00 +98 +00 +41 +00 +53 +00 +32 +00 +51 +00 +2d +00 +51 +00 +29 +00 +46 +00 +25 +00 +4e +00 +80 +00 +0a +20 +f1 +00 +6b +10 +79 +00 +61 +00 +34 +00 +5a +00 +34 +00 +59 +00 +44 +00 +c0 +00 +72 +00 +d8 +00 +65 +00 +ad +00 +64 +00 +77 +00 +43 +00 +61 +00 +47 +00 +6d +00 +3e +00 +82 +00 +4c +00 +7d +00 +50 +00 +94 +00 +70 +00 +c1 +00 +7c +00 +d1 +00 +78 +00 +9c +00 +8d +00 +5c +10 +b6 +00 +57 +10 +b4 +00 +0a +10 +99 +00 +c4 +00 +7e +00 +c2 +00 +80 +00 +d3 +00 +59 +10 +e9 +20 +be +30 +7c +70 +e9 +40 +b2 +70 +55 +40 +bb +30 +e7 +20 +76 +40 +3e +50 +99 +90 +c8 +60 +95 +a0 +03 +70 +b2 +a0 +67 +70 +ee +a0 +72 +70 +c1 +a0 +83 +70 +ff +a0 +8c +70 +c3 +a0 +68 +70 +b1 +a0 +73 +70 +10 +b0 +86 +70 +ef +a0 +63 +70 +a7 +a0 +39 +70 +ae +a0 +e9 +60 +99 +90 +5d +60 +c8 +80 +d8 +50 +9c +70 +ce +50 +fc +80 +db +50 +05 +70 +b1 +50 +02 +80 +d6 +50 +f1 +80 +50 +60 +77 +90 +39 +60 +f5 +90 +c8 +60 +4f +a0 +d4 +60 +8b +a0 +0c +70 +4c +a0 +bd +60 +6a +a0 +6b +60 +7e +90 +0f +60 +62 +80 +34 +60 +aa +80 +58 +60 +90 +80 +ca +40 +3d +60 +04 +30 +87 +40 +d7 +20 +a6 +30 +36 +10 +02 +10 +b9 +00 +d9 +00 +59 +10 +90 +20 +e3 +00 +c9 +00 +ab +00 +97 +00 +8b +00 +ef +00 +ca +00 +e8 +00 +87 +00 +b1 +00 +71 +00 +cc +00 +86 +00 +d2 +00 +a7 +00 +cc +00 +8a +00 +ae +00 +6d +00 +b5 +00 +b1 +00 +b2 +00 +6c +00 +6d +00 +3e +00 +63 +00 +32 +00 +68 +00 +37 +00 +5b +00 +35 +00 +4a +00 +3f +00 +62 +00 +80 +00 +c8 +00 +a4 +10 +bd +20 +7c +20 +e3 +10 +e6 +00 +98 +00 +79 +00 +93 +00 +4a +00 +6b +00 +47 +00 +6c +00 +3d +00 +5e +00 +31 +00 +49 +00 +2a +00 +45 +00 +2a +00 +4a +00 +21 +00 +39 +00 +2c +00 +45 +00 +22 +00 +43 +00 +28 +00 +49 +00 +2c +00 +53 +00 +27 +00 +4c +00 +32 +00 +46 +00 +28 +00 +4f +00 +2a +00 +49 +00 +29 +00 +4a +00 +2a +00 +54 +00 +25 +00 +5a +00 +2d +00 +49 +00 +24 +00 +49 +00 +2f +00 +56 +00 +32 +00 +5c +00 +29 +00 +4c +00 +29 +00 +42 +00 +24 +00 +40 +00 +22 +00 +3c +00 +26 +00 +46 +00 +2c +00 +4b +00 +2b +00 +48 +00 +25 +00 +52 +00 +2c +00 +53 +00 +29 +00 +4c +00 +2c +00 +58 +00 +29 +00 +58 +00 +2a +00 +57 +00 +2a +00 +69 +00 +3f +00 +77 +00 +37 +00 +67 +00 +4b +00 +74 +00 +d4 +00 +5f +30 +28 +10 +b5 +10 +f4 +00 +88 +10 +ce +00 +7b +10 +81 +00 +a1 +00 +61 +00 +a4 +00 +64 +00 +ac +00 +87 +00 +bf +00 +ba +00 +18 +10 +33 +10 +ac +10 +b0 +10 +41 +40 +97 +30 +d8 +50 +aa +40 +9d +70 +ba +40 +e0 +40 +5d +20 +19 +20 +92 +30 +2d +70 +9c +50 +3d +a0 +2f +50 +b8 +40 +7a +30 +ce +20 +61 +30 +a8 +50 +90 +20 +ce +20 +cd +10 +e3 +20 +06 +10 +6d +10 +b4 +00 +dd +00 +d4 +00 +de +00 +67 +00 +84 +00 +4b +00 +8c +00 +4b +00 +75 +00 +42 +00 +00 +00 +00 +00 +56 +00 +6a +10 +53 +00 +a1 +00 +34 +00 +72 +00 +31 +00 +92 +00 +33 +00 +a1 +00 +7a +00 +f1 +10 +9a +00 +15 +30 +38 +10 +a5 +20 +a1 +00 +b1 +10 +7d +00 +d3 +10 +8b +00 +27 +10 +41 +00 +c6 +00 +44 +00 +c5 +00 +ad +00 +7a +20 +7f +00 +2d +10 +4a +00 +a4 +00 +2d +00 +8a +00 +28 +00 +7a +00 +2a +00 +76 +00 +2d +00 +85 +00 +35 +00 +a3 +00 +42 +00 +90 +00 +28 +00 +81 +00 +2e +00 +71 +00 +2a +00 +72 +00 +28 +00 +78 +00 +34 +00 +78 +00 +2f +00 +89 +00 +3c +00 +96 +00 +32 +00 +94 +00 +32 +00 +94 +00 +33 +00 +ab +00 +39 +00 +94 +00 +31 +00 +ba +00 +54 +00 +0a +10 +50 +00 +b8 +00 +36 +00 +7e +00 +32 +00 +7f +00 +2d +00 +77 +00 +2d +00 +77 +00 +37 +00 +74 +00 +23 +00 +7d +00 +2e +00 +76 +00 +27 +00 +83 +00 +2d +00 +96 +00 +41 +00 +b0 +00 +29 +00 +80 +00 +30 +00 +84 +00 +27 +00 +95 +00 +58 +00 +c3 +00 +2f +00 +6b +00 +2b +00 +7d +00 +3d +00 +1e +10 +bb +00 +06 +10 +48 +00 +8b +10 +6d +00 +0b +10 +39 +00 +93 +00 +32 +00 +c5 +00 +4f +10 +dd +50 +9c +20 +58 +60 +be +00 +3d +10 +4c +00 +40 +10 +6c +00 +ae +00 +31 +00 +87 +00 +28 +00 +77 +00 +29 +00 +6a +00 +29 +00 +71 +00 +25 +00 +73 +00 +28 +00 +6e +00 +22 +00 +5d +00 +25 +00 +95 +00 +2b +00 +7a +00 +2f +00 +17 +10 +bd +00 +0e +10 +35 +00 +74 +00 +1e +00 +5d +00 +1f +00 +60 +00 +21 +00 +68 +00 +28 +00 +4d +00 +21 +00 +62 +00 +2a +00 +80 +00 +26 +00 +57 +00 +1f +00 +5a +00 +22 +00 +55 +00 +20 +00 +51 +00 +24 +00 +55 +00 +1c +00 +77 +00 +27 +00 +76 +00 +28 +00 +67 +00 +29 +00 +6e +00 +1c +00 +5a +00 +2b +00 +5f +00 +26 +00 +57 +00 +1e +00 +5d +00 +1f +00 +61 +00 +20 +00 +b7 +00 +94 +00 +cd +00 +28 +00 +59 +00 +21 +00 +55 +00 +1d +00 +48 +00 +25 +00 +4c +00 +1d +00 +44 +00 +18 +00 +5c +00 +84 +00 +78 +10 +59 +00 +c3 +00 +22 +00 +57 +00 +26 +00 +50 +00 +2e +00 +6e +00 +39 +00 +99 +00 +32 +00 +9b +00 +4a +00 +d9 +00 +39 +00 +78 +00 +1e +00 +5b +00 +21 +00 +68 +00 +2c +00 +76 +00 +28 +00 +80 +00 +28 +00 +b1 +00 +4c +00 +b7 +00 +40 +00 +a9 +00 +3d +00 +b9 +00 +48 +00 +e0 +00 +5e +00 +fc +00 +54 +00 +ce +00 +46 +00 +b4 +00 +39 +00 +cd +00 +64 +00 +5b +10 +3a +10 +35 +60 +1f +30 +7d +80 +27 +30 +89 +80 +59 +20 +09 +40 +3f +10 +8b +60 +96 +30 +55 +a0 +be +30 +76 +a0 +e1 +30 +d5 +a0 +e5 +30 +f9 +a0 +0f +40 +e9 +a0 +f9 +30 +e3 +a0 +ec +30 +c3 +a0 +e1 +30 +f0 +a0 +d6 +30 +b0 +a0 +e1 +30 +90 +a0 +98 +30 +6a +90 +3d +30 +1f +90 +1a +30 +d4 +90 +23 +30 +4b +80 +0d +30 +65 +90 +3a +30 +1a +80 +e7 +20 +e3 +80 +60 +30 +46 +90 +5e +30 +80 +90 +89 +30 +ce +90 +b5 +30 +22 +a0 +ea +30 +81 +a0 +a2 +30 +07 +a0 +5a +30 +b6 +80 +02 +30 +47 +80 +1a +30 +3e +90 +73 +30 +c4 +90 +87 +30 +9d +90 +42 +30 +ba +70 +4b +10 +c3 +20 +00 +10 +43 +40 +0f +10 +ca +10 +5c +00 +f3 +00 +9e +00 +85 +30 +f7 +10 +ea +20 +4f +00 +c0 +00 +3f +00 +a8 +00 +70 +00 +18 +20 +c5 +00 +1f +10 +38 +00 +a7 +00 +3f +00 +c3 +00 +57 +00 +ef +00 +42 +00 +ae +00 +3c +00 +ac +00 +f7 +00 +65 +30 +aa +00 +c1 +00 +28 +00 +61 +00 +23 +00 +5c +00 +1e +00 +5b +00 +21 +00 +56 +00 +2b +00 +6c +00 +3e +00 +d3 +00 +0e +10 +4f +40 +5d +20 +fc +50 +1e +10 +a3 +10 +35 +00 +a1 +00 +2b +00 +73 +00 +29 +00 +70 +00 +23 +00 +5e +00 +2a +00 +5d +00 +25 +00 +49 +00 +17 +00 +50 +00 +19 +00 +36 +00 +13 +00 +4a +00 +1a +00 +3d +00 +27 +00 +4d +00 +28 +00 +5a +00 +2d +00 +57 +00 +1e +00 +48 +00 +1e +00 +51 +00 +25 +00 +5d +00 +26 +00 +58 +00 +20 +00 +53 +00 +1f +00 +51 +00 +20 +00 +50 +00 +1e +00 +41 +00 +21 +00 +51 +00 +1f +00 +56 +00 +22 +00 +4f +00 +1a +00 +47 +00 +1a +00 +41 +00 +1e +00 +4b +00 +1b +00 +4f +00 +1a +00 +49 +00 +18 +00 +46 +00 +1d +00 +4e +00 +1d +00 +4a +00 +1e +00 +54 +00 +22 +00 +4b +00 +25 +00 +5e +00 +2a +00 +54 +00 +24 +00 +61 +00 +31 +00 +75 +00 +59 +00 +7c +00 +34 +00 +6d +00 +3a +00 +fc +00 +c5 +00 +ab +10 +64 +00 +3b +10 +db +00 +63 +10 +58 +00 +b5 +00 +3f +00 +98 +00 +37 +00 +9d +00 +41 +00 +ab +00 +47 +00 +0d +10 +91 +00 +78 +10 +6e +00 +e9 +10 +38 +10 +cd +40 +9d +20 +6e +80 +82 +30 +ea +70 +1a +10 +31 +20 +e7 +00 +a1 +50 +12 +30 +b5 +90 +2e +40 +7c +90 +3b +20 +3f +50 +2d +20 +9d +60 +55 +20 +a9 +40 +a5 +00 +a4 +10 +89 +00 +64 +10 +60 +00 +d5 +00 +9c +00 +31 +20 +51 +00 +b5 +00 +35 +00 +78 +00 +35 +00 +70 +00 +28 +00 +71 +00 +00 +00 +00 +00 +d4 +00 +0e +10 +c3 +10 +6b +00 +90 +00 +46 +00 +79 +00 +54 +00 +93 +00 +be +00 +b7 +10 +37 +20 +88 +30 +70 +20 +0a +40 +d9 +10 +d9 +10 +2f +20 +92 +30 +34 +20 +d0 +10 +5f +10 +52 +10 +3b +10 +54 +10 +30 +10 +d2 +10 +4b +10 +ff +10 +d0 +00 +ca +00 +69 +00 +79 +00 +51 +00 +7a +00 +4a +00 +79 +00 +4d +00 +7b +00 +4d +00 +8e +00 +52 +00 +88 +00 +5a +00 +7f +00 +57 +00 +80 +00 +50 +00 +73 +00 +57 +00 +77 +00 +4b +00 +77 +00 +4f +00 +8e +00 +90 +00 +a8 +00 +a2 +00 +9e +00 +8d +00 +8a +00 +74 +00 +b9 +00 +17 +10 +31 +10 +7d +00 +87 +00 +70 +00 +b4 +00 +7a +00 +bb +00 +69 +00 +89 +00 +4e +00 +66 +00 +45 +00 +70 +00 +44 +00 +74 +00 +44 +00 +7e +00 +3e +00 +72 +00 +49 +00 +7b +00 +49 +00 +75 +00 +6a +00 +82 +00 +d8 +00 +38 +20 +af +00 +9f +00 +5b +00 +88 +00 +57 +00 +73 +00 +60 +00 +91 +00 +57 +00 +8f +00 +4d +00 +70 +00 +bb +00 +5c +10 +45 +10 +c5 +10 +bc +00 +e8 +00 +a2 +10 +f6 +30 +11 +20 +4d +10 +d8 +00 +98 +00 +d8 +00 +f4 +10 +10 +30 +11 +70 +f1 +30 +cd +40 +bb +10 +36 +10 +3f +10 +8e +10 +97 +00 +85 +00 +62 +00 +8c +00 +5d +00 +7f +00 +61 +00 +73 +00 +56 +00 +69 +00 +57 +00 +07 +10 +5d +00 +79 +00 +34 +00 +56 +00 +55 +00 +a4 +00 +53 +00 +76 +00 +70 +00 +3c +10 +9c +00 +b1 +00 +5f +00 +63 +00 +3e +00 +54 +00 +2c +00 +5c +00 +35 +00 +52 +00 +35 +00 +4c +00 +33 +00 +6c +00 +3a +00 +62 +00 +2d +00 +5e +00 +31 +00 +63 +00 +35 +00 +55 +00 +2d +00 +4e +00 +4a +00 +98 +00 +40 +10 +a0 +10 +77 +00 +6e +00 +37 +00 +59 +00 +32 +00 +53 +00 +32 +00 +6c +00 +30 +00 +52 +00 +34 +00 +5b +00 +34 +00 +56 +00 +39 +00 +58 +00 +54 +00 +ad +00 +57 +00 +a1 +00 +46 +00 +54 +00 +38 +00 +5c +00 +2f +00 +47 +00 +36 +00 +63 +00 +3d +00 +42 +00 +44 +00 +90 +00 +56 +00 +ad +00 +5e +00 +72 +00 +35 +00 +63 +00 +2f +00 +61 +00 +3a +00 +80 +00 +57 +00 +7d +00 +63 +00 +a2 +00 +53 +00 +89 +00 +4d +00 +74 +00 +44 +00 +5e +00 +3d +00 +7c +00 +48 +00 +70 +00 +57 +00 +74 +00 +62 +00 +9d +00 +63 +00 +99 +00 +5c +00 +95 +00 +78 +00 +a6 +00 +a2 +00 +dc +00 +9a +00 +c7 +00 +81 +00 +c3 +00 +7a +00 +c1 +00 +3f +10 +17 +20 +34 +30 +11 +40 +89 +40 +91 +80 +68 +50 +49 +90 +f7 +50 +e5 +80 +c1 +40 +ce +30 +cb +30 +9f +70 +2e +60 +5b +a0 +c0 +60 +91 +a0 +4d +70 +da +a0 +40 +70 +9e +a0 +71 +70 +f4 +a0 +55 +70 +83 +a0 +35 +70 +9a +a0 +c7 +60 +de +90 +a0 +60 +31 +90 +a8 +60 +60 +90 +ac +60 +ee +90 +d3 +60 +d1 +90 +46 +60 +e3 +80 +78 +60 +a3 +90 +49 +60 +69 +80 +58 +60 +1c +90 +3c +60 +69 +90 +44 +60 +9d +90 +7e +60 +09 +a0 +99 +60 +e6 +90 +5f +60 +2e +90 +44 +60 +d7 +80 +72 +60 +1c +90 +c6 +60 +7d +90 +a8 +50 +89 +60 +fb +40 +1c +90 +80 +60 +cf +90 +64 +60 +50 +90 +12 +40 +77 +30 +18 +20 +d4 +10 +52 +10 +b1 +10 +fd +00 +55 +10 +ac +10 +23 +20 +28 +10 +3f +20 +d8 +10 +43 +20 +fb +00 +bc +00 +9d +00 +e0 +00 +c5 +00 +51 +10 +9b +00 +ca +00 +72 +00 +c6 +00 +98 +10 +46 +30 +72 +10 +00 +10 +ce +00 +c4 +00 +73 +00 +f6 +00 +94 +00 +c1 +10 +86 +00 +8f +00 +42 +00 +5f +00 +2d +00 +55 +00 +2e +00 +5a +00 +2c +00 +4f +00 +4e +00 +a1 +00 +ae +10 +49 +50 +98 +30 +3e +70 +49 +30 +8b +50 +17 +20 +42 +10 +b9 +00 +91 +00 +63 +00 +72 +00 +46 +00 +66 +00 +31 +00 +64 +00 +38 +00 +56 +00 +2b +00 +4f +00 +2d +00 +44 +00 +29 +00 +3f +00 +2a +00 +42 +00 +1f +00 +4c +00 +29 +00 +54 +00 +49 +00 +e7 +00 +3e +00 +55 +00 +30 +00 +53 +00 +24 +00 +5b +00 +2e +00 +57 +00 +3d +00 +5c +00 +2f +00 +4e +00 +2b +00 +51 +00 +20 +00 +54 +00 +26 +00 +59 +00 +24 +00 +64 +00 +2d +00 +4c +00 +22 +00 +4d +00 +22 +00 +49 +00 +25 +00 +42 +00 +23 +00 +41 +00 +23 +00 +46 +00 +26 +00 +41 +00 +28 +00 +4f +00 +27 +00 +55 +00 +2d +00 +55 +00 +29 +00 +58 +00 +2a +00 +50 +00 +2a +00 +54 +00 +2a +00 +4e +00 +30 +00 +61 +00 +37 +00 +70 +00 +7d +00 +66 +10 +a8 +00 +91 +00 +7e +00 +cf +00 +98 +00 +04 +10 +b9 +00 +99 +10 +93 +00 +c7 +00 +77 +00 +95 +00 +5d +00 +85 +00 +8d +00 +f4 +00 +11 +10 +05 +10 +8a +10 +a0 +30 +4b +20 +05 +20 +b0 +20 +18 +40 +cb +40 +97 +80 +c2 +50 +20 +90 +42 +40 +de +30 +74 +20 +5c +20 +ed +30 +b7 +70 +34 +60 +c4 +a0 +da +60 +1d +a0 +78 +60 +23 +90 +0a +60 +73 +90 +bb +40 +33 +30 +11 +20 +cc +10 +6e +10 +31 +10 +eb +00 +ff +00 +bb +00 +ee +00 +87 +00 +b2 +00 +6c +00 +7f +00 +3d +00 +77 +00 +45 +00 +00 +00 +00 +00 +44 +00 +70 +10 +62 +00 +bb +00 +2f +00 +74 +00 +27 +00 +7f +00 +2b +00 +b6 +00 +f8 +00 +27 +40 +6c +10 +6b +30 +c7 +00 +cd +10 +f1 +00 +ee +30 +d7 +10 +af +40 +5c +10 +52 +30 +3c +10 +64 +30 +0e +10 +fb +20 +ba +00 +c0 +10 +5a +00 +03 +10 +3b +00 +8b +00 +30 +00 +73 +00 +25 +00 +84 +00 +2f +00 +7c +00 +32 +00 +7a +00 +29 +00 +8d +00 +33 +00 +70 +00 +31 +00 +7e +00 +37 +00 +7b +00 +32 +00 +78 +00 +27 +00 +7f +00 +2d +00 +88 +00 +46 +00 +e6 +00 +7a +00 +0b +10 +3b +00 +a4 +00 +38 +00 +84 +00 +42 +00 +a4 +10 +7d +00 +e2 +00 +36 +00 +86 +00 +2e +00 +b1 +00 +30 +00 +8c +00 +2f +00 +84 +00 +2b +00 +6e +00 +32 +00 +7f +00 +2b +00 +7f +00 +29 +00 +67 +00 +2b +00 +80 +00 +30 +00 +67 +00 +3d +00 +29 +10 +36 +00 +e5 +00 +ab +00 +7f +10 +3e +00 +79 +00 +28 +00 +7f +00 +32 +00 +6b +00 +27 +00 +7a +00 +34 +00 +82 +00 +33 +00 +2f +10 +32 +10 +e5 +20 +8b +00 +0a +10 +4d +00 +bd +10 +fa +10 +a2 +50 +d2 +00 +5b +10 +40 +00 +b3 +00 +5f +00 +6e +20 +5b +20 +e4 +60 +b5 +10 +91 +30 +8d +00 +cc +10 +65 +00 +d9 +00 +2f +00 +84 +00 +59 +00 +dd +00 +33 +00 +86 +00 +5c +00 +dd +00 +25 +00 +76 +00 +3d +00 +88 +00 +27 +00 +55 +00 +18 +00 +64 +00 +27 +00 +86 +00 +35 +00 +8b +00 +78 +00 +a1 +10 +47 +00 +8e +00 +28 +00 +56 +00 +1e +00 +51 +00 +1c +00 +57 +00 +17 +00 +4f +00 +26 +00 +60 +00 +27 +00 +6d +00 +27 +00 +64 +00 +23 +00 +5d +00 +29 +00 +45 +00 +1f +00 +4f +00 +1e +00 +5e +00 +94 +00 +73 +30 +a3 +00 +00 +10 +24 +00 +62 +00 +26 +00 +63 +00 +22 +00 +5a +00 +22 +00 +5c +00 +14 +00 +58 +00 +25 +00 +4d +00 +1c +00 +57 +00 +25 +00 +9a +00 +41 +00 +86 +00 +27 +00 +66 +00 +24 +00 +52 +00 +21 +00 +52 +00 +20 +00 +57 +00 +41 +00 +69 +00 +16 +00 +50 +00 +2d +00 +b6 +00 +29 +00 +79 +00 +21 +00 +61 +00 +24 +00 +5d +00 +22 +00 +68 +00 +22 +00 +75 +00 +39 +00 +ae +00 +3b +00 +a4 +00 +2b +00 +77 +00 +26 +00 +59 +00 +24 +00 +5c +00 +26 +00 +73 +00 +28 +00 +7f +00 +32 +00 +a3 +00 +3a +00 +8b +00 +39 +00 +8e +00 +39 +00 +99 +00 +35 +00 +b1 +00 +47 +00 +ae +00 +47 +00 +c1 +00 +3e +00 +b0 +00 +57 +00 +fd +10 +ff +10 +a1 +60 +b6 +20 +b0 +70 +4e +30 +3d +90 +9b +30 +8d +90 +6d +30 +ca +80 +bc +10 +cd +30 +46 +20 +3f +80 +b5 +30 +3a +a0 +dd +30 +7c +a0 +d9 +30 +ba +a0 +f2 +30 +a2 +a0 +ee +30 +76 +a0 +91 +30 +59 +90 +36 +30 +c3 +80 +4f +30 +7e +90 +8c +30 +5e +a0 +d8 +30 +40 +a0 +a9 +30 +c8 +90 +3e +30 +2f +90 +6d +30 +9d +90 +28 +30 +d6 +80 +80 +30 +c7 +90 +60 +30 +61 +90 +7f +30 +8a +90 +77 +30 +5d +90 +3f +30 +da +80 +11 +30 +8c +80 +24 +30 +90 +90 +82 +30 +eb +90 +b7 +30 +31 +a0 +79 +30 +2d +90 +ab +10 +aa +40 +f8 +20 +fc +80 +6c +30 +c2 +90 +1e +30 +4c +50 +f8 +00 +62 +20 +78 +00 +72 +10 +70 +00 +14 +10 +b3 +00 +91 +30 +d2 +00 +8b +10 +c5 +00 +24 +40 +65 +10 +ae +10 +4c +00 +b1 +00 +8a +00 +9e +10 +5a +00 +d7 +00 +3c +00 +a2 +00 +75 +00 +55 +30 +5d +20 +03 +40 +b4 +00 +23 +20 +47 +00 +95 +00 +3c +00 +ba +00 +47 +00 +c6 +00 +2e +00 +62 +00 +1b +00 +53 +00 +23 +00 +56 +00 +1e +00 +57 +00 +23 +00 +75 +00 +48 +00 +11 +20 +6f +20 +8e +60 +93 +20 +cd +50 +1a +20 +fc +30 +71 +00 +e1 +00 +3a +00 +ad +00 +28 +00 +69 +00 +20 +00 +68 +00 +22 +00 +5f +00 +1b +00 +43 +00 +23 +00 +43 +00 +21 +00 +4b +00 +17 +00 +42 +00 +1b +00 +48 +00 +1b +00 +5a +00 +24 +00 +6d +00 +5a +00 +99 +00 +27 +00 +50 +00 +21 +00 +59 +00 +1e +00 +4f +00 +28 +00 +62 +00 +1f +00 +4c +00 +1e +00 +50 +00 +1d +00 +45 +00 +19 +00 +47 +00 +20 +00 +51 +00 +2e +00 +61 +00 +1b +00 +48 +00 +1c +00 +3f +00 +1f +00 +42 +00 +18 +00 +4d +00 +17 +00 +40 +00 +19 +00 +3e +00 +19 +00 +4d +00 +1b +00 +50 +00 +21 +00 +49 +00 +1d +00 +50 +00 +1a +00 +4f +00 +1e +00 +52 +00 +24 +00 +49 +00 +22 +00 +42 +00 +19 +00 +68 +00 +3c +00 +e0 +00 +0d +10 +ce +10 +3b +00 +95 +00 +41 +00 +bb +00 +34 +00 +b2 +00 +48 +00 +b7 +00 +42 +00 +90 +00 +30 +00 +8b +00 +3d +00 +d0 +00 +30 +10 +74 +30 +a3 +00 +11 +30 +24 +20 +c5 +40 +17 +20 +a9 +50 +4e +20 +39 +80 +7c +30 +22 +90 +79 +20 +ff +50 +a7 +10 +63 +20 +14 +10 +4f +60 +4b +30 +4d +a0 +0a +40 +00 +b0 +ef +30 +5d +a0 +f0 +30 +8a +a0 +0e +40 +14 +90 +2b +10 +07 +20 +66 +10 +04 +40 +a9 +00 +35 +20 +8a +00 +f7 +00 +42 +00 +eb +00 +c8 +00 +eb +00 +33 +00 +75 +00 +30 +00 +ac +00 +00 +00 +00 +00 +a6 +00 +7c +00 +b8 +00 +51 +00 +6f +00 +49 +00 +6e +00 +49 +00 +8f +00 +bc +00 +39 +20 +fc +10 +ce +30 +a4 +10 +bf +10 +23 +10 +2e +20 +c3 +10 +94 +30 +6a +10 +22 +20 +1e +10 +13 +20 +b3 +10 +59 +40 +84 +20 +4b +30 +49 +10 +17 +10 +a8 +00 +94 +00 +5a +00 +7e +00 +4e +00 +73 +00 +3e +00 +73 +00 +4c +00 +71 +00 +4e +00 +7f +00 +64 +00 +8b +00 +84 +00 +88 +00 +71 +00 +8b +00 +57 +00 +81 +00 +4c +00 +7d +00 +4f +00 +86 +00 +86 +00 +c4 +00 +e6 +10 +48 +30 +1b +20 +7a +10 +d4 +00 +af +00 +6d +00 +95 +00 +73 +00 +ce +00 +6e +00 +79 +00 +68 +00 +80 +00 +6a +00 +97 +00 +5c +00 +88 +00 +51 +00 +6f +00 +44 +00 +70 +00 +44 +00 +6e +00 +47 +00 +73 +00 +40 +00 +7f +00 +4f +00 +78 +00 +55 +00 +b6 +00 +77 +00 +cd +00 +6f +00 +a9 +00 +62 +00 +b6 +00 +66 +00 +79 +00 +3f +00 +6f +00 +42 +00 +7f +00 +4d +00 +75 +00 +54 +00 +93 +00 +1d +10 +3f +30 +16 +20 +2b +30 +ff +00 +e2 +00 +9d +10 +62 +40 +61 +30 +38 +50 +06 +20 +25 +10 +c7 +00 +d6 +00 +8b +10 +cb +30 +eb +20 +35 +50 +0b +20 +34 +20 +c9 +00 +e1 +00 +77 +00 +8f +00 +7d +00 +fc +00 +c4 +00 +f6 +00 +7c +00 +c7 +00 +60 +00 +a4 +00 +52 +00 +7a +00 +3a +00 +63 +00 +37 +00 +54 +00 +3e +00 +53 +00 +41 +00 +6e +00 +64 +00 +e1 +00 +d4 +00 +48 +10 +7e +00 +6b +00 +4a +00 +60 +00 +3c +00 +54 +00 +30 +00 +4b +00 +2d +00 +5a +00 +32 +00 +61 +00 +36 +00 +56 +00 +33 +00 +61 +00 +37 +00 +53 +00 +30 +00 +55 +00 +2f +00 +4d +00 +67 +00 +46 +10 +52 +10 +e0 +10 +9c +00 +8e +00 +4d +00 +56 +00 +3a +00 +62 +00 +3b +00 +64 +00 +2e +00 +5c +00 +30 +00 +4a +00 +30 +00 +55 +00 +39 +00 +64 +00 +b9 +00 +ce +10 +6a +00 +82 +00 +3f +00 +58 +00 +2d +00 +61 +00 +37 +00 +44 +00 +34 +00 +69 +00 +2f +00 +4f +00 +52 +00 +65 +00 +7a +00 +8d +00 +50 +00 +5b +00 +3c +00 +60 +00 +3b +00 +62 +00 +33 +00 +66 +00 +50 +00 +a8 +00 +73 +00 +c9 +00 +69 +00 +84 +00 +4e +00 +62 +00 +40 +00 +5d +00 +37 +00 +60 +00 +39 +00 +6f +00 +45 +00 +7b +00 +5e +00 +95 +00 +5d +00 +91 +00 +5d +00 +86 +00 +93 +00 +cb +00 +92 +00 +b5 +00 +90 +00 +d3 +00 +d3 +00 +d5 +00 +a5 +00 +cc +00 +8f +10 +dc +40 +6a +40 +46 +80 +d1 +50 +1f +90 +4f +60 +c1 +90 +76 +60 +b2 +90 +10 +60 +64 +80 +4b +40 +e6 +30 +c4 +40 +be +80 +6e +60 +48 +a0 +d9 +60 +4a +a0 +ce +60 +fa +90 +9e +60 +0e +90 +bf +60 +33 +90 +e1 +60 +13 +a0 +26 +70 +4e +a0 +20 +70 +89 +a0 +dc +60 +49 +a0 +7b +60 +29 +90 +65 +60 +cb +90 +8e +60 +7b +90 +01 +60 +30 +90 +6d +60 +f6 +90 +0a +60 +de +80 +d5 +50 +88 +80 +d0 +50 +4e +80 +2a +60 +12 +90 +a1 +60 +bf +90 +c2 +60 +db +90 +27 +70 +63 +a0 +1e +70 +2d +a0 +fb +60 +30 +a0 +74 +60 +46 +80 +e1 +40 +dd +60 +72 +40 +fd +60 +68 +40 +77 +80 +0a +40 +f3 +40 +79 +30 +9e +20 +60 +10 +10 +10 +be +00 +3b +10 +21 +10 +d3 +10 +2d +10 +25 +20 +85 +20 +71 +40 +56 +10 +2e +10 +33 +10 +33 +10 +4c +10 +31 +10 +c0 +00 +b5 +00 +8f +00 +c2 +00 +fe +00 +48 +40 +b9 +10 +a7 +20 +e9 +00 +d2 +00 +6c +00 +82 +00 +5b +00 +af +00 +5f +00 +71 +00 +40 +00 +4f +00 +2f +00 +5a +00 +3a +00 +5b +00 +49 +00 +68 +00 +fc +00 +ff +10 +cd +10 +54 +30 +e3 +10 +7e +30 +46 +30 +b7 +60 +45 +30 +ab +20 +3e +10 +ff +10 +bf +00 +9a +00 +57 +00 +7f +00 +3c +00 +63 +00 +32 +00 +53 +00 +2f +00 +43 +00 +2c +00 +52 +00 +2a +00 +3f +00 +2e +00 +44 +00 +2f +00 +53 +00 +34 +00 +63 +00 +38 +00 +67 +00 +2e +00 +60 +00 +2d +00 +53 +00 +26 +00 +55 +00 +29 +00 +4e +00 +2a +00 +53 +00 +29 +00 +4c +00 +2b +00 +4e +00 +2e +00 +49 +00 +25 +00 +4e +00 +2d +00 +64 +00 +27 +00 +5c +00 +2d +00 +48 +00 +25 +00 +43 +00 +28 +00 +46 +00 +27 +00 +3e +00 +1e +00 +4d +00 +1c +00 +4c +00 +28 +00 +47 +00 +26 +00 +55 +00 +2a +00 +57 +00 +28 +00 +49 +00 +27 +00 +39 +00 +28 +00 +51 +00 +28 +00 +55 +00 +27 +00 +5d +00 +3f +00 +8f +00 +66 +00 +fe +00 +6a +00 +c7 +00 +7a +00 +c2 +00 +98 +00 +af +00 +73 +00 +8d +00 +54 +00 +9c +00 +66 +00 +98 +00 +7c +00 +9f +00 +58 +10 +91 +40 +5b +30 +e1 +40 +b5 +30 +1a +60 +94 +40 +2e +80 +81 +50 +c9 +80 +ff +50 +a8 +90 +77 +50 +63 +60 +f6 +40 +c9 +50 +af +20 +d6 +20 +6d +40 +39 +80 +8e +60 +67 +b0 +65 +70 +4a +b0 +3d +70 +c7 +a0 +e4 +60 +dd +a0 +f4 +50 +38 +60 +50 +30 +e0 +30 +43 +20 +2f +30 +3a +20 +11 +30 +f7 +00 +f9 +00 +99 +00 +49 +10 +78 +00 +a5 +00 +5d +00 +93 +00 +5b +00 +00 +00 +00 +00 +30 +00 +a6 +00 +34 +00 +93 +00 +32 +00 +78 +00 +31 +00 +7f +00 +2c +00 +a2 +00 +7f +00 +05 +20 +f0 +00 +62 +20 +6c +00 +10 +10 +64 +00 +6a +10 +8b +00 +6a +10 +67 +00 +16 +10 +61 +00 +73 +10 +0b +10 +de +30 +89 +10 +3d +30 +57 +00 +b3 +00 +2e +00 +8b +00 +34 +00 +7d +00 +28 +00 +7e +00 +24 +00 +64 +00 +2a +00 +7d +00 +2b +00 +83 +00 +37 +00 +8f +00 +4d +00 +d7 +00 +2c +00 +78 +00 +2e +00 +75 +00 +2d +00 +7e +00 +2f +00 +9b +00 +94 +00 +b6 +30 +fe +10 +9a +50 +27 +10 +d0 +10 +4a +00 +98 +00 +31 +00 +a2 +00 +32 +00 +8a +00 +28 +00 +7d +00 +2d +00 +94 +00 +34 +00 +9c +00 +34 +00 +84 +00 +25 +00 +6d +00 +29 +00 +6e +00 +2d +00 +71 +00 +27 +00 +73 +00 +3d +00 +8e +00 +2d +00 +73 +00 +2c +00 +8a +00 +2a +00 +92 +00 +33 +00 +b5 +00 +31 +00 +8e +00 +30 +00 +7a +00 +24 +00 +6b +00 +1f +00 +6d +00 +2e +00 +7d +00 +61 +00 +00 +20 +f0 +00 +21 +40 +1b +10 +e5 +10 +66 +00 +a9 +10 +f1 +10 +7a +60 +d3 +10 +a2 +30 +82 +00 +f1 +00 +45 +00 +34 +10 +35 +10 +a0 +40 +2d +10 +68 +20 +72 +00 +f3 +00 +4b +00 +af +00 +33 +00 +9d +00 +8e +00 +69 +20 +81 +00 +cc +00 +36 +00 +8d +00 +30 +00 +78 +00 +24 +00 +5a +00 +2b +00 +5f +00 +1e +00 +5a +00 +22 +00 +66 +00 +28 +00 +72 +00 +2e +00 +b5 +00 +66 +00 +ea +00 +27 +00 +69 +00 +1d +00 +64 +00 +24 +00 +59 +00 +1e +00 +54 +00 +23 +00 +60 +00 +23 +00 +67 +00 +26 +00 +65 +00 +24 +00 +5f +00 +28 +00 +4b +00 +18 +00 +4f +00 +1c +00 +56 +00 +5f +00 +aa +10 +6e +00 +ee +00 +27 +00 +6a +00 +23 +00 +5a +00 +22 +00 +6b +00 +25 +00 +5c +00 +25 +00 +58 +00 +1d +00 +52 +00 +23 +00 +51 +00 +1d +00 +e8 +00 +8d +00 +f7 +00 +30 +00 +68 +00 +1f +00 +55 +00 +1f +00 +54 +00 +20 +00 +55 +00 +1e +00 +44 +00 +19 +00 +53 +00 +59 +00 +0c +10 +3b +00 +6d +00 +24 +00 +6e +00 +23 +00 +58 +00 +26 +00 +4d +00 +31 +00 +82 +00 +3c +00 +ae +00 +55 +00 +b5 +00 +2a +00 +7a +00 +2d +00 +5f +00 +29 +00 +4d +00 +21 +00 +6c +00 +2a +00 +71 +00 +2d +00 +90 +00 +3a +00 +a3 +00 +3c +00 +9d +00 +5d +00 +a7 +10 +ac +00 +09 +10 +43 +00 +d4 +00 +f7 +00 +06 +30 +6a +00 +e3 +00 +4f +00 +6c +10 +33 +20 +a6 +70 +69 +30 +2d +90 +73 +30 +d5 +90 +88 +30 +fd +90 +b2 +30 +0f +a0 +49 +30 +8c +70 +36 +10 +60 +40 +cf +20 +11 +90 +56 +30 +20 +90 +2d +30 +c4 +80 +19 +30 +8b +90 +83 +30 +5f +a0 +c2 +30 +46 +a0 +bb +30 +42 +a0 +d0 +30 +d6 +a0 +b7 +30 +4d +a0 +68 +30 +d9 +80 +82 +30 +c7 +90 +85 +30 +10 +90 +0c +30 +38 +90 +a0 +30 +1f +90 +27 +30 +5c +80 +e8 +20 +27 +80 +08 +30 +fa +80 +4b +30 +ca +90 +8b +30 +0b +a0 +a6 +30 +3f +a0 +b1 +30 +3d +a0 +d9 +30 +36 +a0 +c5 +30 +2c +a0 +a7 +30 +42 +a0 +56 +30 +d2 +70 +7a +20 +0e +50 +5b +10 +f4 +30 +03 +20 +06 +60 +d3 +20 +f8 +60 +ee +00 +97 +10 +53 +00 +db +00 +64 +00 +7f +10 +90 +00 +9c +10 +31 +10 +d1 +30 +2d +10 +04 +20 +72 +00 +87 +10 +88 +10 +13 +40 +83 +00 +1b +10 +52 +00 +c2 +00 +3e +00 +f5 +00 +a6 +00 +a6 +10 +86 +00 +08 +10 +3d +00 +7a +00 +27 +00 +6f +00 +2a +00 +5f +00 +2c +00 +54 +00 +19 +00 +50 +00 +26 +00 +4f +00 +25 +00 +60 +00 +49 +00 +1a +20 +da +10 +e8 +30 +a8 +00 +ef +10 +0d +10 +a4 +50 +e5 +20 +8d +60 +d1 +00 +90 +10 +e4 +00 +6f +10 +40 +00 +9d +00 +2a +00 +64 +00 +28 +00 +61 +00 +23 +00 +4d +00 +1c +00 +46 +00 +20 +00 +61 +00 +20 +00 +46 +00 +18 +00 +48 +00 +26 +00 +59 +00 +20 +00 +5a +00 +24 +00 +57 +00 +22 +00 +54 +00 +27 +00 +4c +00 +22 +00 +4a +00 +24 +00 +56 +00 +28 +00 +5c +00 +19 +00 +42 +00 +1a +00 +49 +00 +1d +00 +4e +00 +29 +00 +5c +00 +1f +00 +57 +00 +20 +00 +55 +00 +1c +00 +47 +00 +1d +00 +4c +00 +1f +00 +47 +00 +1f +00 +41 +00 +1a +00 +3f +00 +1e +00 +4e +00 +1f +00 +40 +00 +21 +00 +46 +00 +1e +00 +52 +00 +1e +00 +42 +00 +22 +00 +52 +00 +1e +00 +48 +00 +1d +00 +4e +00 +2a +00 +8d +00 +36 +00 +7d +00 +42 +00 +b3 +00 +3d +00 +9b +00 +77 +00 +66 +10 +36 +00 +8e +00 +2a +00 +92 +00 +38 +00 +a8 +00 +65 +00 +c6 +00 +4a +00 +52 +20 +24 +20 +37 +70 +ad +20 +70 +60 +cf +20 +b9 +80 +6f +30 +2d +90 +b2 +30 +ba +90 +71 +30 +d3 +60 +bf +20 +9f +80 +a2 +10 +ae +20 +41 +10 +af +60 +68 +30 +99 +a0 +56 +40 +71 +b0 +1f +40 +af +b0 +39 +40 +84 +b0 +55 +40 +95 +a0 +6b +20 +8c +40 +16 +20 +31 +30 +88 +10 +5d +30 +e3 +00 +87 +10 +61 +00 +11 +10 +5f +00 +d0 +00 +40 +00 +95 +00 +56 +00 +f4 +00 +00 +00 +00 +00 +9a +00 +70 +00 +99 +00 +64 +00 +7c +00 +55 +00 +6f +00 +4d +00 +81 +00 +83 +00 +ce +00 +06 +10 +9c +10 +de +00 +16 +10 +bf +00 +d9 +00 +c0 +00 +17 +10 +c1 +00 +f0 +00 +a1 +00 +f2 +00 +e0 +00 +69 +10 +0b +20 +5c +40 +7e +10 +85 +10 +7e +00 +8e +00 +55 +00 +8a +00 +45 +00 +7a +00 +48 +00 +74 +00 +43 +00 +6f +00 +5a +00 +7d +00 +7a +00 +92 +00 +fd +00 +17 +20 +fa +00 +e5 +00 +72 +00 +85 +00 +4b +00 +84 +00 +4e +00 +8f +00 +1a +10 +c6 +20 +43 +30 +4a +60 +ce +30 +02 +60 +25 +20 +9d +10 +96 +00 +87 +00 +69 +00 +a9 +00 +6d +00 +86 +00 +69 +00 +88 +00 +80 +00 +93 +00 +4f +00 +98 +00 +52 +00 +84 +00 +47 +00 +7e +00 +42 +00 +71 +00 +4d +00 +76 +00 +4e +00 +ab +00 +59 +00 +8e +00 +45 +00 +6e +00 +47 +00 +7f +00 +57 +00 +86 +00 +53 +00 +7b +00 +4f +00 +77 +00 +4a +00 +6f +00 +47 +00 +71 +00 +40 +00 +7a +00 +53 +00 +bb +00 +6e +10 +3a +30 +62 +10 +f1 +10 +0f +10 +17 +10 +28 +20 +c9 +40 +a5 +30 +03 +60 +36 +20 +c0 +10 +18 +10 +fc +00 +a7 +10 +3d +20 +a1 +10 +39 +20 +13 +10 +0a +10 +92 +00 +af +00 +70 +00 +92 +00 +8e +00 +ca +10 +1d +10 +b2 +10 +a9 +00 +a2 +00 +56 +00 +7c +00 +45 +00 +75 +00 +3a +00 +5e +00 +39 +00 +5e +00 +3f +00 +59 +00 +42 +00 +5a +00 +59 +00 +86 +00 +63 +00 +8f +00 +8f +00 +97 +00 +8a +00 +86 +00 +5c +00 +68 +00 +3f +00 +5a +00 +38 +00 +56 +00 +35 +00 +62 +00 +3d +00 +66 +00 +4e +00 +71 +00 +45 +00 +60 +00 +2f +00 +4a +00 +2e +00 +4f +00 +44 +00 +7e +00 +65 +00 +ae +00 +66 +00 +82 +00 +4a +00 +50 +00 +38 +00 +5e +00 +3b +00 +61 +00 +2d +00 +5b +00 +2d +00 +62 +00 +38 +00 +48 +00 +30 +00 +57 +00 +4f +00 +89 +00 +3e +00 +84 +00 +4a +00 +52 +00 +39 +00 +53 +00 +31 +00 +45 +00 +38 +00 +60 +00 +3f +00 +56 +00 +85 +00 +d8 +00 +a9 +00 +f2 +00 +61 +00 +65 +00 +43 +00 +5c +00 +3c +00 +54 +00 +3f +00 +77 +00 +8d +00 +e7 +00 +7e +00 +dc +00 +62 +00 +9c +00 +49 +00 +75 +00 +3b +00 +6b +00 +39 +00 +67 +00 +39 +00 +6f +00 +49 +00 +82 +00 +65 +00 +f3 +00 +85 +00 +bc +00 +6f +00 +5f +10 +d6 +10 +c2 +30 +f1 +00 +13 +10 +73 +10 +9e +30 +8d +20 +d3 +20 +7b +10 +22 +10 +fe +10 +42 +50 +a2 +40 +05 +90 +e7 +50 +b5 +90 +8c +60 +e4 +90 +cd +60 +0f +a0 +6c +60 +b0 +90 +67 +50 +e7 +50 +c3 +30 +ee +40 +cc +40 +2b +80 +52 +60 +93 +90 +b0 +60 +64 +a0 +fd +60 +a4 +a0 +09 +70 +11 +a0 +1e +70 +9e +a0 +0c +70 +83 +a0 +a5 +60 +07 +a0 +8f +60 +16 +90 +6d +60 +10 +a0 +d7 +50 +85 +80 +c6 +50 +69 +80 +18 +60 +49 +80 +1a +60 +35 +90 +a8 +50 +a8 +70 +d3 +40 +c2 +70 +cd +40 +85 +80 +9d +50 +a0 +90 +49 +60 +06 +a0 +a7 +60 +63 +a0 +e7 +60 +28 +a0 +a6 +60 +9b +a0 +ce +60 +86 +a0 +c3 +60 +ee +90 +70 +50 +62 +60 +fe +20 +27 +30 +fa +20 +22 +40 +eb +30 +4f +70 +e2 +30 +18 +40 +73 +10 +1f +10 +b3 +00 +52 +10 +22 +10 +ac +10 +39 +10 +28 +40 +bb +20 +75 +30 +f2 +10 +4f +20 +61 +30 +5c +60 +91 +30 +a3 +40 +78 +20 +e8 +20 +03 +10 +ac +00 +9f +00 +01 +10 +89 +00 +eb +00 +88 +00 +89 +00 +5e +00 +59 +00 +44 +00 +53 +00 +3e +00 +56 +00 +33 +00 +48 +00 +36 +00 +4d +00 +36 +00 +58 +00 +7f +00 +7e +10 +13 +20 +35 +40 +d1 +10 +f6 +10 +a9 +10 +7f +20 +cd +20 +56 +40 +1a +20 +89 +20 +11 +10 +0e +10 +72 +00 +b1 +00 +57 +00 +8a +00 +44 +00 +61 +00 +35 +00 +64 +00 +30 +00 +47 +00 +2e +00 +5f +00 +32 +00 +4d +00 +2f +00 +3e +00 +28 +00 +63 +00 +32 +00 +58 +00 +3a +00 +58 +00 +2b +00 +57 +00 +2d +00 +53 +00 +2f +00 +5a +00 +25 +00 +47 +00 +31 +00 +60 +00 +32 +00 +55 +00 +33 +00 +5b +00 +31 +00 +4c +00 +2d +00 +53 +00 +27 +00 +51 +00 +30 +00 +4c +00 +2b +00 +4c +00 +28 +00 +41 +00 +27 +00 +48 +00 +2a +00 +3f +00 +21 +00 +40 +00 +2f +00 +45 +00 +23 +00 +4b +00 +25 +00 +4d +00 +2a +00 +50 +00 +20 +00 +4d +00 +28 +00 +45 +00 +27 +00 +49 +00 +24 +00 +41 +00 +2e +00 +51 +00 +36 +00 +70 +00 +3f +00 +9c +00 +54 +00 +82 +00 +5a +00 +80 +00 +55 +00 +97 +00 +57 +00 +82 +00 +5b +00 +84 +00 +a5 +00 +ca +10 +e8 +00 +e8 +00 +5e +10 +2c +40 +6a +30 +2a +70 +37 +50 +87 +80 +63 +50 +69 +80 +e1 +50 +4c +80 +b3 +50 +31 +70 +d9 +40 +e2 +60 +6f +40 +9d +40 +b1 +20 +39 +30 +91 +40 +e8 +80 +00 +70 +90 +b0 +c9 +70 +a7 +b0 +bf +70 +68 +b0 +ad +70 +57 +b0 +af +60 +ef +80 +51 +40 +36 +60 +ea +20 +ea +30 +31 +20 +44 +30 +46 +10 +17 +10 +9b +00 +f7 +00 +79 +00 +c9 +00 +75 +00 +c9 +00 +71 +00 +00 +00 +00 +00 +2e +00 +93 +00 +2f +00 +8a +00 +2c +00 +80 +00 +27 +00 +82 +00 +38 +00 +90 +00 +40 +00 +78 +10 +a2 +00 +44 +10 +3d +00 +b0 +00 +41 +00 +d4 +00 +4c +00 +08 +10 +43 +00 +cc +00 +4a +00 +f7 +00 +9d +00 +47 +30 +2a +10 +16 +20 +4e +00 +a3 +00 +37 +00 +7e +00 +2c +00 +8a +00 +30 +00 +77 +00 +31 +00 +6d +00 +2f +00 +92 +00 +2c +00 +96 +00 +52 +00 +ee +10 +31 +10 +c0 +20 +63 +00 +9d +00 +37 +00 +89 +00 +32 +00 +8b +00 +39 +00 +eb +00 +77 +10 +b9 +50 +89 +20 +cb +60 +3b +20 +20 +50 +b8 +00 +ff +00 +32 +00 +93 +00 +2f +00 +a4 +00 +2c +00 +9a +00 +3b +00 +b6 +00 +37 +00 +87 +00 +29 +00 +7b +00 +22 +00 +5e +00 +3e +00 +85 +00 +29 +00 +77 +00 +2e +00 +7e +00 +34 +00 +79 +00 +33 +00 +74 +00 +2d +00 +71 +00 +2d +00 +77 +00 +28 +00 +76 +00 +28 +00 +71 +00 +24 +00 +77 +00 +23 +00 +6f +00 +28 +00 +68 +00 +2b +00 +7d +00 +51 +00 +1c +20 +46 +10 +86 +20 +73 +00 +24 +10 +84 +00 +2b +30 +c0 +10 +2d +60 +5c +20 +50 +40 +8f +00 +53 +10 +a2 +00 +b0 +20 +0b +10 +1d +20 +76 +00 +32 +10 +4a +00 +af +00 +3a +00 +a3 +00 +31 +00 +a4 +00 +8a +00 +20 +20 +96 +00 +ee +00 +30 +00 +83 +00 +27 +00 +7c +00 +1f +00 +6b +00 +1b +00 +5e +00 +26 +00 +5b +00 +24 +00 +67 +00 +23 +00 +7d +00 +2c +00 +85 +00 +38 +00 +bf +00 +3e +00 +ae +00 +80 +00 +e3 +00 +28 +00 +60 +00 +23 +00 +60 +00 +1f +00 +68 +00 +25 +00 +6d +00 +30 +00 +81 +00 +28 +00 +61 +00 +1f +00 +59 +00 +2c +00 +93 +00 +22 +00 +57 +00 +25 +00 +8b +00 +30 +00 +8d +00 +29 +00 +62 +00 +25 +00 +7a +00 +25 +00 +6d +00 +21 +00 +51 +00 +23 +00 +5a +00 +21 +00 +54 +00 +1a +00 +45 +00 +1e +00 +5c +00 +26 +00 +72 +00 +22 +00 +5f +00 +23 +00 +5c +00 +1b +00 +54 +00 +21 +00 +5a +00 +27 +00 +65 +00 +46 +00 +1c +10 +44 +00 +a2 +00 +3e +00 +95 +00 +2d +00 +75 +00 +1e +00 +61 +00 +23 +00 +5a +00 +51 +00 +1b +10 +6f +00 +1d +10 +5b +00 +be +00 +3a +00 +85 +00 +24 +00 +67 +00 +1f +00 +5f +00 +2e +00 +5f +00 +36 +00 +70 +00 +33 +00 +9b +00 +94 +00 +53 +10 +4e +00 +b4 +00 +65 +00 +a0 +10 +e3 +00 +a9 +10 +6b +00 +18 +20 +1d +20 +c8 +50 +a2 +10 +e5 +30 +f0 +00 +cc +20 +6f +20 +d9 +70 +65 +30 +39 +90 +4c +30 +b3 +90 +72 +30 +9e +90 +54 +30 +2e +90 +eb +20 +9f +70 +21 +20 +aa +40 +73 +10 +a0 +60 +61 +30 +be +90 +cf +30 +48 +a0 +ad +30 +47 +a0 +ce +30 +6d +a0 +c1 +30 +97 +a0 +d8 +30 +ac +a0 +a1 +30 +5f +90 +33 +30 +5b +90 +6e +30 +20 +90 +fa +20 +c1 +70 +c3 +20 +56 +80 +2e +30 +3f +90 +7a +30 +ec +90 +92 +30 +16 +90 +69 +20 +16 +60 +5c +10 +19 +40 +c8 +10 +e8 +60 +00 +30 +8f +70 +8c +30 +35 +a0 +8d +30 +52 +a0 +9c +30 +03 +a0 +c0 +30 +49 +a0 +c6 +30 +5f +a0 +61 +30 +cf +70 +a5 +10 +47 +30 +13 +10 +2a +40 +7f +10 +0b +40 +87 +10 +e7 +40 +a8 +10 +8a +20 +65 +00 +d5 +00 +65 +00 +5e +10 +90 +00 +6a +10 +3d +10 +84 +30 +61 +10 +93 +40 +19 +20 +91 +60 +d0 +20 +1a +70 +d0 +10 +26 +50 +3b +10 +ac +10 +3e +00 +94 +00 +39 +00 +c1 +00 +36 +00 +9e +00 +26 +00 +5f +00 +1c +00 +50 +00 +22 +00 +5d +00 +20 +00 +55 +00 +18 +00 +4f +00 +24 +00 +60 +00 +26 +00 +7a +00 +dc +00 +9d +30 +55 +10 +93 +20 +7c +00 +90 +10 +ef +00 +b4 +40 +f4 +00 +4c +20 +88 +00 +3c +10 +4b +00 +b4 +00 +37 +00 +9d +00 +2f +00 +6f +00 +31 +00 +69 +00 +26 +00 +5d +00 +23 +00 +49 +00 +22 +00 +47 +00 +22 +00 +4b +00 +1f +00 +5b +00 +26 +00 +66 +00 +24 +00 +5a +00 +1b +00 +52 +00 +27 +00 +5a +00 +1d +00 +56 +00 +28 +00 +50 +00 +27 +00 +60 +00 +27 +00 +57 +00 +24 +00 +60 +00 +20 +00 +60 +00 +29 +00 +66 +00 +25 +00 +55 +00 +2a +00 +5e +00 +22 +00 +4d +00 +18 +00 +46 +00 +1b +00 +4b +00 +22 +00 +45 +00 +1b +00 +3e +00 +18 +00 +39 +00 +1c +00 +40 +00 +1d +00 +46 +00 +1b +00 +49 +00 +1e +00 +4d +00 +1e +00 +49 +00 +22 +00 +58 +00 +21 +00 +46 +00 +20 +00 +52 +00 +1f +00 +54 +00 +20 +00 +67 +00 +2d +00 +7c +00 +2b +00 +6f +00 +2b +00 +91 +00 +30 +00 +7c +00 +30 +00 +7f +00 +64 +00 +63 +10 +00 +10 +4b +20 +56 +00 +3e +10 +41 +10 +49 +70 +6f +30 +90 +80 +f7 +20 +d1 +80 +96 +30 +e8 +90 +b0 +30 +6a +90 +1a +20 +8b +70 +60 +30 +eb +80 +62 +10 +8e +20 +6b +10 +fe +60 +d1 +30 +2b +b0 +21 +40 +b5 +b0 +4f +40 +11 +b0 +39 +40 +84 +b0 +3e +40 +36 +b0 +5d +30 +ac +50 +8f +20 +86 +60 +c9 +10 +f5 +30 +40 +10 +bb +10 +5c +00 +01 +10 +63 +00 +f6 +00 +62 +00 +de +00 +59 +00 +fe +00 +00 +00 +00 +00 +9e +00 +ab +00 +9b +00 +c7 +00 +96 +00 +73 +00 +82 +00 +51 +00 +76 +00 +65 +00 +a1 +00 +bb +00 +19 +10 +a1 +00 +bb +00 +72 +00 +ae +00 +c2 +00 +1e +10 +af +00 +b9 +00 +8c +00 +bc +00 +e0 +00 +7d +10 +a1 +10 +a2 +20 +dd +00 +f2 +00 +67 +00 +8a +00 +56 +00 +82 +00 +4d +00 +7f +00 +52 +00 +73 +00 +4f +00 +76 +00 +a3 +00 +af +00 +08 +10 +47 +10 +1e +20 +dd +30 +4b +10 +60 +10 +78 +00 +93 +00 +5f +00 +85 +00 +56 +00 +95 +00 +77 +10 +db +30 +c6 +30 +ad +60 +57 +30 +0c +50 +cc +10 +ef +10 +bf +00 +af +00 +89 +00 +ac +00 +a1 +00 +aa +00 +0f +10 +95 +10 +c2 +00 +ce +00 +6d +00 +90 +00 +49 +00 +75 +00 +48 +00 +76 +00 +3f +00 +69 +00 +48 +00 +7c +00 +4b +00 +81 +00 +4a +00 +75 +00 +4f +00 +79 +00 +4a +00 +7e +00 +49 +00 +6b +00 +4e +00 +71 +00 +50 +00 +7c +00 +40 +00 +70 +00 +40 +00 +78 +00 +48 +00 +6f +00 +5e +00 +8c +00 +db +00 +70 +10 +05 +10 +65 +10 +1a +10 +e4 +10 +fa +20 +74 +50 +cd +30 +f3 +60 +e2 +20 +88 +30 +95 +20 +5e +40 +29 +20 +a9 +20 +50 +10 +5a +10 +ca +00 +b7 +00 +a0 +00 +94 +00 +83 +00 +a0 +00 +83 +00 +c1 +00 +9e +00 +6a +10 +ae +00 +9b +00 +6b +00 +7b +00 +46 +00 +6a +00 +45 +00 +6c +00 +45 +00 +73 +00 +5d +00 +6e +00 +52 +00 +73 +00 +57 +00 +81 +00 +dc +00 +6d +10 +22 +20 +77 +20 +3d +10 +3f +20 +b1 +00 +de +00 +5f +00 +70 +00 +3f +00 +63 +00 +3b +00 +78 +00 +b7 +00 +2e +10 +7d +00 +78 +00 +54 +00 +60 +00 +32 +00 +5f +00 +3d +00 +62 +00 +3a +00 +4e +00 +54 +00 +70 +00 +46 +00 +62 +00 +44 +00 +7e +00 +51 +00 +a0 +00 +3e +00 +56 +00 +3a +00 +5c +00 +2e +00 +53 +00 +30 +00 +5a +00 +32 +00 +4f +00 +38 +00 +6b +00 +3c +00 +5b +00 +39 +00 +54 +00 +30 +00 +52 +00 +34 +00 +52 +00 +2c +00 +51 +00 +d3 +00 +b5 +20 +31 +10 +0c +10 +79 +00 +78 +00 +68 +00 +69 +00 +4d +00 +73 +00 +3e +00 +50 +00 +3d +00 +70 +00 +61 +00 +ea +00 +84 +00 +fa +00 +98 +00 +cf +00 +4e +00 +5c +00 +34 +00 +68 +00 +3b +00 +6f +00 +3c +00 +7e +00 +45 +00 +89 +00 +8a +00 +9d +10 +a7 +00 +e9 +00 +79 +00 +af +00 +98 +00 +08 +10 +ba +00 +49 +10 +29 +20 +5d +50 +ab +30 +13 +70 +63 +20 +a2 +20 +e6 +10 +b2 +40 +d3 +30 +48 +80 +d1 +40 +90 +80 +10 +50 +0c +80 +a7 +50 +7d +80 +49 +60 +fc +80 +39 +60 +d9 +80 +06 +50 +0d +40 +31 +40 +f1 +70 +a3 +50 +7f +90 +5c +50 +bc +80 +9e +50 +15 +a0 +ab +60 +80 +a0 +a1 +60 +47 +a0 +14 +60 +98 +80 +dd +50 +91 +80 +d6 +50 +b3 +70 +da +50 +54 +80 +6b +60 +86 +90 +ec +60 +ec +90 +81 +60 +c1 +90 +5c +50 +6e +80 +bc +50 +c4 +70 +ec +40 +17 +50 +0f +30 +3b +30 +57 +40 +cd +70 +f8 +40 +97 +60 +53 +50 +70 +90 +e0 +40 +95 +60 +39 +40 +83 +70 +5d +50 +15 +a0 +e0 +50 +7d +80 +94 +30 +e6 +30 +f2 +20 +f2 +40 +b8 +40 +9f +70 +ee +30 +4e +40 +61 +20 +ef +20 +b0 +10 +74 +10 +da +00 +d9 +00 +8c +00 +ef +00 +bf +00 +a5 +10 +cc +10 +5f +30 +84 +20 +c2 +60 +07 +40 +d1 +70 +b7 +20 +60 +30 +5d +10 +a3 +10 +ca +00 +e2 +00 +82 +00 +89 +00 +75 +00 +6d +00 +52 +00 +5a +00 +4b +00 +48 +00 +43 +00 +63 +00 +3b +00 +59 +00 +48 +00 +58 +00 +42 +00 +50 +00 +42 +00 +5b +00 +a3 +00 +79 +10 +46 +20 +42 +40 +25 +20 +12 +20 +7c +20 +c6 +30 +61 +20 +50 +40 +98 +10 +7a +10 +cc +00 +be +00 +6f +00 +9e +00 +69 +00 +ad +00 +44 +00 +5d +00 +35 +00 +64 +00 +35 +00 +55 +00 +33 +00 +4f +00 +32 +00 +57 +00 +31 +00 +5d +00 +34 +00 +65 +00 +3a +00 +57 +00 +32 +00 +51 +00 +2f +00 +50 +00 +34 +00 +63 +00 +30 +00 +55 +00 +31 +00 +56 +00 +34 +00 +59 +00 +31 +00 +5a +00 +29 +00 +4a +00 +30 +00 +54 +00 +34 +00 +66 +00 +2e +00 +4c +00 +34 +00 +55 +00 +28 +00 +43 +00 +22 +00 +41 +00 +27 +00 +53 +00 +23 +00 +3d +00 +22 +00 +49 +00 +2a +00 +4e +00 +23 +00 +45 +00 +23 +00 +55 +00 +23 +00 +48 +00 +2a +00 +4d +00 +2b +00 +66 +00 +28 +00 +4b +00 +22 +00 +44 +00 +24 +00 +4f +00 +27 +00 +64 +00 +3c +00 +6c +00 +3f +00 +69 +00 +3b +00 +79 +00 +3b +00 +7d +00 +43 +00 +7b +00 +55 +00 +05 +10 +f4 +00 +ab +30 +e4 +10 +d7 +10 +f1 +10 +df +20 +00 +40 +5a +80 +bf +50 +92 +90 +8f +60 +2b +a0 +d7 +60 +ed +90 +9c +50 +7e +60 +f1 +50 +6f +90 +12 +50 +73 +50 +c6 +20 +5b +30 +a7 +40 +2f +90 +eb +60 +9a +b0 +dd +70 +67 +b0 +a6 +70 +24 +b0 +c4 +70 +75 +b0 +6e +60 +24 +90 +7e +30 +b7 +40 +91 +20 +ca +40 +ae +20 +53 +40 +80 +10 +13 +10 +9d +00 +db +00 +88 +00 +df +00 +69 +00 +d5 +00 +72 +00 +00 +00 +00 +00 +45 +00 +df +00 +71 +00 +cf +10 +5f +00 +b0 +00 +2d +00 +7d +00 +2b +00 +7e +00 +31 +00 +a3 +00 +37 +00 +b0 +00 +39 +00 +9a +00 +40 +00 +52 +10 +bf +00 +47 +10 +43 +00 +a9 +00 +42 +00 +cb +00 +68 +00 +a5 +10 +7f +00 +2c +10 +40 +00 +88 +00 +29 +00 +84 +00 +32 +00 +6a +00 +37 +00 +79 +00 +29 +00 +84 +00 +45 +00 +99 +10 +7f +00 +20 +10 +26 +10 +fb +30 +21 +10 +14 +20 +52 +00 +b9 +00 +38 +00 +8e +00 +35 +00 +8d +00 +44 +00 +59 +10 +e4 +10 +ef +50 +10 +20 +a3 +40 +e9 +00 +0c +20 +63 +00 +ed +00 +41 +00 +c2 +00 +69 +00 +34 +10 +82 +00 +53 +20 +22 +10 +09 +20 +54 +00 +a0 +00 +2b +00 +83 +00 +23 +00 +6c +00 +28 +00 +6b +00 +23 +00 +78 +00 +30 +00 +77 +00 +30 +00 +74 +00 +2b +00 +7b +00 +2b +00 +68 +00 +29 +00 +79 +00 +2a +00 +67 +00 +2a +00 +7c +00 +1e +00 +72 +00 +28 +00 +6c +00 +27 +00 +78 +00 +2c +00 +83 +00 +31 +00 +d3 +00 +53 +00 +fe +00 +58 +00 +eb +00 +04 +10 +14 +50 +0e +20 +69 +50 +8d +10 +cf +30 +a2 +10 +57 +50 +c9 +10 +72 +30 +8b +00 +46 +10 +4c +00 +c0 +00 +3a +00 +e6 +00 +49 +00 +c5 +00 +2e +00 +90 +00 +38 +00 +bd +00 +51 +00 +ee +00 +36 +00 +8d +00 +2e +00 +70 +00 +2e +00 +63 +00 +25 +00 +70 +00 +2b +00 +a7 +00 +2d +00 +85 +00 +37 +00 +91 +00 +39 +00 +e3 +00 +72 +10 +5f +50 +df +10 +c8 +20 +8e +00 +16 +10 +31 +00 +83 +00 +2a +00 +7d +00 +28 +00 +69 +00 +2a +00 +b7 +00 +70 +00 +05 +10 +31 +00 +90 +00 +24 +00 +57 +00 +1d +00 +5e +00 +1e +00 +60 +00 +1e +00 +5f +00 +23 +00 +67 +00 +1f +00 +5b +00 +22 +00 +65 +00 +29 +00 +62 +00 +22 +00 +58 +00 +21 +00 +57 +00 +1e +00 +50 +00 +21 +00 +4a +00 +1f +00 +4f +00 +22 +00 +5b +00 +1f +00 +50 +00 +1c +00 +4f +00 +1a +00 +49 +00 +1d +00 +43 +00 +1f +00 +06 +10 +b6 +10 +ef +30 +81 +00 +d2 +00 +5a +00 +e8 +00 +2d +00 +73 +00 +22 +00 +66 +00 +1e +00 +56 +00 +23 +00 +73 +00 +40 +00 +b1 +00 +58 +00 +22 +10 +53 +00 +86 +00 +27 +00 +70 +00 +20 +00 +5e +00 +2d +00 +70 +00 +33 +00 +80 +00 +29 +00 +c5 +00 +82 +00 +58 +10 +4d +00 +bd +00 +46 +00 +ce +00 +70 +00 +5d +10 +8e +00 +5b +30 +43 +20 +6c +60 +53 +20 +fc +30 +8e +00 +93 +10 +bd +00 +39 +30 +04 +20 +57 +50 +de +10 +65 +50 +63 +20 +6e +80 +62 +30 +bf +90 +a4 +30 +bc +90 +77 +30 +76 +80 +7f +10 +d0 +30 +9f +20 +a8 +80 +68 +20 +e6 +50 +b2 +10 +72 +50 +6e +30 +d8 +90 +90 +30 +b8 +90 +de +20 +24 +70 +d7 +20 +a0 +80 +29 +30 +5f +80 +eb +20 +2e +90 +85 +30 +f0 +90 +9b +30 +f3 +90 +a4 +30 +bc +90 +97 +20 +b1 +50 +d9 +20 +a7 +80 +af +20 +3d +70 +20 +20 +16 +40 +31 +10 +43 +60 +1e +30 +03 +80 +1e +20 +75 +60 +32 +20 +e2 +40 +2e +10 +76 +30 +38 +10 +a4 +50 +53 +30 +cc +80 +ea +10 +f7 +30 +e5 +00 +d8 +20 +23 +20 +54 +70 +0d +30 +fd +60 +35 +20 +ee +30 +76 +10 +17 +40 +87 +00 +0b +10 +4c +00 +cd +00 +52 +00 +0f +10 +9d +00 +2c +30 +2e +10 +8d +20 +34 +20 +2e +70 +be +10 +61 +30 +a9 +00 +c3 +10 +68 +00 +fc +00 +2e +00 +76 +00 +21 +00 +59 +00 +2a +00 +60 +00 +22 +00 +56 +00 +1c +00 +60 +00 +23 +00 +59 +00 +24 +00 +5d +00 +21 +00 +5a +00 +1d +00 +74 +00 +43 +00 +ef +00 +91 +00 +95 +30 +ed +10 +01 +40 +92 +10 +f3 +30 +97 +10 +99 +30 +f3 +00 +aa +20 +6a +00 +cc +00 +37 +00 +9b +00 +4e +00 +a4 +00 +31 +00 +78 +00 +25 +00 +71 +00 +2d +00 +5f +00 +1b +00 +47 +00 +25 +00 +69 +00 +28 +00 +56 +00 +21 +00 +6a +00 +27 +00 +68 +00 +1b +00 +5b +00 +1d +00 +57 +00 +25 +00 +5d +00 +2b +00 +5d +00 +31 +00 +67 +00 +26 +00 +5c +00 +25 +00 +52 +00 +19 +00 +4e +00 +25 +00 +51 +00 +27 +00 +5a +00 +22 +00 +5f +00 +21 +00 +50 +00 +1e +00 +47 +00 +19 +00 +50 +00 +1f +00 +58 +00 +23 +00 +60 +00 +1d +00 +47 +00 +1e +00 +4e +00 +26 +00 +48 +00 +25 +00 +4e +00 +1f +00 +4f +00 +20 +00 +48 +00 +19 +00 +54 +00 +21 +00 +52 +00 +1c +00 +45 +00 +1d +00 +3e +00 +1d +00 +49 +00 +22 +00 +5a +00 +2c +00 +60 +00 +23 +00 +62 +00 +23 +00 +65 +00 +2a +00 +7c +00 +2d +00 +8c +00 +3f +00 +fb +00 +eb +00 +6b +40 +af +00 +4a +20 +68 +20 +5a +70 +28 +30 +5f +90 +be +30 +4c +a0 +03 +40 +7d +a0 +8a +30 +36 +70 +eb +20 +5e +90 +9e +30 +18 +80 +6a +10 +b0 +20 +c2 +10 +23 +70 +ad +30 +15 +b0 +2e +40 +55 +b0 +fe +30 +d8 +a0 +3d +40 +81 +b0 +c0 +30 +90 +80 +ae +10 +b2 +30 +16 +10 +1b +30 +e8 +00 +81 +30 +ec +10 +53 +20 +48 +00 +c7 +00 +4f +00 +ed +00 +78 +00 +04 +10 +62 +00 +e5 +00 +00 +00 +00 +00 +2a +10 +ff +10 +9e +30 +6a +20 +67 +30 +fb +00 +ab +00 +4e +00 +7a +00 +57 +00 +88 +00 +6c +00 +a0 +00 +75 +00 +f6 +00 +8b +00 +99 +00 +ab +00 +96 +10 +d0 +00 +f3 +00 +e1 +00 +08 +10 +db +00 +d6 +00 +a9 +00 +0c +10 +9d +00 +99 +00 +55 +00 +76 +00 +42 +00 +79 +00 +47 +00 +71 +00 +47 +00 +89 +00 +80 +00 +f4 +00 +f4 +10 +3c +30 +da +10 +b9 +20 +69 +10 +cb +10 +cd +00 +f8 +00 +73 +00 +8b +00 +67 +00 +91 +00 +84 +00 +c2 +00 +15 +20 +65 +40 +83 +30 +1f +50 +22 +20 +39 +20 +19 +10 +10 +10 +8c +00 +bc +00 +1a +10 +db +20 +e5 +10 +91 +20 +bc +10 +f2 +20 +de +00 +e9 +00 +67 +00 +8b +00 +46 +00 +6e +00 +3d +00 +73 +00 +3b +00 +5e +00 +38 +00 +6f +00 +4b +00 +78 +00 +45 +00 +7d +00 +47 +00 +75 +00 +4d +00 +7f +00 +4e +00 +74 +00 +44 +00 +6e +00 +34 +00 +74 +00 +40 +00 +6b +00 +32 +00 +6f +00 +43 +00 +75 +00 +a6 +00 +50 +10 +50 +10 +18 +10 +d8 +00 +d6 +00 +da +00 +5a +10 +75 +20 +a5 +40 +41 +30 +dc +30 +ae +10 +03 +20 +f1 +10 +33 +40 +41 +20 +5b +20 +f8 +00 +e7 +00 +86 +00 +a9 +00 +6f +10 +ac +20 +07 +10 +d6 +00 +d4 +00 +b8 +00 +53 +10 +09 +20 +d3 +00 +af +00 +5e +00 +72 +00 +46 +00 +6d +00 +44 +00 +6c +00 +86 +00 +36 +10 +25 +10 +06 +10 +8a +00 +97 +00 +9d +00 +3b +10 +34 +20 +51 +40 +f9 +20 +25 +40 +65 +10 +70 +10 +93 +00 +a8 +00 +5c +00 +78 +00 +57 +00 +72 +00 +57 +00 +70 +00 +8b +00 +d6 +00 +72 +10 +0b +20 +b3 +00 +8a +00 +56 +00 +53 +00 +27 +00 +5b +00 +38 +00 +59 +00 +39 +00 +57 +00 +34 +00 +5b +00 +44 +00 +5e +00 +31 +00 +66 +00 +37 +00 +61 +00 +31 +00 +5c +00 +38 +00 +73 +00 +33 +00 +4e +00 +34 +00 +4e +00 +29 +00 +58 +00 +38 +00 +4d +00 +31 +00 +52 +00 +2f +00 +52 +00 +26 +00 +4d +00 +33 +00 +51 +00 +a5 +00 +c7 +10 +ae +00 +79 +10 +bc +00 +ef +00 +35 +10 +4b +10 +5b +00 +6f +00 +49 +00 +60 +00 +36 +00 +60 +00 +41 +00 +96 +00 +6c +00 +f9 +00 +db +00 +48 +10 +6e +00 +6f +00 +3e +00 +60 +00 +37 +00 +76 +00 +3b +00 +7d +00 +46 +00 +98 +00 +ff +00 +4e +20 +cf +00 +02 +10 +8e +00 +a2 +00 +87 +00 +14 +10 +54 +10 +2b +20 +b4 +10 +32 +40 +4b +20 +9a +30 +a3 +10 +f9 +10 +4b +10 +7c +10 +be +10 +2b +30 +96 +30 +15 +50 +11 +50 +1f +70 +fe +50 +52 +90 +b6 +60 +b4 +90 +8a +60 +ef +90 +c7 +50 +51 +70 +ad +30 +51 +40 +67 +40 +f5 +60 +1e +30 +96 +30 +c1 +30 +b9 +60 +96 +40 +1f +70 +a2 +40 +f5 +70 +9f +50 +2f +90 +df +50 +3d +80 +71 +60 +5d +90 +97 +60 +91 +90 +54 +60 +81 +90 +50 +60 +c5 +90 +90 +50 +d8 +50 +27 +40 +87 +70 +41 +40 +85 +50 +e5 +20 +75 +30 +37 +30 +e9 +40 +f0 +40 +ea +70 +35 +50 +51 +70 +29 +50 +e7 +60 +f1 +20 +f9 +20 +3b +20 +88 +20 +79 +20 +d8 +30 +06 +30 +27 +50 +a6 +20 +ec +20 +8b +30 +83 +60 +46 +40 +09 +70 +57 +30 +23 +50 +78 +20 +52 +40 +54 +20 +ff +20 +e7 +00 +cf +00 +80 +00 +ac +00 +8d +00 +08 +10 +f4 +00 +39 +20 +a7 +10 +b5 +30 +39 +20 +89 +30 +a0 +10 +c5 +10 +07 +10 +0b +10 +7d +00 +8b +00 +5e +00 +5a +00 +3e +00 +5c +00 +50 +00 +5a +00 +4c +00 +61 +00 +50 +00 +63 +00 +91 +00 +18 +10 +ff +00 +c7 +00 +73 +00 +6e +00 +69 +00 +6d +10 +9f +10 +fa +20 +f0 +20 +05 +60 +1a +40 +d9 +60 +1d +30 +66 +20 +7f +10 +a7 +10 +ea +00 +fc +00 +87 +00 +98 +00 +68 +00 +93 +00 +48 +00 +7f +00 +3f +00 +72 +00 +41 +00 +6e +00 +37 +00 +58 +00 +39 +00 +5b +00 +38 +00 +6a +00 +3e +00 +60 +00 +3c +00 +66 +00 +3b +00 +64 +00 +50 +00 +5d +00 +53 +00 +5e +00 +63 +00 +77 +00 +52 +00 +5b +00 +3e +00 +62 +00 +2f +00 +56 +00 +36 +00 +51 +00 +29 +00 +55 +00 +29 +00 +4b +00 +33 +00 +5a +00 +27 +00 +53 +00 +2b +00 +4f +00 +24 +00 +42 +00 +29 +00 +48 +00 +27 +00 +63 +00 +22 +00 +52 +00 +22 +00 +4f +00 +2d +00 +55 +00 +27 +00 +57 +00 +23 +00 +4f +00 +29 +00 +48 +00 +27 +00 +54 +00 +27 +00 +48 +00 +23 +00 +46 +00 +25 +00 +4b +00 +28 +00 +4a +00 +2d +00 +57 +00 +30 +00 +69 +00 +30 +00 +6c +00 +3a +00 +6a +00 +38 +00 +70 +00 +3f +00 +73 +00 +56 +00 +b4 +00 +d5 +00 +d3 +10 +f6 +20 +2d +50 +77 +30 +c4 +50 +25 +50 +4b +90 +61 +60 +63 +a0 +1c +70 +ec +a0 +d9 +60 +2d +90 +9c +50 +ba +80 +02 +60 +66 +80 +45 +30 +50 +30 +86 +20 +2c +40 +bb +40 +f8 +80 +ad +60 +6f +b0 +9c +70 +1a +b0 +6f +70 +3d +b0 +b9 +60 +24 +80 +0e +40 +30 +40 +b8 +20 +6f +20 +8d +10 +c0 +20 +2d +20 +68 +30 +41 +10 +1c +10 +b6 +00 +bf +00 +8b +00 +1d +10 +97 +00 +0e +10 +94 +00 +00 +00 +00 +00 +f7 +00 +c7 +30 +c5 +10 +0a +50 +04 +10 +99 +10 +45 +00 +87 +00 +2e +00 +7f +00 +26 +00 +80 +00 +32 +00 +90 +00 +66 +00 +02 +10 +3b +00 +aa +00 +50 +00 +dd +00 +cb +00 +ea +20 +d4 +00 +be +10 +4c +00 +d5 +00 +48 +00 +b6 +00 +2a +00 +77 +00 +31 +00 +76 +00 +2b +00 +8a +00 +30 +00 +85 +00 +2b +00 +85 +00 +99 +00 +08 +40 +4c +10 +c3 +20 +c1 +00 +e4 +10 +6d +00 +27 +10 +46 +00 +af +00 +31 +00 +95 +00 +39 +00 +b3 +00 +6e +00 +60 +20 +06 +20 +f2 +50 +0f +10 +70 +20 +7c +00 +4c +10 +56 +00 +c5 +00 +40 +00 +10 +10 +61 +10 +f6 +30 +67 +10 +67 +30 +9c +00 +2b +10 +4c +00 +a0 +00 +35 +00 +76 +00 +28 +00 +67 +00 +22 +00 +70 +00 +26 +00 +70 +00 +26 +00 +79 +00 +30 +00 +73 +00 +24 +00 +74 +00 +35 +00 +8f +00 +34 +00 +85 +00 +27 +00 +70 +00 +22 +00 +74 +00 +1f +00 +6c +00 +2b +00 +68 +00 +2d +00 +72 +00 +2c +00 +b4 +00 +60 +10 +46 +40 +b5 +00 +4a +10 +40 +00 +ad +00 +8f +00 +7a +30 +23 +20 +42 +50 +f0 +00 +e7 +10 +77 +00 +c8 +10 +8d +10 +0e +50 +cc +00 +6a +10 +37 +00 +a2 +00 +4d +00 +71 +20 +a7 +10 +db +30 +70 +00 +0c +10 +b4 +00 +29 +30 +35 +10 +48 +20 +4c +00 +a3 +00 +28 +00 +6e +00 +23 +00 +6d +00 +23 +00 +88 +00 +b3 +00 +13 +30 +8a +00 +fd +00 +42 +00 +b9 +00 +aa +00 +b6 +30 +4d +20 +ee +50 +ef +00 +b8 +10 +5b +00 +c5 +00 +38 +00 +98 +00 +36 +00 +87 +00 +30 +00 +70 +00 +31 +00 +ad +00 +db +00 +c1 +30 +cb +00 +48 +10 +38 +00 +63 +00 +21 +00 +4f +00 +27 +00 +76 +00 +22 +00 +50 +00 +22 +00 +52 +00 +21 +00 +5b +00 +22 +00 +68 +00 +1f +00 +51 +00 +25 +00 +67 +00 +24 +00 +53 +00 +29 +00 +53 +00 +20 +00 +50 +00 +1d +00 +51 +00 +1e +00 +4e +00 +19 +00 +54 +00 +20 +00 +55 +00 +24 +00 +51 +00 +1e +00 +58 +00 +26 +00 +9b +00 +64 +00 +1b +10 +51 +00 +c4 +00 +68 +00 +f6 +10 +6d +00 +d0 +00 +27 +00 +5c +00 +24 +00 +5f +00 +24 +00 +73 +00 +31 +00 +9c +00 +59 +00 +e7 +00 +5f +00 +c7 +00 +29 +00 +60 +00 +20 +00 +5f +00 +2d +00 +76 +00 +35 +00 +8a +00 +45 +00 +1b +20 +3e +10 +d2 +10 +5e +00 +ca +00 +46 +00 +af +00 +53 +00 +ee +10 +c1 +00 +df +10 +ff +00 +c3 +30 +05 +10 +12 +20 +7a +00 +44 +10 +5e +00 +a4 +10 +ef +00 +51 +50 +ca +20 +c6 +70 +0d +30 +cb +80 +6b +30 +8f +90 +98 +30 +a1 +90 +a2 +30 +7f +90 +8c +20 +86 +40 +1c +10 +d2 +40 +f3 +10 +3a +40 +23 +10 +cf +40 +35 +20 +bc +60 +22 +20 +08 +50 +b7 +10 +f3 +50 +d1 +20 +64 +80 +52 +30 +cd +90 +b8 +30 +c4 +90 +81 +30 +9c +90 +5f +30 +99 +90 +4e +30 +55 +80 +9d +10 +3f +50 +70 +20 +37 +50 +1a +10 +21 +30 +1d +10 +d7 +40 +ba +20 +5e +80 +22 +30 +68 +80 +c6 +20 +a3 +70 +a2 +10 +51 +30 +a1 +00 +ea +10 +8b +00 +13 +20 +bb +00 +77 +20 +d5 +00 +38 +30 +b6 +10 +32 +50 +bd +20 +1b +80 +25 +20 +a6 +30 +fe +00 +a4 +20 +15 +10 +5f +20 +b2 +00 +6a +10 +47 +00 +a3 +00 +40 +00 +93 +00 +44 +00 +fd +00 +6b +00 +a6 +10 +d1 +00 +27 +20 +9e +00 +c3 +10 +5d +00 +f7 +00 +3f +00 +92 +00 +28 +00 +68 +00 +1f +00 +5a +00 +2a +00 +91 +00 +3d +00 +8e +00 +26 +00 +74 +00 +3c +00 +d7 +00 +71 +10 +b1 +30 +97 +00 +be +00 +28 +00 +68 +00 +4b +00 +65 +10 +a1 +10 +d9 +50 +5f +20 +af +70 +71 +20 +e2 +50 +d2 +00 +83 +10 +5e +00 +f7 +00 +44 +00 +b0 +00 +3a +00 +da +00 +4a +00 +83 +00 +34 +00 +73 +00 +32 +00 +66 +00 +27 +00 +6c +00 +27 +00 +62 +00 +25 +00 +59 +00 +22 +00 +54 +00 +25 +00 +69 +00 +2e +00 +6a +00 +3a +00 +b8 +00 +2d +00 +69 +00 +3b +00 +22 +10 +41 +00 +7e +00 +24 +00 +55 +00 +21 +00 +52 +00 +27 +00 +54 +00 +19 +00 +4d +00 +24 +00 +4f +00 +20 +00 +4f +00 +2b +00 +4b +00 +1d +00 +53 +00 +1d +00 +58 +00 +19 +00 +4c +00 +1b +00 +46 +00 +21 +00 +50 +00 +1f +00 +46 +00 +1e +00 +42 +00 +23 +00 +61 +00 +22 +00 +54 +00 +15 +00 +4b +00 +21 +00 +49 +00 +1e +00 +49 +00 +23 +00 +4a +00 +19 +00 +43 +00 +1f +00 +43 +00 +20 +00 +59 +00 +29 +00 +6b +00 +21 +00 +6b +00 +2c +00 +62 +00 +28 +00 +6d +00 +2d +00 +7e +00 +33 +00 +9f +00 +51 +00 +fe +00 +de +00 +cd +50 +06 +30 +36 +70 +75 +20 +68 +80 +d3 +30 +7d +a0 +d5 +30 +b9 +a0 +e9 +30 +55 +a0 +3b +20 +4a +70 +a1 +30 +3c +90 +c1 +10 +ea +30 +b8 +00 +d3 +10 +dd +10 +2c +70 +d5 +30 +f1 +a0 +32 +40 +a6 +b0 +d5 +30 +89 +a0 +e4 +30 +49 +a0 +43 +20 +a0 +40 +31 +10 +3b +30 +97 +00 +af +10 +c9 +00 +87 +20 +ab +00 +a2 +10 +58 +00 +e4 +00 +9c +00 +33 +10 +55 +00 +05 +10 +64 +00 +1f +10 +00 +00 +00 +00 +b9 +10 +8b +20 +1a +50 +71 +20 +d3 +20 +e5 +00 +cc +00 +78 +00 +8b +00 +56 +00 +72 +00 +5a +00 +81 +00 +69 +00 +99 +00 +6d +00 +ac +00 +82 +00 +b0 +00 +bb +00 +33 +10 +91 +10 +37 +30 +16 +10 +16 +10 +9a +00 +bc +00 +7a +00 +89 +00 +57 +00 +88 +00 +3f +00 +83 +00 +57 +00 +7c +00 +4a +00 +74 +00 +96 +00 +5d +10 +3b +20 +e7 +30 +7c +20 +30 +30 +f1 +10 +d6 +10 +e1 +00 +ca +00 +7e +00 +9b +00 +71 +00 +b3 +00 +23 +10 +8a +20 +62 +30 +bf +50 +2d +30 +1f +30 +ae +10 +54 +10 +e3 +00 +e1 +00 +7e +00 +9f +00 +f9 +00 +2e +30 +81 +10 +c4 +20 +38 +10 +b0 +10 +af +00 +c2 +00 +60 +00 +8a +00 +42 +00 +6c +00 +50 +00 +70 +00 +45 +00 +76 +00 +41 +00 +72 +00 +4c +00 +74 +00 +47 +00 +7c +00 +3f +00 +8d +00 +95 +00 +f7 +00 +7b +00 +92 +00 +46 +00 +6d +00 +42 +00 +6d +00 +3d +00 +77 +00 +43 +00 +6c +00 +43 +00 +7d +00 +b9 +00 +73 +20 +43 +20 +ec +40 +8e +10 +0c +10 +b9 +00 +de +10 +72 +20 +42 +50 +07 +30 +ef +20 +66 +10 +12 +10 +7f +10 +2b +40 +a6 +10 +4e +20 +e8 +00 +ae +00 +6c +00 +95 +00 +d7 +00 +0b +30 +d8 +10 +0f +30 +63 +20 +1b +30 +16 +20 +ef +20 +e8 +00 +1e +10 +7d +00 +94 +00 +4e +00 +76 +00 +60 +00 +71 +00 +8d +00 +17 +10 +f8 +10 +fe +20 +93 +10 +7f +10 +9f +10 +b1 +10 +ef +20 +19 +60 +15 +30 +19 +30 +3e +10 +d8 +00 +92 +00 +8a +00 +ad +00 +a1 +10 +78 +00 +8a +00 +65 +00 +99 +00 +69 +10 +fd +20 +fb +10 +7a +30 +07 +10 +c5 +00 +4c +00 +58 +00 +32 +00 +6f +00 +40 +00 +64 +00 +35 +00 +5b +00 +2d +00 +4e +00 +32 +00 +63 +00 +32 +00 +57 +00 +3c +00 +60 +00 +38 +00 +5e +00 +34 +00 +5c +00 +2c +00 +48 +00 +2d +00 +50 +00 +27 +00 +52 +00 +2d +00 +52 +00 +30 +00 +4f +00 +32 +00 +54 +00 +2d +00 +57 +00 +30 +00 +50 +00 +4f +00 +af +00 +77 +00 +b9 +00 +74 +00 +96 +00 +5f +00 +91 +00 +55 +00 +87 +00 +44 +00 +5c +00 +2b +00 +5d +00 +37 +00 +69 +00 +45 +00 +8c +00 +59 +00 +ad +00 +6c +00 +89 +00 +60 +00 +65 +00 +45 +00 +71 +00 +49 +00 +85 +00 +57 +00 +bf +00 +df +00 +9e +20 +ce +00 +11 +10 +87 +00 +bc +00 +bc +00 +ed +00 +e5 +00 +21 +10 +21 +10 +4f +20 +5c +20 +87 +40 +9c +10 +7e +10 +b1 +10 +6a +10 +f2 +10 +36 +20 +a4 +30 +36 +70 +42 +50 +b2 +80 +e6 +50 +a0 +90 +48 +60 +e6 +90 +1f +60 +51 +90 +54 +50 +e9 +60 +7c +30 +20 +30 +54 +20 +ab +20 +c0 +20 +a8 +40 +8b +30 +6c +60 +db +30 +e2 +40 +e4 +20 +7c +30 +87 +40 +be +70 +f7 +50 +b0 +90 +9a +60 +04 +a0 +cd +50 +d0 +80 +55 +60 +d1 +90 +03 +60 +4e +80 +49 +50 +9a +50 +c6 +40 +b5 +60 +f4 +20 +fe +20 +fe +20 +e5 +40 +b6 +30 +84 +60 +3d +40 +31 +80 +21 +50 +0a +70 +bf +30 +f3 +30 +82 +20 +10 +20 +9f +10 +66 +10 +d8 +10 +e2 +10 +b4 +10 +26 +20 +a4 +20 +65 +50 +a1 +20 +dc +30 +2b +30 +aa +40 +7a +20 +c8 +20 +c7 +10 +51 +20 +16 +10 +97 +10 +a2 +00 +de +00 +76 +00 +a8 +00 +6b +00 +96 +00 +8d +00 +ec +00 +cd +00 +24 +10 +ee +00 +46 +10 +e4 +00 +f2 +00 +97 +00 +8e +00 +56 +00 +60 +00 +3d +00 +5e +00 +40 +00 +7c +00 +e1 +00 +f7 +10 +84 +00 +c2 +00 +40 +10 +74 +10 +08 +10 +d8 +10 +ff +00 +30 +10 +7d +00 +7d +00 +6a +00 +8e +00 +22 +10 +d4 +30 +e7 +20 +74 +60 +c2 +30 +51 +60 +e0 +30 +af +30 +98 +10 +fd +00 +bd +00 +a7 +00 +55 +00 +83 +00 +4f +00 +98 +00 +4b +00 +71 +00 +5c +00 +79 +00 +59 +00 +5f +00 +48 +00 +71 +00 +37 +00 +60 +00 +3b +00 +65 +00 +37 +00 +68 +00 +3f +00 +76 +00 +86 +00 +9c +10 +ab +10 +31 +10 +35 +10 +9f +10 +e7 +10 +f9 +10 +bd +00 +7c +00 +45 +00 +4e +00 +2a +00 +62 +00 +28 +00 +46 +00 +2a +00 +53 +00 +2b +00 +49 +00 +1f +00 +4c +00 +27 +00 +52 +00 +2a +00 +5a +00 +2e +00 +54 +00 +27 +00 +56 +00 +2b +00 +4e +00 +26 +00 +47 +00 +23 +00 +4a +00 +27 +00 +47 +00 +2b +00 +5b +00 +2c +00 +55 +00 +25 +00 +4c +00 +20 +00 +51 +00 +25 +00 +48 +00 +29 +00 +48 +00 +28 +00 +45 +00 +2b +00 +4b +00 +2d +00 +6a +00 +2f +00 +55 +00 +2d +00 +5c +00 +34 +00 +62 +00 +35 +00 +6a +00 +43 +00 +88 +00 +71 +00 +ec +00 +44 +10 +26 +30 +31 +40 +62 +80 +40 +50 +03 +80 +33 +60 +4b +a0 +dd +60 +c5 +a0 +f0 +60 +4a +a0 +99 +50 +6b +60 +c4 +50 +bf +90 +df +40 +66 +40 +81 +20 +fa +10 +9b +10 +bc +20 +5b +30 +32 +90 +f1 +50 +0c +b0 +94 +60 +2a +a0 +75 +60 +cd +90 +6b +50 +21 +60 +a0 +30 +32 +40 +53 +20 +cf +10 +a5 +10 +2d +20 +4f +10 +93 +10 +3c +10 +25 +20 +25 +10 +de +20 +fa +00 +06 +10 +93 +00 +c0 +00 +89 +00 +00 +00 +00 +00 +60 +00 +56 +20 +c0 +10 +a0 +40 +ae +00 +44 +10 +41 +00 +a0 +00 +29 +00 +78 +00 +2d +00 +7a +00 +28 +00 +88 +00 +3d +00 +b9 +00 +42 +00 +a6 +00 +47 +00 +ca +00 +b3 +00 +d6 +20 +f1 +00 +bf +10 +4f +00 +d1 +00 +40 +00 +90 +00 +2e +00 +8e +00 +30 +00 +80 +00 +2e +00 +80 +00 +2e +00 +77 +00 +39 +00 +8b +00 +b9 +00 +ca +30 +51 +10 +14 +40 +8f +10 +1f +30 +fc +00 +b7 +10 +47 +00 +a9 +00 +31 +00 +a1 +00 +3e +00 +10 +10 +97 +10 +bc +50 +26 +20 +26 +50 +41 +10 +34 +20 +5c +00 +fc +00 +45 +00 +b5 +00 +3b +00 +11 +10 +97 +00 +9d +10 +7e +00 +43 +10 +5c +00 +f4 +00 +3a +00 +9b +00 +30 +00 +6d +00 +2e +00 +6a +00 +25 +00 +6b +00 +2a +00 +6e +00 +2d +00 +79 +00 +2b +00 +6b +00 +26 +00 +7d +00 +41 +00 +f2 +00 +87 +00 +07 +10 +30 +00 +7c +00 +25 +00 +70 +00 +28 +00 +6b +00 +26 +00 +6d +00 +26 +00 +78 +00 +3e +00 +9f +00 +83 +00 +64 +20 +10 +10 +cf +10 +55 +00 +bd +00 +61 +00 +f5 +10 +11 +20 +85 +50 +cb +00 +7c +10 +56 +00 +6c +10 +00 +10 +43 +20 +64 +00 +fb +00 +37 +00 +9b +00 +32 +00 +cf +00 +8c +00 +c4 +10 +4a +10 +06 +50 +c6 +10 +3e +30 +a9 +00 +4a +10 +48 +00 +b1 +00 +2c +00 +7c +00 +37 +00 +a0 +00 +37 +00 +87 +00 +91 +00 +7e +30 +53 +10 +d9 +20 +7c +10 +f0 +30 +b8 +10 +ba +50 +9a +20 +4f +60 +e5 +00 +93 +10 +37 +00 +92 +00 +2a +00 +d4 +00 +b4 +00 +3e +10 +32 +00 +8c +00 +80 +00 +32 +30 +83 +10 +0f +30 +cb +00 +ab +10 +40 +00 +7e +00 +21 +00 +58 +00 +26 +00 +5c +00 +23 +00 +52 +00 +26 +00 +63 +00 +23 +00 +55 +00 +20 +00 +54 +00 +1c +00 +53 +00 +1d +00 +52 +00 +27 +00 +60 +00 +20 +00 +4c +00 +1b +00 +44 +00 +1b +00 +47 +00 +1e +00 +4e +00 +1b +00 +46 +00 +1c +00 +51 +00 +1a +00 +57 +00 +1b +00 +5c +00 +19 +00 +5b +00 +37 +00 +91 +00 +28 +00 +75 +00 +39 +00 +9e +00 +32 +00 +70 +00 +27 +00 +5e +00 +1f +00 +55 +00 +21 +00 +5d +00 +26 +00 +69 +00 +2c +00 +89 +00 +3b +00 +ca +00 +96 +00 +2e +10 +31 +00 +7a +00 +31 +00 +74 +00 +36 +00 +85 +00 +3c +00 +df +00 +76 +00 +05 +10 +55 +00 +b4 +00 +4e +00 +3a +10 +a4 +00 +70 +10 +5d +00 +02 +10 +7b +00 +ff +10 +e4 +00 +fc +10 +e4 +00 +27 +40 +60 +10 +c7 +30 +a9 +10 +c3 +50 +b0 +20 +66 +70 +24 +30 +f3 +80 +39 +30 +1a +80 +d1 +20 +05 +80 +c1 +20 +e9 +70 +46 +20 +0d +60 +fb +00 +93 +20 +43 +10 +3e +40 +30 +20 +24 +50 +52 +10 +da +30 +19 +10 +da +20 +95 +10 +f1 +60 +51 +30 +28 +90 +b5 +30 +0f +a0 +96 +30 +7a +70 +fb +20 +85 +90 +a1 +30 +7c +80 +3e +20 +e5 +70 +93 +20 +87 +70 +9b +10 +69 +30 +39 +10 +35 +50 +00 +20 +f4 +30 +27 +10 +ff +30 +cf +20 +02 +80 +3e +20 +7d +50 +4d +10 +7e +30 +96 +00 +68 +10 +dc +00 +0e +40 +c1 +00 +94 +10 +97 +00 +63 +20 +f8 +10 +39 +40 +5f +10 +a7 +50 +de +10 +85 +40 +1e +10 +e9 +10 +87 +00 +87 +10 +62 +00 +09 +10 +46 +00 +b8 +00 +3c +00 +88 +00 +38 +00 +9e +00 +36 +00 +be +00 +42 +00 +ec +00 +46 +00 +ba +00 +30 +00 +78 +00 +26 +00 +5c +00 +24 +00 +5d +00 +24 +00 +60 +00 +42 +00 +3d +10 +6f +00 +e6 +00 +97 +00 +dc +20 +6b +10 +96 +20 +8d +00 +3a +10 +55 +00 +b7 +00 +2e +00 +73 +00 +31 +00 +28 +10 +e5 +00 +50 +30 +e0 +10 +3a +50 +45 +20 +cf +60 +d6 +10 +69 +20 +4e +00 +aa +00 +28 +00 +89 +00 +24 +00 +7c +00 +30 +00 +73 +00 +2a +00 +89 +00 +3c +00 +7e +00 +28 +00 +70 +00 +2e +00 +5b +00 +20 +00 +5c +00 +2a +00 +6e +00 +27 +00 +68 +00 +31 +00 +99 +00 +21 +10 +dd +40 +db +00 +ab +10 +ca +10 +e3 +50 +05 +10 +22 +10 +32 +00 +65 +00 +1f +00 +54 +00 +1e +00 +46 +00 +1c +00 +51 +00 +1f +00 +3f +00 +18 +00 +3f +00 +1f +00 +4e +00 +1f +00 +44 +00 +22 +00 +52 +00 +21 +00 +5a +00 +21 +00 +40 +00 +1c +00 +47 +00 +22 +00 +4f +00 +20 +00 +4f +00 +1f +00 +52 +00 +26 +00 +5d +00 +23 +00 +55 +00 +25 +00 +4a +00 +19 +00 +47 +00 +1c +00 +4d +00 +20 +00 +43 +00 +27 +00 +57 +00 +24 +00 +55 +00 +26 +00 +66 +00 +25 +00 +60 +00 +23 +00 +72 +00 +25 +00 +6f +00 +27 +00 +7b +00 +3f +00 +c5 +00 +57 +00 +89 +10 +25 +20 +10 +80 +94 +30 +25 +80 +65 +30 +32 +a0 +16 +40 +eb +a0 +f7 +30 +dd +90 +84 +20 +a1 +50 +8a +20 +04 +90 +c1 +30 +80 +70 +ea +00 +3c +20 +67 +00 +00 +10 +82 +00 +d4 +20 +f8 +10 +b4 +60 +f1 +20 +e8 +70 +c1 +20 +0f +90 +7d +30 +9c +80 +84 +10 +e3 +40 +6b +10 +3a +20 +77 +00 +41 +20 +d0 +10 +7a +20 +7f +00 +7c +10 +26 +10 +b8 +10 +eb +00 +a9 +10 +66 +00 +b5 +00 +36 +00 +c2 +00 +00 +00 +00 +00 +df +00 +60 +10 +94 +20 +b2 +10 +20 +20 +02 +10 +05 +10 +b3 +00 +aa +00 +69 +00 +75 +00 +60 +00 +7a +00 +61 +00 +a6 +00 +de +00 +f1 +00 +9f +00 +bd +00 +de +00 +99 +10 +c7 +10 +df +20 +96 +10 +a6 +10 +cf +00 +ac +00 +7f +00 +9e +00 +57 +00 +79 +00 +54 +00 +8e +00 +5e +00 +79 +00 +59 +00 +82 +00 +85 +00 +e7 +00 +29 +10 +c0 +20 +a0 +20 +dc +40 +d2 +10 +81 +20 +0e +10 +1b +10 +c8 +00 +cb +00 +db +00 +e3 +00 +e7 +10 +6c +40 +f5 +30 +17 +70 +67 +40 +cc +50 +32 +20 +72 +10 +e7 +00 +cb +00 +bb +00 +da +00 +9f +00 +18 +10 +c3 +00 +16 +10 +ab +00 +ec +00 +85 +00 +b5 +00 +65 +00 +78 +00 +4e +00 +6d +00 +49 +00 +71 +00 +41 +00 +71 +00 +42 +00 +72 +00 +4b +00 +85 +00 +49 +00 +68 +00 +47 +00 +81 +00 +c1 +00 +db +10 +a0 +00 +a5 +00 +5f +00 +84 +00 +47 +00 +7d +00 +42 +00 +63 +00 +42 +00 +6f +00 +87 +00 +4f +10 +9c +00 +cd +00 +b6 +00 +65 +10 +b2 +00 +c7 +00 +8b +00 +b3 +00 +21 +10 +f0 +20 +00 +20 +57 +30 +36 +10 +c8 +00 +e6 +00 +26 +10 +cc +00 +21 +10 +89 +00 +9e +00 +76 +00 +b5 +00 +ac +00 +0a +10 +d0 +10 +03 +40 +9a +30 +e9 +50 +14 +20 +b3 +10 +cf +00 +d2 +00 +75 +00 +85 +00 +a4 +00 +e5 +00 +b5 +00 +16 +10 +a9 +00 +15 +10 +b7 +10 +d3 +20 +55 +30 +e9 +50 +be +30 +24 +50 +04 +40 +31 +70 +f6 +20 +47 +30 +75 +10 +cf +00 +71 +00 +76 +00 +5c +00 +96 +00 +57 +00 +91 +00 +74 +00 +e0 +00 +b4 +10 +bc +40 +4f +20 +3f +30 +28 +10 +fb +00 +77 +00 +68 +00 +4b +00 +57 +00 +4f +00 +4c +00 +44 +00 +97 +00 +5a +00 +68 +00 +38 +00 +4d +00 +32 +00 +54 +00 +32 +00 +55 +00 +35 +00 +60 +00 +31 +00 +51 +00 +2e +00 +53 +00 +22 +00 +48 +00 +2f +00 +50 +00 +28 +00 +47 +00 +31 +00 +4f +00 +2d +00 +54 +00 +2d +00 +49 +00 +31 +00 +46 +00 +42 +00 +75 +00 +53 +00 +74 +00 +54 +00 +95 +00 +5b +00 +87 +00 +4a +00 +5a +00 +3a +00 +55 +00 +47 +00 +6e +00 +52 +00 +8a +00 +51 +00 +6d +00 +4a +00 +7b +00 +5f +00 +c8 +00 +58 +00 +d3 +00 +5d +00 +76 +00 +4c +00 +79 +00 +5b +00 +8c +00 +6d +00 +ce +00 +68 +00 +c8 +00 +b9 +00 +0c +10 +2d +20 +ea +40 +d3 +10 +7b +10 +f2 +00 +fc +00 +01 +10 +21 +10 +0b +10 +66 +20 +88 +20 +67 +50 +bb +20 +cc +40 +09 +30 +50 +40 +5d +30 +40 +50 +2e +40 +88 +60 +26 +40 +0a +50 +16 +40 +96 +60 +d8 +40 +7f +70 +19 +30 +f3 +30 +10 +20 +c8 +20 +4d +30 +ce +60 +d1 +20 +33 +30 +57 +20 +87 +20 +08 +20 +18 +40 +09 +40 +72 +80 +91 +50 +f6 +90 +00 +60 +b7 +90 +db +50 +45 +90 +67 +50 +1d +80 +da +30 +92 +40 +6b +40 +c9 +70 +a9 +40 +89 +50 +c5 +30 +7c +50 +9a +30 +c9 +30 +12 +20 +82 +20 +de +20 +53 +60 +1e +50 +e6 +70 +af +40 +b7 +60 +96 +30 +dd +20 +b1 +10 +71 +30 +c2 +10 +13 +20 +59 +10 +6e +10 +de +10 +ab +40 +e0 +10 +33 +30 +bd +20 +7f +60 +45 +30 +22 +40 +8a +10 +79 +10 +de +00 +06 +10 +92 +00 +da +00 +78 +00 +c5 +00 +54 +00 +96 +00 +5b +00 +92 +00 +80 +00 +9b +00 +78 +00 +8d +00 +65 +00 +5f +00 +44 +00 +5d +00 +3f +00 +62 +00 +37 +00 +63 +00 +4a +00 +8a +00 +64 +00 +b1 +00 +8b +00 +4b +10 +54 +20 +06 +60 +11 +20 +46 +20 +03 +10 +d6 +00 +87 +00 +84 +00 +56 +00 +81 +00 +98 +00 +34 +10 +c5 +10 +60 +50 +31 +30 +71 +40 +3c +20 +fc +30 +90 +10 +57 +10 +ac +00 +83 +00 +4f +00 +77 +00 +5b +00 +81 +00 +56 +00 +a1 +00 +37 +10 +38 +20 +e6 +00 +89 +00 +63 +00 +6f +00 +32 +00 +5f +00 +41 +00 +63 +00 +48 +00 +70 +00 +49 +00 +79 +00 +c9 +00 +2c +20 +40 +30 +3e +60 +aa +30 +9e +40 +b2 +20 +26 +40 +02 +10 +b8 +00 +55 +00 +58 +00 +34 +00 +47 +00 +2d +00 +45 +00 +2c +00 +46 +00 +26 +00 +44 +00 +2d +00 +40 +00 +23 +00 +49 +00 +27 +00 +53 +00 +26 +00 +53 +00 +25 +00 +50 +00 +27 +00 +43 +00 +21 +00 +4e +00 +26 +00 +5c +00 +2d +00 +68 +00 +30 +00 +35 +00 +20 +00 +4d +00 +25 +00 +54 +00 +22 +00 +3c +00 +28 +00 +44 +00 +27 +00 +46 +00 +31 +00 +5d +00 +30 +00 +59 +00 +29 +00 +52 +00 +2c +00 +5c +00 +24 +00 +60 +00 +32 +00 +75 +00 +42 +00 +86 +00 +47 +00 +96 +00 +65 +00 +c3 +00 +57 +10 +7c +40 +5b +40 +0a +90 +ac +50 +e1 +90 +d2 +60 +a8 +a0 +62 +60 +3b +90 +d4 +40 +48 +70 +62 +40 +32 +70 +3a +50 +74 +90 +18 +40 +f8 +30 +c8 +10 +12 +10 +fa +00 +4e +10 +f2 +10 +7f +40 +c2 +30 +2b +50 +f7 +40 +fe +50 +3a +50 +eb +70 +d2 +40 +45 +60 +63 +40 +a9 +40 +a8 +10 +65 +10 +36 +10 +a3 +20 +d4 +10 +d1 +10 +3f +10 +3a +30 +6b +10 +89 +10 +f3 +00 +3f +10 +bc +00 +c1 +00 +cb +00 +00 +00 +00 +00 +49 +00 +8f +10 +ee +00 +4c +20 +7c +00 +0d +10 +d0 +00 +fd +10 +47 +00 +ac +00 +30 +00 +94 +00 +34 +00 +8b +00 +3f +00 +54 +10 +92 +00 +5b +10 +4c +00 +08 +10 +b0 +00 +d5 +20 +14 +10 +18 +30 +d5 +00 +65 +10 +51 +00 +dc +00 +39 +00 +85 +00 +32 +00 +79 +00 +3a +00 +ac +00 +41 +00 +88 +00 +2d +00 +88 +00 +48 +00 +28 +10 +86 +00 +05 +30 +4e +10 +a3 +20 +04 +10 +f5 +10 +66 +00 +34 +10 +ad +00 +bb +10 +63 +00 +a1 +10 +c2 +10 +a8 +60 +c4 +20 +98 +70 +f0 +10 +3c +30 +73 +00 +40 +10 +57 +00 +9c +10 +72 +00 +d5 +00 +4b +00 +4a +10 +62 +00 +f7 +00 +48 +00 +a6 +00 +37 +00 +88 +00 +2e +00 +75 +00 +2c +00 +8a +00 +2b +00 +7d +00 +29 +00 +6f +00 +26 +00 +70 +00 +38 +00 +8f +00 +23 +00 +84 +00 +36 +00 +04 +10 +be +00 +52 +10 +43 +00 +e4 +00 +31 +00 +88 +00 +2f +00 +72 +00 +24 +00 +61 +00 +39 +00 +1c +10 +6d +00 +fd +00 +42 +00 +ef +00 +62 +00 +00 +10 +35 +00 +8c +00 +3e +00 +ed +00 +96 +00 +3f +20 +ba +00 +65 +10 +43 +00 +b0 +00 +57 +00 +fe +00 +40 +00 +9e +00 +2e +00 +96 +00 +3d +00 +c0 +00 +91 +00 +23 +30 +4c +20 +9c +60 +f1 +10 +19 +30 +6d +00 +ea +00 +37 +00 +93 +00 +3e +00 +79 +10 +a9 +00 +22 +10 +98 +00 +35 +10 +8d +00 +ce +20 +93 +10 +79 +50 +9e +20 +e1 +60 +1e +20 +c2 +50 +ec +10 +af +40 +4d +10 +70 +20 +3c +00 +8a +00 +36 +00 +82 +00 +2b +00 +83 +00 +2f +00 +83 +00 +41 +00 +8a +10 +88 +10 +ac +30 +73 +10 +04 +20 +39 +00 +8a +00 +25 +00 +88 +00 +28 +00 +75 +00 +1e +00 +5a +00 +33 +00 +8a +00 +2a +00 +5f +00 +21 +00 +59 +00 +1c +00 +5b +00 +23 +00 +5d +00 +23 +00 +63 +00 +1f +00 +5c +00 +20 +00 +4a +00 +1c +00 +47 +00 +1c +00 +4f +00 +20 +00 +5a +00 +26 +00 +58 +00 +1e +00 +5e +00 +22 +00 +4f +00 +18 +00 +57 +00 +23 +00 +5f +00 +29 +00 +57 +00 +30 +00 +7d +00 +2b +00 +73 +00 +27 +00 +67 +00 +2a +00 +86 +00 +64 +00 +0c +10 +52 +00 +b0 +00 +4d +00 +8f +00 +29 +00 +7a +00 +31 +00 +7a +00 +31 +00 +75 +00 +2c +00 +7b +00 +32 +00 +97 +00 +36 +00 +98 +00 +30 +00 +b4 +00 +32 +00 +d2 +00 +a7 +00 +7f +30 +04 +20 +5c +40 +88 +00 +28 +10 +52 +00 +f5 +00 +66 +00 +fa +00 +bd +00 +ee +20 +21 +10 +1d +30 +3d +10 +bb +30 +7d +10 +f4 +40 +85 +10 +84 +40 +23 +20 +b4 +60 +0c +20 +27 +60 +a2 +20 +7f +60 +6c +10 +66 +30 +e1 +00 +fe +10 +b4 +00 +75 +30 +aa +10 +a0 +30 +54 +10 +88 +40 +be +00 +e6 +10 +e1 +00 +55 +30 +36 +20 +08 +80 +40 +30 +40 +80 +80 +20 +3b +70 +00 +30 +59 +70 +9b +10 +e8 +30 +2a +10 +a7 +50 +f8 +20 +dc +70 +81 +20 +ec +50 +8d +20 +91 +50 +fc +00 +3b +20 +a7 +00 +a2 +20 +9a +20 +b8 +70 +8c +20 +49 +70 +a4 +20 +de +60 +20 +10 +0f +20 +9e +00 +bc +10 +b1 +00 +e5 +10 +80 +00 +cc +10 +14 +10 +5c +20 +af +00 +4c +20 +fb +00 +1c +30 +09 +10 +d8 +10 +63 +00 +14 +10 +50 +00 +be +00 +5e +00 +d7 +00 +4b +00 +ad +00 +3a +00 +84 +00 +39 +00 +84 +00 +2c +00 +7a +00 +27 +00 +63 +00 +21 +00 +56 +00 +27 +00 +79 +00 +33 +00 +6b +00 +28 +00 +71 +00 +33 +00 +91 +00 +39 +00 +a5 +00 +66 +00 +d1 +20 +44 +20 +71 +30 +e2 +00 +5d +10 +44 +00 +a3 +00 +22 +00 +71 +00 +2e +00 +95 +00 +50 +00 +87 +10 +03 +20 +24 +60 +fe +00 +7c +20 +9f +00 +64 +10 +4c +00 +b8 +00 +37 +00 +83 +00 +75 +00 +b1 +00 +33 +00 +8b +00 +4f +00 +57 +20 +7e +10 +ef +10 +46 +00 +9d +00 +2a +00 +63 +00 +21 +00 +69 +00 +29 +00 +71 +00 +29 +00 +71 +00 +36 +00 +b0 +00 +23 +10 +50 +60 +1f +30 +2d +80 +43 +20 +e1 +30 +aa +00 +88 +10 +42 +00 +84 +00 +2b +00 +51 +00 +1f +00 +4b +00 +1e +00 +46 +00 +1d +00 +4d +00 +1a +00 +48 +00 +1a +00 +49 +00 +24 +00 +44 +00 +1f +00 +47 +00 +17 +00 +47 +00 +14 +00 +40 +00 +19 +00 +42 +00 +15 +00 +35 +00 +17 +00 +48 +00 +1c +00 +4e +00 +19 +00 +4d +00 +21 +00 +4e +00 +1e +00 +4c +00 +19 +00 +47 +00 +17 +00 +49 +00 +16 +00 +49 +00 +29 +00 +55 +00 +25 +00 +5b +00 +23 +00 +5d +00 +23 +00 +56 +00 +2a +00 +7b +00 +35 +00 +a2 +00 +45 +00 +ab +00 +41 +00 +ab +00 +45 +00 +42 +10 +bf +10 +eb +70 +42 +30 +09 +90 +d5 +30 +98 +a0 +c7 +30 +35 +80 +d6 +10 +2b +50 +dd +10 +01 +40 +a0 +20 +b3 +60 +72 +20 +c6 +50 +d7 +00 +aa +10 +4e +00 +bd +00 +6d +00 +b4 +10 +27 +20 +dd +60 +81 +20 +66 +80 +ed +20 +5d +80 +36 +20 +e9 +40 +46 +20 +54 +70 +86 +10 +29 +20 +68 +00 +4e +10 +1e +10 +4c +40 +a8 +00 +78 +10 +58 +10 +67 +20 +a9 +00 +cb +20 +91 +00 +70 +10 +6c +00 +7d +10 +00 +00 +00 +00 +ac +00 +71 +10 +39 +30 +ce +10 +83 +10 +3c +10 +ad +10 +4b +10 +72 +10 +dd +00 +c5 +00 +98 +00 +96 +00 +8b +00 +bc +00 +b8 +00 +ae +10 +9b +10 +11 +30 +3e +10 +ea +10 +32 +10 +62 +20 +26 +10 +4b +20 +64 +10 +bb +10 +22 +10 +35 +10 +7c +00 +7f +00 +49 +00 +7e +00 +4f +00 +8f +00 +5b +00 +71 +00 +6a +00 +90 +00 +bd +00 +28 +10 +10 +10 +bb +10 +04 +10 +91 +10 +dc +00 +7c +10 +5d +10 +f2 +30 +c3 +20 +28 +40 +ee +20 +fe +30 +ff +30 +6f +70 +b4 +40 +55 +60 +4f +30 +37 +30 +6b +20 +28 +30 +4e +10 +9f +10 +b8 +00 +e4 +00 +19 +10 +74 +10 +c4 +00 +bb +00 +64 +00 +93 +00 +61 +00 +86 +00 +54 +00 +8b +00 +43 +00 +72 +00 +4b +00 +76 +00 +40 +00 +73 +00 +4e +00 +96 +00 +53 +00 +99 +00 +56 +00 +81 +00 +7d +00 +1b +10 +81 +00 +f0 +00 +78 +00 +e6 +00 +50 +00 +73 +00 +40 +00 +6e +00 +60 +00 +a1 +00 +dc +00 +34 +10 +cf +00 +29 +10 +a4 +00 +d5 +00 +87 +00 +b7 +00 +5e +00 +8c +00 +a5 +00 +02 +10 +da +00 +45 +10 +b7 +00 +eb +00 +95 +00 +be +00 +93 +00 +a6 +00 +69 +00 +85 +00 +7b +00 +9d +00 +be +00 +55 +10 +84 +20 +cb +50 +cc +20 +36 +40 +ca +10 +89 +10 +b7 +00 +97 +00 +61 +00 +99 +00 +b7 +00 +89 +10 +c9 +00 +7a +10 +bd +00 +0e +10 +7b +10 +84 +40 +e2 +20 +6d +60 +20 +40 +ac +60 +4c +30 +f3 +30 +f5 +10 +bf +20 +14 +10 +0c +10 +73 +00 +75 +00 +4c +00 +77 +00 +4c +00 +78 +00 +57 +00 +86 +00 +bb +00 +bc +10 +97 +10 +d1 +30 +2a +10 +04 +10 +af +00 +c6 +00 +83 +10 +60 +10 +88 +00 +7c +00 +4a +00 +73 +00 +43 +00 +73 +00 +3c +00 +49 +00 +31 +00 +55 +00 +37 +00 +59 +00 +34 +00 +67 +00 +38 +00 +5c +00 +33 +00 +54 +00 +2b +00 +47 +00 +29 +00 +4a +00 +29 +00 +43 +00 +36 +00 +4e +00 +30 +00 +4f +00 +2e +00 +4f +00 +2b +00 +44 +00 +31 +00 +4f +00 +32 +00 +54 +00 +33 +00 +77 +00 +3b +00 +62 +00 +46 +00 +62 +00 +38 +00 +68 +00 +57 +00 +f9 +00 +8b +00 +db +00 +8c +00 +f5 +00 +67 +00 +80 +00 +4b +00 +77 +00 +41 +00 +71 +00 +41 +00 +70 +00 +47 +00 +86 +00 +56 +00 +7e +00 +61 +00 +8a +00 +83 +00 +db +00 +ec +00 +9d +20 +09 +30 +42 +50 +21 +20 +2a +20 +26 +10 +ed +00 +ca +00 +ea +00 +c6 +00 +0b +10 +83 +10 +7b +30 +21 +30 +7d +50 +9c +40 +52 +70 +d7 +40 +11 +60 +15 +50 +b3 +70 +3b +50 +a9 +70 +d9 +30 +d4 +40 +e3 +20 +a4 +30 +13 +20 +2e +20 +87 +10 +61 +10 +85 +10 +2a +20 +99 +10 +cf +20 +63 +20 +27 +30 +a0 +20 +06 +40 +84 +20 +f5 +20 +d6 +20 +4e +50 +d1 +20 +09 +40 +a0 +20 +8a +30 +d3 +20 +7f +30 +52 +30 +f8 +30 +81 +30 +fa +50 +0c +30 +1e +60 +d0 +30 +1c +70 +a0 +20 +cb +20 +93 +10 +b8 +10 +ab +20 +3f +50 +e4 +40 +0a +80 +46 +50 +a4 +70 +26 +30 +f5 +20 +82 +10 +9e +10 +4b +20 +e6 +30 +15 +30 +9f +40 +f8 +10 +be +10 +31 +10 +8c +10 +44 +10 +b0 +10 +38 +10 +9b +10 +ff +00 +20 +10 +9e +00 +c1 +00 +65 +00 +a7 +00 +53 +00 +98 +00 +52 +00 +8d +00 +43 +00 +73 +00 +43 +00 +63 +00 +40 +00 +56 +00 +40 +00 +54 +00 +3d +00 +6a +00 +3c +00 +7e +00 +48 +00 +72 +00 +5b +00 +7b +00 +55 +00 +82 +00 +83 +00 +c2 +00 +59 +10 +6e +40 +bd +10 +e3 +10 +50 +10 +f2 +00 +05 +10 +a8 +00 +77 +00 +73 +00 +80 +00 +b2 +00 +01 +10 +d9 +10 +c3 +10 +98 +30 +cd +10 +c1 +10 +11 +10 +e4 +00 +83 +00 +8f +00 +63 +00 +b6 +00 +97 +00 +cb +00 +39 +10 +ae +10 +2a +10 +ac +10 +d8 +10 +13 +20 +ce +00 +83 +00 +62 +00 +6a +00 +a3 +00 +a4 +00 +8e +00 +75 +00 +4f +00 +83 +00 +5d +10 +55 +40 +33 +40 +57 +80 +40 +40 +ad +50 +d6 +10 +bd +10 +e1 +00 +bf +00 +63 +00 +50 +00 +30 +00 +4e +00 +2f +00 +46 +00 +2b +00 +45 +00 +2a +00 +42 +00 +23 +00 +3e +00 +28 +00 +46 +00 +26 +00 +48 +00 +25 +00 +3e +00 +25 +00 +4f +00 +1f +00 +3f +00 +24 +00 +3f +00 +23 +00 +48 +00 +29 +00 +44 +00 +29 +00 +4d +00 +23 +00 +49 +00 +26 +00 +44 +00 +26 +00 +47 +00 +2b +00 +4f +00 +33 +00 +51 +00 +32 +00 +50 +00 +30 +00 +58 +00 +2e +00 +63 +00 +28 +00 +51 +00 +2b +00 +5a +00 +33 +00 +76 +00 +46 +00 +a8 +00 +5a +00 +cf +00 +83 +00 +ee +00 +0f +20 +cf +50 +c2 +40 +44 +80 +b7 +50 +20 +a0 +93 +50 +3d +70 +0a +40 +e6 +30 +a9 +20 +ee +20 +2e +30 +fa +40 +56 +30 +25 +50 +a7 +20 +b2 +20 +48 +10 +f0 +00 +ab +00 +d4 +00 +90 +10 +3e +40 +01 +40 +98 +80 +27 +50 +fc +80 +de +50 +aa +90 +19 +50 +da +60 +f7 +20 +e3 +20 +64 +10 +46 +10 +fe +00 +f3 +10 +5b +10 +3f +20 +1e +10 +b6 +10 +6a +10 +29 +30 +fb +10 +71 +20 +c0 +00 +e0 +00 +a0 +00 +00 +00 +00 +00 +3b +00 +50 +10 +71 +10 +d2 +30 +92 +00 +83 +10 +f1 +00 +a2 +20 +8c +00 +dc +10 +59 +00 +d8 +00 +45 +00 +72 +10 +77 +00 +fd +00 +98 +00 +8b +20 +e4 +00 +9e +10 +71 +00 +71 +10 +7c +00 +34 +10 +ad +00 +ab +20 +05 +10 +9e +20 +69 +00 +c8 +00 +2b +00 +7e +00 +2c +00 +7b +00 +2f +00 +6f +00 +2c +00 +6e +00 +2a +00 +b0 +00 +58 +00 +5b +10 +67 +00 +22 +10 +52 +00 +eb +00 +4d +00 +0f +10 +2f +10 +ec +40 +55 +20 +13 +60 +36 +20 +c2 +60 +a3 +20 +a1 +70 +78 +20 +5a +60 +36 +20 +df +50 +62 +10 +55 +20 +55 +00 +d5 +00 +49 +00 +a8 +10 +7b +00 +ef +00 +38 +00 +8f +00 +26 +00 +8d +00 +31 +00 +8c +00 +2c +00 +84 +00 +2e +00 +70 +00 +2e +00 +71 +00 +34 +00 +75 +00 +30 +00 +73 +00 +29 +00 +7e +00 +2f +00 +8f +00 +3a +00 +ae +00 +39 +00 +9b +00 +40 +00 +93 +00 +2c +00 +6e +00 +25 +00 +74 +00 +8e +00 +67 +20 +99 +00 +55 +10 +4c +00 +c9 +00 +32 +00 +9d +00 +2b +00 +8c +00 +30 +00 +8f +00 +4c +00 +f1 +00 +4b +00 +c6 +00 +45 +00 +a6 +00 +39 +00 +9f +00 +31 +00 +84 +00 +2e +00 +c5 +00 +44 +00 +cf +00 +87 +00 +c7 +20 +67 +10 +e7 +30 +5f +10 +ca +30 +7b +00 +ce +00 +2c +00 +71 +00 +32 +00 +b4 +00 +47 +00 +c8 +00 +43 +00 +b1 +00 +4e +00 +19 +10 +f5 +00 +ed +20 +63 +10 +28 +50 +36 +20 +2d +50 +5e +10 +b7 +20 +7d +00 +02 +10 +37 +00 +a6 +00 +33 +00 +7e +00 +2d +00 +73 +00 +28 +00 +7a +00 +32 +00 +a6 +00 +64 +00 +5a +10 +98 +00 +5c +10 +4d +00 +c4 +00 +8e +00 +37 +30 +d9 +00 +55 +10 +33 +00 +75 +00 +26 +00 +6d +00 +29 +00 +58 +00 +1d +00 +5b +00 +1e +00 +62 +00 +1a +00 +52 +00 +21 +00 +64 +00 +1d +00 +52 +00 +1e +00 +53 +00 +25 +00 +4d +00 +18 +00 +4c +00 +20 +00 +54 +00 +21 +00 +50 +00 +23 +00 +45 +00 +12 +00 +3e +00 +17 +00 +4c +00 +22 +00 +54 +00 +23 +00 +62 +00 +26 +00 +6b +00 +21 +00 +5e +00 +2d +00 +6b +00 +30 +00 +93 +00 +67 +00 +df +00 +4d +00 +44 +10 +77 +00 +d1 +00 +36 +00 +6f +00 +2b +00 +75 +00 +2b +00 +9d +00 +35 +00 +76 +00 +2d +00 +70 +00 +29 +00 +86 +00 +43 +00 +c3 +00 +94 +00 +64 +10 +a6 +10 +20 +50 +63 +20 +77 +50 +e1 +00 +9d +10 +71 +00 +1d +10 +56 +00 +e9 +00 +57 +00 +ae +10 +36 +10 +45 +40 +89 +20 +e2 +70 +2c +30 +a1 +80 +1c +30 +9b +80 +e8 +20 +60 +70 +0f +20 +48 +40 +0e +10 +32 +30 +00 +10 +f8 +20 +bf +00 +a9 +10 +6c +00 +84 +10 +1f +10 +37 +30 +cd +00 +a6 +30 +d6 +10 +2b +50 +72 +20 +a2 +40 +c4 +00 +9b +20 +d6 +00 +d1 +20 +cc +00 +73 +20 +fb +00 +b3 +30 +f0 +10 +77 +60 +67 +20 +32 +50 +19 +10 +26 +30 +72 +10 +8b +40 +c4 +10 +fb +30 +af +00 +c2 +10 +7a +00 +9e +20 +9c +20 +18 +80 +50 +30 +f7 +80 +11 +20 +d7 +30 +c5 +00 +cd +10 +11 +10 +02 +50 +77 +20 +8d +50 +ef +10 +ea +30 +8b +00 +4f +10 +58 +00 +28 +10 +61 +00 +66 +10 +84 +00 +4f +10 +5e +00 +d1 +00 +43 +00 +9a +00 +33 +00 +94 +00 +35 +00 +83 +00 +30 +00 +77 +00 +1f +00 +50 +00 +1b +00 +63 +00 +2b +00 +6e +00 +2e +00 +78 +00 +30 +00 +78 +00 +37 +00 +95 +00 +4a +00 +b6 +00 +28 +00 +6f +00 +2f +00 +89 +00 +43 +00 +90 +10 +fe +00 +cd +20 +c5 +00 +b9 +10 +39 +10 +1b +30 +4b +00 +95 +00 +2b +00 +96 +00 +39 +00 +ca +00 +6b +00 +5d +10 +9f +00 +fe +20 +9e +00 +64 +10 +39 +00 +af +00 +38 +00 +9a +00 +3e +00 +b8 +00 +8a +00 +66 +20 +1a +10 +a1 +10 +43 +10 +33 +50 +d0 +00 +0d +10 +2d +00 +71 +00 +65 +00 +31 +20 +56 +00 +cd +00 +30 +00 +75 +00 +39 +00 +1b +10 +52 +20 +08 +80 +0e +30 +23 +70 +15 +10 +44 +20 +69 +00 +e9 +00 +3a +00 +73 +00 +1b +00 +56 +00 +20 +00 +53 +00 +1d +00 +59 +00 +1d +00 +49 +00 +17 +00 +4a +00 +1f +00 +45 +00 +1b +00 +42 +00 +22 +00 +4b +00 +16 +00 +49 +00 +1c +00 +3a +00 +1f +00 +44 +00 +19 +00 +43 +00 +19 +00 +49 +00 +24 +00 +51 +00 +24 +00 +4c +00 +22 +00 +53 +00 +22 +00 +51 +00 +21 +00 +6b +00 +30 +00 +82 +00 +2e +00 +5d +00 +24 +00 +5b +00 +20 +00 +54 +00 +2a +00 +61 +00 +1f +00 +60 +00 +26 +00 +67 +00 +2b +00 +83 +00 +3f +00 +b2 +00 +5c +00 +fc +00 +73 +00 +1c +30 +e2 +20 +da +70 +c5 +20 +12 +80 +bc +20 +f7 +60 +54 +20 +e0 +40 +bf +00 +1d +20 +b4 +00 +a0 +30 +f0 +10 +22 +40 +8f +10 +02 +30 +8f +00 +51 +10 +3f +00 +a4 +00 +52 +00 +1a +10 +7d +10 +82 +60 +a4 +20 +08 +60 +3e +30 +a6 +90 +d3 +30 +bb +90 +a3 +20 +23 +40 +ad +00 +71 +10 +6a +00 +01 +10 +73 +00 +97 +10 +88 +00 +61 +10 +37 +10 +b1 +20 +1b +10 +b0 +20 +91 +00 +06 +10 +47 +00 +c2 +00 +00 +00 +00 +00 +99 +00 +02 +10 +71 +20 +26 +20 +fc +20 +61 +20 +a1 +30 +7a +20 +df +30 +88 +20 +d3 +30 +a0 +10 +47 +10 +3b +10 +1f +20 +f3 +00 +79 +10 +f3 +00 +64 +10 +f1 +00 +1a +10 +f4 +00 +11 +10 +ba +00 +41 +10 +55 +10 +cb +20 +16 +10 +23 +10 +70 +00 +8e +00 +4d +00 +76 +00 +4b +00 +7b +00 +56 +00 +72 +00 +51 +00 +6c +00 +77 +00 +be +00 +a6 +00 +20 +10 +a1 +00 +cd +00 +8a +00 +b1 +00 +a8 +00 +44 +10 +f5 +10 +6c +50 +d6 +20 +58 +50 +55 +30 +6c +60 +37 +40 +45 +70 +63 +40 +bc +60 +f6 +20 +2d +30 +2e +10 +05 +10 +86 +00 +b7 +00 +f3 +00 +9c +10 +cb +00 +b5 +00 +7b +00 +85 +00 +a3 +00 +bb +00 +81 +00 +8c +00 +48 +00 +7e +00 +46 +00 +73 +00 +51 +00 +85 +00 +40 +00 +7e +00 +44 +00 +7a +00 +4f +00 +85 +00 +64 +00 +a0 +00 +66 +00 +8b +00 +5d +00 +88 +00 +4e +00 +72 +00 +40 +00 +61 +00 +5d +00 +e0 +00 +a5 +00 +cc +10 +b2 +00 +a9 +00 +6b +00 +8b +00 +5d +00 +75 +00 +4e +00 +70 +00 +6d +00 +a3 +00 +8e +00 +c9 +00 +70 +00 +8b +00 +64 +00 +8f +00 +61 +00 +84 +00 +89 +00 +34 +10 +a1 +10 +c5 +10 +d8 +00 +94 +10 +34 +10 +0d +20 +73 +10 +a6 +20 +82 +10 +57 +20 +d6 +00 +90 +00 +5d +00 +7b +00 +95 +00 +e1 +00 +d7 +00 +f8 +00 +10 +10 +fd +00 +4f +10 +77 +10 +95 +10 +ff +10 +e2 +10 +f6 +20 +2f +20 +c8 +20 +51 +10 +61 +10 +8e +00 +9f +00 +6e +00 +88 +00 +57 +00 +7a +00 +4d +00 +76 +00 +53 +00 +79 +00 +9a +00 +e3 +00 +dc +00 +5a +10 +5c +10 +41 +10 +e4 +10 +e8 +20 +ab +20 +d8 +20 +02 +10 +d3 +00 +60 +00 +6b +00 +3c +00 +5e +00 +33 +00 +61 +00 +2b +00 +49 +00 +32 +00 +4c +00 +2c +00 +55 +00 +30 +00 +5a +00 +29 +00 +4d +00 +34 +00 +53 +00 +2e +00 +49 +00 +31 +00 +57 +00 +2b +00 +5b +00 +3a +00 +5e +00 +2a +00 +48 +00 +28 +00 +37 +00 +33 +00 +56 +00 +2e +00 +52 +00 +32 +00 +64 +00 +3c +00 +51 +00 +3a +00 +6f +00 +38 +00 +77 +00 +3f +00 +9c +00 +4d +00 +96 +00 +72 +00 +fa +00 +5c +00 +97 +00 +4d +00 +60 +00 +45 +00 +68 +00 +53 +00 +a6 +00 +51 +00 +8b +00 +48 +00 +7e +00 +44 +00 +83 +00 +5d +00 +d4 +00 +d5 +00 +31 +20 +9f +10 +f8 +20 +6a +10 +57 +20 +46 +20 +c2 +30 +4d +20 +f6 +10 +04 +10 +e0 +00 +0b +10 +71 +10 +25 +20 +1d +50 +95 +40 +74 +80 +01 +50 +d1 +70 +3b +40 +db +50 +18 +40 +b7 +70 +94 +40 +f9 +50 +bc +30 +dc +40 +ed +30 +ab +50 +3d +20 +83 +10 +7f +10 +43 +20 +72 +10 +f3 +10 +6f +10 +73 +20 +54 +20 +da +60 +f5 +20 +0a +30 +e8 +10 +fd +10 +9f +10 +ca +10 +92 +10 +06 +20 +ae +20 +c4 +60 +39 +40 +40 +70 +af +20 +ee +20 +d0 +10 +61 +20 +3a +20 +0d +30 +4c +20 +49 +20 +af +10 +b5 +10 +b3 +30 +7d +70 +2c +50 +21 +90 +4c +40 +c9 +40 +94 +20 +71 +20 +46 +20 +5a +40 +f2 +20 +65 +50 +19 +20 +23 +30 +48 +10 +a5 +10 +ff +00 +5e +10 +0d +10 +4a +10 +fc +10 +66 +30 +39 +10 +0c +10 +92 +00 +93 +00 +5c +00 +81 +00 +51 +00 +80 +00 +4b +00 +77 +00 +3c +00 +62 +00 +36 +00 +59 +00 +3d +00 +6f +00 +37 +00 +6f +00 +48 +00 +6c +00 +46 +00 +74 +00 +b1 +00 +ab +10 +91 +00 +8e +00 +88 +00 +90 +00 +95 +00 +a0 +00 +20 +10 +10 +20 +e2 +20 +e5 +30 +15 +30 +84 +50 +70 +20 +ba +10 +c6 +00 +8d +00 +67 +00 +87 +00 +99 +00 +c1 +00 +df +00 +39 +10 +f7 +10 +41 +50 +77 +20 +79 +10 +d5 +00 +a0 +00 +e7 +00 +e2 +00 +75 +10 +d8 +30 +9e +10 +94 +10 +92 +20 +95 +30 +20 +30 +9c +30 +26 +10 +c9 +00 +9c +00 +8c +10 +44 +20 +4b +40 +d4 +10 +0c +10 +aa +00 +78 +00 +26 +10 +e2 +30 +13 +30 +04 +50 +17 +20 +c5 +20 +47 +10 +08 +10 +9f +00 +81 +00 +44 +00 +6b +00 +29 +00 +71 +00 +34 +00 +4e +00 +23 +00 +55 +00 +27 +00 +4a +00 +26 +00 +5b +00 +28 +00 +48 +00 +25 +00 +3a +00 +28 +00 +41 +00 +25 +00 +3e +00 +27 +00 +44 +00 +22 +00 +41 +00 +21 +00 +3e +00 +1f +00 +42 +00 +26 +00 +48 +00 +2d +00 +45 +00 +22 +00 +4f +00 +29 +00 +56 +00 +45 +00 +26 +10 +b4 +00 +13 +20 +6f +00 +68 +00 +3e +00 +53 +00 +2a +00 +51 +00 +28 +00 +54 +00 +2d +00 +5e +00 +38 +00 +92 +00 +3e +00 +95 +00 +5d +00 +e2 +00 +db +00 +aa +10 +15 +20 +e5 +40 +2d +30 +8d +50 +98 +40 +4c +70 +ca +50 +3d +80 +a7 +30 +68 +20 +63 +20 +23 +20 +80 +20 +6a +30 +49 +20 +15 +30 +c3 +10 +c5 +10 +d3 +00 +c5 +00 +74 +00 +9d +00 +d3 +00 +bb +10 +8f +10 +48 +30 +8a +20 +bd +40 +57 +40 +a9 +80 +63 +40 +bc +50 +cb +20 +57 +20 +78 +10 +19 +10 +af +00 +d5 +00 +af +00 +fe +00 +eb +00 +4a +20 +da +10 +ed +10 +e7 +00 +26 +10 +c2 +00 +b1 +00 +7e +00 +00 +00 +00 +00 +36 +00 +c7 +00 +c0 +00 +50 +30 +36 +10 +da +30 +b3 +10 +be +40 +a3 +10 +c0 +40 +da +10 +83 +40 +e6 +00 +02 +20 +67 +00 +08 +10 +56 +00 +35 +10 +6f +00 +76 +10 +b3 +00 +67 +10 +4a +00 +db +00 +66 +00 +cb +10 +9f +00 +8b +10 +53 +00 +af +00 +31 +00 +78 +00 +2d +00 +76 +00 +24 +00 +71 +00 +2d +00 +7f +00 +2c +00 +78 +00 +3b +00 +ae +00 +38 +00 +c5 +00 +34 +00 +a6 +00 +3b +00 +b2 +00 +6a +00 +b1 +10 +f0 +00 +8d +20 +d2 +00 +79 +20 +20 +10 +30 +40 +6d +20 +cb +60 +63 +20 +da +40 +ca +00 +62 +10 +51 +00 +bc +00 +3f +00 +00 +10 +92 +00 +35 +10 +3e +00 +a2 +00 +3a +00 +34 +10 +95 +00 +fc +00 +37 +00 +8f +00 +27 +00 +79 +00 +31 +00 +85 +00 +2d +00 +7d +00 +25 +00 +6f +00 +2a +00 +81 +00 +31 +00 +87 +00 +31 +00 +91 +00 +29 +00 +82 +00 +30 +00 +8b +00 +31 +00 +75 +00 +28 +00 +75 +00 +31 +00 +ae +00 +4c +00 +c5 +00 +3a +00 +8c +00 +2b +00 +7e +00 +2d +00 +6f +00 +35 +00 +86 +00 +2b +00 +9a +00 +42 +00 +c7 +00 +3a +00 +90 +00 +35 +00 +92 +00 +2f +00 +95 +00 +03 +10 +3d +40 +02 +10 +62 +10 +55 +00 +f9 +00 +73 +00 +86 +10 +6d +00 +64 +10 +72 +00 +8a +10 +37 +00 +6f +00 +2b +00 +d7 +00 +9d +00 +21 +20 +fc +00 +5a +30 +20 +10 +8c +20 +db +00 +5d +20 +87 +00 +dc +10 +8d +00 +cd +10 +8a +00 +4f +10 +53 +00 +b8 +00 +39 +00 +b6 +00 +3f +00 +ac +00 +3d +00 +73 +00 +28 +00 +74 +00 +25 +00 +89 +00 +b1 +00 +c2 +10 +a3 +00 +95 +30 +60 +10 +74 +40 +33 +20 +68 +60 +57 +10 +d0 +10 +3f +00 +84 +00 +26 +00 +58 +00 +20 +00 +5e +00 +26 +00 +5f +00 +27 +00 +53 +00 +1f +00 +52 +00 +1a +00 +54 +00 +24 +00 +49 +00 +1f +00 +4d +00 +1d +00 +50 +00 +1d +00 +50 +00 +20 +00 +5e +00 +28 +00 +58 +00 +25 +00 +5a +00 +18 +00 +4d +00 +16 +00 +51 +00 +22 +00 +57 +00 +1b +00 +56 +00 +27 +00 +59 +00 +1c +00 +79 +00 +27 +00 +74 +00 +30 +00 +86 +00 +37 +00 +80 +00 +29 +00 +85 +00 +39 +00 +8e +00 +2f +00 +67 +00 +2a +00 +6b +00 +24 +00 +75 +00 +32 +00 +8a +00 +3e +00 +9d +00 +2f +00 +75 +00 +3d +00 +a4 +00 +3a +00 +e3 +00 +e6 +00 +c4 +20 +a8 +00 +d5 +10 +95 +00 +07 +30 +ff +10 +72 +50 +75 +10 +92 +20 +5f +00 +23 +10 +92 +00 +f9 +20 +18 +20 +82 +60 +d4 +20 +b8 +70 +b2 +20 +7e +50 +2e +10 +e1 +30 +7e +20 +0b +70 +76 +20 +ce +60 +96 +20 +5d +70 +83 +20 +d9 +40 +eb +00 +b0 +10 +a7 +00 +86 +10 +8a +00 +d9 +10 +8a +00 +06 +20 +d7 +10 +52 +50 +da +00 +f9 +10 +86 +00 +77 +10 +80 +00 +5c +10 +8a +00 +00 +20 +a8 +10 +d3 +40 +cb +10 +57 +30 +cd +00 +0f +20 +a6 +00 +23 +30 +9a +10 +50 +40 +b5 +00 +af +10 +1f +10 +00 +60 +a9 +20 +42 +70 +0e +30 +8c +60 +5c +10 +dc +30 +7f +10 +c7 +30 +bd +10 +6a +30 +2e +10 +a9 +20 +b5 +00 +8b +10 +66 +00 +54 +10 +75 +00 +4a +10 +96 +00 +93 +20 +71 +10 +f7 +10 +56 +00 +c4 +00 +39 +00 +79 +00 +2d +00 +80 +00 +31 +00 +69 +00 +2c +00 +63 +00 +28 +00 +59 +00 +29 +00 +6a +00 +2d +00 +67 +00 +2c +00 +83 +00 +44 +00 +88 +00 +47 +00 +65 +10 +9a +00 +d9 +00 +52 +00 +dd +00 +42 +00 +ad +00 +47 +00 +25 +10 +ab +10 +11 +60 +03 +20 +dc +40 +a7 +20 +50 +50 +9a +00 +18 +10 +2e +00 +87 +00 +30 +00 +85 +00 +33 +00 +c1 +00 +63 +00 +93 +20 +62 +20 +ff +50 +e3 +00 +50 +10 +95 +00 +40 +20 +3d +10 +92 +30 +1e +10 +32 +20 +af +10 +44 +60 +96 +10 +95 +40 +16 +10 +b3 +10 +36 +00 +8f +00 +89 +00 +89 +30 +63 +20 +16 +50 +6f +00 +b1 +00 +30 +00 +d0 +00 +5b +10 +5f +50 +ed +00 +6c +20 +85 +00 +3a +10 +41 +00 +9a +00 +2c +00 +68 +00 +23 +00 +68 +00 +33 +00 +67 +00 +23 +00 +53 +00 +26 +00 +4e +00 +22 +00 +57 +00 +24 +00 +55 +00 +17 +00 +3b +00 +1f +00 +42 +00 +1a +00 +3b +00 +1d +00 +3f +00 +1a +00 +45 +00 +15 +00 +44 +00 +1e +00 +39 +00 +1b +00 +48 +00 +1d +00 +50 +00 +20 +00 +4c +00 +22 +00 +5b +00 +21 +00 +61 +00 +3e +00 +cc +00 +74 +00 +d0 +00 +2c +00 +51 +00 +25 +00 +5d +00 +2c +00 +50 +00 +28 +00 +4e +00 +26 +00 +7a +00 +38 +00 +91 +00 +3f +00 +ac +00 +6a +00 +b5 +10 +08 +10 +a5 +20 +f4 +10 +8c +30 +9e +10 +6d +70 +90 +30 +da +90 +79 +20 +9b +40 +db +00 +08 +40 +93 +10 +ee +30 +28 +10 +07 +40 +5f +10 +48 +20 +7c +00 +1d +10 +41 +00 +8e +00 +38 +00 +bc +00 +5f +00 +c0 +10 +b5 +00 +b1 +20 +3f +10 +ea +40 +03 +30 +0d +70 +7f +20 +68 +40 +ad +00 +b0 +10 +54 +00 +c5 +00 +32 +00 +b6 +00 +46 +00 +f8 +00 +0b +10 +bb +30 +a3 +00 +4c +10 +50 +00 +27 +10 +51 +00 +b0 +00 \ No newline at end of file diff --git a/CFA/sim/test.raw b/CFA/sim/test.raw new file mode 100644 index 0000000..e69de29 diff --git a/CFA/sim/transform/im.tif b/CFA/sim/transform/im.tif new file mode 100644 index 0000000..eae66c9 Binary files /dev/null and b/CFA/sim/transform/im.tif differ diff --git a/CFA/sim/transform/raw_cut.py b/CFA/sim/transform/raw_cut.py new file mode 100644 index 0000000..56c35fb --- /dev/null +++ b/CFA/sim/transform/raw_cut.py @@ -0,0 +1,19 @@ +import imageio +import numpy as np + +cut_width = 512 +cut_height = 256 + +if __name__ == '__main__': + txt = open('./test.dat', 'w') + image = imageio.imread_v2('./im.tif') + print(image.shape) + cut = image[0:cut_height, 0:cut_width] + print(cut.shape) + cut = np.array(cut, dtype=np.int16) + + for data in list(cut.flatten()): + txt.write('%02x\n%02x\n' % (data & 0x00ff, (data & 0xff00) >> 4)) + txt.close() + + imageio.imsave('./test.tif', cut) \ No newline at end of file diff --git a/CFA/sim/transform/raw_to_image.py b/CFA/sim/transform/raw_to_image.py new file mode 100644 index 0000000..f095423 --- /dev/null +++ b/CFA/sim/transform/raw_to_image.py @@ -0,0 +1,10 @@ +import imageio +import numpy as np + +im_width = 1936 +im_height = 1088 + +if __name__ == '__main__': + raw = np.fromfile('./test.raw', dtype=np.int8) + image = raw.reshape((im_height, im_width)) + imageio.imsave("./test.tif", image) diff --git a/CFA/sim/transform/test.dat b/CFA/sim/transform/test.dat new file mode 100644 index 0000000..ce140db --- /dev/null +++ b/CFA/sim/transform/test.dat @@ -0,0 +1,262144 @@ +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +85 +00 +5d +00 +a4 +00 +67 +00 +a5 +00 +7d +00 +c0 +00 +0b +10 +17 +20 +d4 +20 +6a +60 +27 +40 +cc +40 +29 +20 +9a +20 +1d +10 +71 +10 +e4 +00 +2a +10 +0e +10 +e8 +10 +21 +10 +49 +10 +cc +00 +1a +10 +3b +10 +7b +30 +db +20 +ad +60 +72 +30 +68 +60 +a5 +50 +20 +90 +8f +60 +9a +90 +38 +60 +b9 +90 +3d +50 +ad +60 +71 +40 +3f +60 +f4 +40 +90 +70 +a9 +50 +b7 +80 +4c +60 +76 +90 +87 +60 +74 +90 +3b +60 +05 +90 +4a +60 +c8 +50 +12 +40 +2f +80 +53 +50 +e7 +60 +69 +20 +bc +10 +34 +10 +23 +10 +d1 +00 +f8 +00 +9d +00 +c8 +00 +9b +00 +fe +00 +b0 +00 +56 +10 +d4 +00 +06 +10 +20 +10 +a6 +30 +60 +10 +5d +20 +cd +00 +df +00 +60 +00 +a4 +00 +83 +00 +bc +00 +b6 +00 +b6 +00 +85 +00 +92 +00 +4f +00 +7b +00 +52 +00 +8f +00 +53 +00 +8a +00 +63 +00 +e4 +00 +d6 +00 +78 +10 +b1 +00 +c6 +00 +98 +00 +25 +10 +03 +20 +40 +40 +53 +30 +06 +60 +18 +40 +69 +70 +8b +20 +bf +20 +e6 +00 +b6 +00 +68 +00 +c0 +00 +64 +00 +a6 +00 +5b +00 +84 +00 +4b +00 +7c +00 +4a +00 +89 +00 +45 +00 +7d +00 +42 +00 +74 +00 +44 +00 +60 +00 +39 +00 +67 +00 +36 +00 +66 +00 +37 +00 +61 +00 +39 +00 +63 +00 +49 +00 +6b +00 +4e +00 +5f +00 +3e +00 +5a +00 +2c +00 +57 +00 +27 +00 +59 +00 +32 +00 +4f +00 +2c +00 +4c +00 +2d +00 +41 +00 +31 +00 +52 +00 +3c +00 +51 +00 +3c +00 +61 +00 +36 +00 +5f +00 +36 +00 +6b +00 +35 +00 +44 +00 +2d +00 +52 +00 +2f +00 +50 +00 +34 +00 +4f +00 +2b +00 +4e +00 +1d +00 +48 +00 +28 +00 +4c +00 +22 +00 +4a +00 +28 +00 +4b +00 +26 +00 +50 +00 +36 +00 +55 +00 +61 +00 +bc +00 +b7 +00 +aa +10 +cb +00 +6e +10 +c8 +00 +b4 +00 +60 +00 +54 +00 +32 +00 +46 +00 +2b +00 +42 +00 +29 +00 +4e +00 +27 +00 +44 +00 +2a +00 +45 +00 +31 +00 +43 +00 +2d +00 +38 +00 +24 +00 +3b +00 +20 +00 +3b +00 +1b +00 +3c +00 +26 +00 +42 +00 +1f +00 +3d +00 +26 +00 +44 +00 +24 +00 +43 +00 +2a +00 +4b +00 +2b +00 +44 +00 +25 +00 +3d +00 +21 +00 +47 +00 +23 +00 +44 +00 +23 +00 +5e +00 +34 +00 +4c +00 +21 +00 +4d +00 +28 +00 +54 +00 +2d +00 +45 +00 +24 +00 +2d +00 +17 +00 +35 +00 +1b +00 +2f +00 +18 +00 +28 +00 +15 +00 +2a +00 +19 +00 +31 +00 +20 +00 +27 +00 +1b +00 +29 +00 +1b +00 +2b +00 +1c +00 +2d +00 +1a +00 +41 +00 +1b +00 +2a +00 +19 +00 +23 +00 +17 +00 +25 +00 +0f +00 +2e +00 +12 +00 +2a +00 +1c +00 +28 +00 +25 +00 +2f +00 +5f +00 +6d +00 +8b +00 +7f +00 +90 +00 +6a +00 +32 +00 +47 +00 +2b +00 +47 +00 +35 +00 +4a +00 +2b +00 +52 +00 +27 +00 +48 +00 +27 +00 +3f +00 +1c +00 +3c +00 +1e +00 +40 +00 +21 +00 +3b +00 +24 +00 +40 +00 +36 +00 +41 +00 +2f +00 +47 +00 +2c +00 +38 +00 +33 +00 +5b +00 +a3 +00 +7d +00 +5f +00 +68 +00 +3f +00 +57 +00 +5c +00 +88 +00 +95 +00 +8e +00 +5f +00 +62 +00 +43 +00 +45 +00 +31 +00 +3f +00 +35 +00 +48 +00 +55 +00 +7b +10 +a1 +00 +48 +10 +56 +00 +65 +00 +32 +00 +3e +00 +25 +00 +3a +00 +1e +00 +2a +00 +1b +00 +34 +00 +1d +00 +38 +00 +1d +00 +38 +00 +1d +00 +35 +00 +14 +00 +2f +00 +19 +00 +3b +00 +15 +00 +34 +00 +23 +00 +39 +00 +18 +00 +3b +00 +1c +00 +3a +00 +21 +00 +40 +00 +1f +00 +48 +00 +22 +00 +4a +00 +23 +00 +45 +00 +1a +00 +3c +00 +29 +00 +52 +00 +58 +00 +51 +00 +30 +00 +35 +00 +34 +00 +45 +00 +2b +00 +53 +00 +31 +00 +39 +00 +1e +00 +3f +00 +24 +00 +3a +00 +23 +00 +37 +00 +17 +00 +2e +00 +1c +00 +28 +00 +1c +00 +2f +00 +20 +00 +30 +00 +1f +00 +34 +00 +1c +00 +2c +00 +25 +00 +46 +00 +2d +00 +a3 +00 +75 +00 +74 +00 +4d +00 +5f +00 +3e +00 +43 +00 +31 +00 +52 +00 +33 +00 +61 +00 +95 +00 +0d +20 +fe +00 +af +10 +af +00 +a0 +00 +97 +00 +8a +00 +7f +00 +e6 +00 +a4 +10 +1e +20 +c4 +00 +a1 +00 +53 +00 +78 +00 +59 +00 +75 +00 +af +00 +48 +10 +e9 +00 +a0 +00 +76 +00 +e3 +00 +64 +00 +aa +00 +4e +00 +85 +00 +44 +00 +73 +00 +34 +00 +59 +00 +36 +00 +76 +00 +4c +00 +00 +00 +00 +00 +0c +00 +1e +00 +0c +00 +23 +00 +15 +00 +40 +00 +23 +00 +6a +00 +33 +00 +8f +00 +32 +00 +96 +00 +38 +00 +8f +00 +3a +00 +97 +00 +34 +00 +90 +00 +34 +00 +9c +00 +3b +00 +9a +00 +2f +00 +a2 +00 +39 +00 +ad +00 +35 +00 +a5 +00 +35 +00 +a1 +00 +35 +00 +9d +00 +38 +00 +a9 +00 +3b +00 +b7 +00 +3d +00 +aa +00 +40 +00 +a1 +00 +42 +00 +ab +00 +43 +00 +bd +00 +3d +00 +a1 +00 +2a +00 +73 +00 +28 +00 +7a +00 +36 +00 +db +00 +35 +00 +a4 +00 +31 +00 +8a +00 +30 +00 +91 +00 +34 +00 +7c +00 +32 +00 +7c +00 +2f +00 +98 +00 +3e +00 +a6 +00 +37 +00 +9d +00 +44 +00 +ff +00 +ab +00 +ef +30 +89 +20 +ba +70 +e7 +10 +1a +30 +97 +00 +79 +10 +6b +00 +0a +10 +60 +00 +2a +10 +6a +00 +39 +10 +54 +00 +f0 +00 +61 +00 +5b +10 +bc +00 +df +20 +88 +10 +5e +40 +f0 +10 +9d +70 +49 +30 +5f +90 +4a +30 +91 +90 +22 +30 +98 +60 +ec +10 +89 +60 +9c +20 +19 +70 +16 +30 +d6 +80 +4a +30 +c9 +90 +9f +30 +df +90 +a4 +30 +a7 +90 +6b +30 +21 +90 +db +20 +78 +60 +b6 +10 +83 +60 +6b +20 +d7 +30 +98 +00 +c1 +10 +bb +00 +51 +10 +4b +00 +df +00 +4a +00 +bf +00 +5f +00 +62 +10 +5e +00 +fb +00 +51 +00 +1c +10 +93 +00 +c2 +10 +77 +00 +1c +10 +41 +00 +ac +00 +35 +00 +b1 +00 +b3 +00 +43 +20 +6a +00 +ce +00 +42 +00 +8d +00 +30 +00 +85 +00 +3b +00 +81 +00 +2b +00 +8f +00 +50 +00 +fd +00 +62 +00 +d1 +00 +41 +00 +c1 +00 +79 +00 +28 +20 +be +10 +f1 +50 +c0 +20 +b5 +70 +d9 +10 +6d +30 +7a +00 +0d +10 +3b +00 +be +00 +49 +00 +b4 +00 +31 +00 +7e +00 +2d +00 +78 +00 +31 +00 +90 +00 +2b +00 +7e +00 +2c +00 +63 +00 +25 +00 +5e +00 +1d +00 +6a +00 +21 +00 +5d +00 +28 +00 +50 +00 +1c +00 +4d +00 +1f +00 +4f +00 +28 +00 +5d +00 +1d +00 +56 +00 +14 +00 +49 +00 +1d +00 +4c +00 +20 +00 +4a +00 +1b +00 +4d +00 +1d +00 +41 +00 +14 +00 +4b +00 +21 +00 +60 +00 +1f +00 +56 +00 +19 +00 +67 +00 +2a +00 +89 +00 +22 +00 +48 +00 +1b +00 +49 +00 +1a +00 +56 +00 +1c +00 +45 +00 +1c +00 +51 +00 +18 +00 +5f +00 +1f +00 +46 +00 +19 +00 +40 +00 +18 +00 +5a +00 +24 +00 +47 +00 +1b +00 +4d +00 +1f +00 +63 +00 +31 +00 +b4 +00 +48 +00 +f9 +00 +43 +00 +c0 +00 +9b +00 +82 +10 +2b +00 +5e +00 +1c +00 +40 +00 +19 +00 +54 +00 +1a +00 +4e +00 +1e +00 +3d +00 +15 +00 +55 +00 +1a +00 +48 +00 +25 +00 +4a +00 +1a +00 +31 +00 +17 +00 +3c +00 +15 +00 +39 +00 +19 +00 +41 +00 +1b +00 +3d +00 +18 +00 +38 +00 +19 +00 +41 +00 +1c +00 +41 +00 +19 +00 +3f +00 +1a +00 +43 +00 +15 +00 +41 +00 +18 +00 +42 +00 +19 +00 +59 +00 +1f +00 +45 +00 +19 +00 +46 +00 +1b +00 +59 +00 +16 +00 +35 +00 +0f +00 +26 +00 +11 +00 +32 +00 +0c +00 +2a +00 +10 +00 +1b +00 +0c +00 +29 +00 +11 +00 +29 +00 +13 +00 +28 +00 +0b +00 +22 +00 +0e +00 +2c +00 +12 +00 +3c +00 +15 +00 +35 +00 +0e +00 +21 +00 +10 +00 +26 +00 +0e +00 +24 +00 +11 +00 +29 +00 +10 +00 +2d +00 +14 +00 +2e +00 +39 +00 +fb +00 +9c +00 +66 +10 +61 +00 +64 +10 +3f +00 +70 +00 +1c +00 +34 +00 +4a +00 +fb +00 +1e +00 +4e +00 +22 +00 +4b +00 +17 +00 +36 +00 +16 +00 +3e +00 +19 +00 +4b +00 +19 +00 +3a +00 +1c +00 +3a +00 +25 +00 +de +00 +28 +00 +51 +00 +1e +00 +4e +00 +13 +00 +54 +00 +5d +00 +53 +20 +68 +00 +a1 +00 +45 +00 +70 +00 +2c +00 +78 +00 +99 +00 +c6 +20 +77 +00 +a6 +00 +1e +00 +5b +00 +2e +00 +4b +00 +1a +00 +3e +00 +1c +00 +61 +00 +3b +00 +a1 +00 +33 +00 +98 +00 +18 +00 +49 +00 +18 +00 +39 +00 +0c +00 +2c +00 +12 +00 +2e +00 +19 +00 +3e +00 +17 +00 +30 +00 +18 +00 +36 +00 +14 +00 +36 +00 +14 +00 +2b +00 +1b +00 +2b +00 +15 +00 +38 +00 +1d +00 +3e +00 +15 +00 +38 +00 +1c +00 +3c +00 +14 +00 +4d +00 +21 +00 +36 +00 +17 +00 +3f +00 +12 +00 +41 +00 +20 +00 +50 +00 +3b +00 +a3 +10 +3f +00 +54 +00 +1f +00 +45 +00 +1b +00 +4a +00 +1e +00 +49 +00 +19 +00 +3a +00 +14 +00 +39 +00 +1d +00 +44 +00 +17 +00 +36 +00 +11 +00 +28 +00 +16 +00 +2e +00 +13 +00 +32 +00 +16 +00 +38 +00 +20 +00 +37 +00 +12 +00 +3d +00 +23 +00 +5b +00 +72 +00 +de +10 +72 +00 +98 +00 +2b +00 +78 +00 +3b +00 +52 +00 +22 +00 +5b +00 +2b +00 +de +00 +e2 +00 +38 +10 +6c +00 +c5 +10 +ac +00 +69 +20 +5f +00 +e4 +00 +e8 +00 +78 +30 +3a +10 +ac +10 +3d +00 +79 +00 +2d +00 +87 +00 +7f +00 +97 +10 +23 +10 +0b +20 +41 +00 +b3 +00 +36 +00 +8e +00 +2e +00 +8c +00 +2e +00 +73 +00 +2a +00 +51 +00 +25 +00 +50 +00 +2c +00 +84 +00 +00 +00 +00 +00 +24 +00 +19 +00 +1d +00 +25 +00 +3f +00 +36 +00 +60 +00 +53 +00 +7d +00 +66 +00 +91 +00 +5b +00 +85 +00 +61 +00 +91 +00 +71 +00 +91 +00 +5e +00 +98 +00 +66 +00 +99 +00 +67 +00 +9a +00 +70 +00 +a1 +00 +6e +00 +aa +00 +7b +00 +9f +00 +76 +00 +93 +00 +74 +00 +b4 +00 +77 +00 +a8 +00 +7a +00 +aa +00 +68 +00 +a7 +00 +6c +00 +bd +00 +6b +00 +a6 +00 +64 +00 +9f +00 +57 +00 +76 +00 +4a +00 +62 +00 +65 +00 +7b +00 +68 +00 +97 +00 +56 +00 +80 +00 +54 +00 +7f +00 +51 +00 +86 +00 +81 +00 +9a +00 +64 +00 +91 +00 +54 +00 +98 +00 +61 +00 +96 +00 +6d +00 +b8 +00 +c7 +00 +68 +10 +f6 +10 +7f +50 +6a +40 +87 +70 +1e +30 +07 +20 +0d +10 +29 +10 +b8 +00 +00 +10 +c1 +00 +0f +10 +ea +00 +10 +10 +0d +10 +2b +10 +53 +10 +91 +10 +ac +10 +3d +20 +72 +20 +70 +30 +6f +40 +f1 +80 +3b +60 +ac +90 +06 +50 +73 +60 +16 +40 +01 +60 +bb +40 +e8 +60 +99 +50 +f0 +80 +62 +60 +aa +90 +9c +60 +38 +a0 +b9 +60 +e5 +90 +a4 +60 +fa +90 +9f +60 +62 +90 +12 +60 +9b +70 +fd +30 +b7 +40 +03 +30 +fb +20 +df +20 +6f +40 +64 +10 +18 +10 +ad +00 +e1 +00 +9f +00 +e8 +00 +b5 +00 +09 +10 +99 +00 +d7 +00 +a5 +00 +0d +10 +bc +00 +12 +10 +89 +00 +ca +00 +78 +00 +a9 +00 +59 +10 +0b +30 +59 +10 +79 +10 +95 +00 +9f +00 +5a +00 +83 +00 +5a +00 +92 +00 +50 +00 +8d +00 +5d +00 +ae +00 +6b +00 +ce +00 +99 +00 +c9 +00 +ca +00 +17 +10 +c8 +10 +4b +20 +6c +30 +f8 +60 +dd +30 +95 +50 +21 +20 +6d +10 +ed +00 +dc +00 +7c +00 +af +00 +67 +00 +86 +00 +4c +00 +8b +00 +4f +00 +8d +00 +5f +00 +9a +00 +52 +00 +7f +00 +42 +00 +6a +00 +3d +00 +6b +00 +33 +00 +59 +00 +35 +00 +5f +00 +37 +00 +55 +00 +35 +00 +52 +00 +3c +00 +58 +00 +28 +00 +54 +00 +32 +00 +4f +00 +36 +00 +4e +00 +2e +00 +55 +00 +2a +00 +49 +00 +47 +00 +7a +00 +45 +00 +51 +00 +3c +00 +5c +00 +2f +00 +56 +00 +25 +00 +4c +00 +32 +00 +62 +00 +2d +00 +5e +00 +2a +00 +3d +00 +2c +00 +4c +00 +31 +00 +55 +00 +2d +00 +51 +00 +38 +00 +78 +00 +33 +00 +50 +00 +28 +00 +3f +00 +22 +00 +45 +00 +28 +00 +52 +00 +2c +00 +42 +00 +33 +00 +49 +00 +5f +00 +16 +10 +88 +00 +a9 +00 +8c +00 +b9 +00 +6a +00 +e8 +00 +93 +00 +f3 +00 +40 +00 +50 +00 +2a +00 +45 +00 +30 +00 +3b +00 +32 +00 +46 +00 +28 +00 +3e +00 +1c +00 +42 +00 +3f +00 +f9 +00 +39 +00 +40 +00 +23 +00 +32 +00 +23 +00 +34 +00 +17 +00 +3f +00 +1a +00 +40 +00 +1f +00 +35 +00 +24 +00 +4e +00 +2a +00 +53 +00 +1c +00 +41 +00 +1f +00 +3f +00 +26 +00 +46 +00 +23 +00 +39 +00 +23 +00 +40 +00 +1e +00 +4f +00 +23 +00 +3d +00 +28 +00 +39 +00 +28 +00 +41 +00 +20 +00 +36 +00 +1a +00 +2c +00 +18 +00 +25 +00 +18 +00 +1c +00 +16 +00 +22 +00 +1a +00 +2a +00 +17 +00 +2d +00 +16 +00 +1d +00 +17 +00 +26 +00 +19 +00 +30 +00 +1b +00 +29 +00 +18 +00 +2b +00 +1f +00 +2b +00 +10 +00 +25 +00 +1e +00 +2e +00 +18 +00 +2d +00 +26 +00 +38 +00 +3f +00 +8b +00 +5a +10 +6d +20 +51 +10 +b0 +10 +8c +00 +b1 +00 +45 +00 +55 +00 +35 +00 +7f +00 +6e +00 +91 +00 +34 +00 +4d +00 +28 +00 +41 +00 +1e +00 +3f +00 +2a +00 +3e +00 +24 +00 +36 +00 +21 +00 +3c +00 +2a +00 +4f +00 +65 +00 +19 +10 +79 +00 +70 +00 +5b +00 +74 +00 +66 +10 +e6 +10 +be +10 +3f +20 +d9 +00 +14 +20 +26 +10 +a2 +00 +77 +00 +be +00 +8a +00 +a4 +10 +82 +00 +77 +00 +4a +00 +e4 +00 +52 +00 +59 +00 +34 +00 +45 +00 +48 +00 +5d +00 +3c +00 +67 +00 +44 +00 +4f +00 +26 +00 +38 +00 +24 +00 +33 +00 +1a +00 +32 +00 +1f +00 +3c +00 +27 +00 +3d +00 +1d +00 +3b +00 +18 +00 +35 +00 +1c +00 +2c +00 +16 +00 +2f +00 +1a +00 +2f +00 +1c +00 +36 +00 +1f +00 +31 +00 +20 +00 +2d +00 +1a +00 +3b +00 +25 +00 +39 +00 +22 +00 +39 +00 +23 +00 +3a +00 +26 +00 +55 +00 +4f +00 +7d +00 +97 +00 +32 +10 +4c +00 +5e +00 +35 +00 +48 +00 +23 +00 +3b +00 +2d +00 +43 +00 +22 +00 +36 +00 +1e +00 +41 +00 +25 +00 +41 +00 +1e +00 +3a +00 +21 +00 +2b +00 +21 +00 +29 +00 +18 +00 +2e +00 +1c +00 +36 +00 +1d +00 +3b +00 +2d +00 +57 +00 +49 +00 +a7 +00 +d0 +00 +47 +30 +cf +00 +bb +00 +67 +00 +c2 +00 +43 +00 +63 +00 +3a +00 +78 +00 +73 +00 +c3 +10 +b0 +00 +e3 +00 +0e +10 +14 +20 +ec +00 +3d +10 +d0 +10 +cf +30 +cf +10 +2d +20 +0e +10 +ea +00 +7a +00 +a3 +00 +a4 +10 +f2 +30 +78 +10 +6e +20 +ad +00 +cc +00 +68 +00 +7a +00 +41 +00 +7f +00 +40 +00 +66 +00 +2e +00 +59 +00 +36 +00 +5a +00 +29 +00 +50 +00 +34 +00 +00 +00 +00 +00 +07 +00 +1f +00 +0e +00 +2c +00 +0f +00 +52 +00 +23 +00 +77 +00 +36 +00 +84 +00 +32 +00 +8e +00 +31 +00 +a3 +00 +40 +00 +96 +00 +33 +00 +a0 +00 +3a +00 +8d +00 +3b +00 +b2 +00 +34 +00 +a1 +00 +38 +00 +93 +00 +46 +00 +b9 +00 +3d +00 +ae +00 +36 +00 +a5 +00 +32 +00 +b4 +00 +38 +00 +a7 +00 +39 +00 +b8 +00 +43 +00 +a0 +00 +3a +00 +a0 +00 +3f +00 +aa +00 +3b +00 +8e +00 +2c +00 +68 +00 +26 +00 +61 +00 +22 +00 +7b +00 +2e +00 +7f +00 +30 +00 +68 +00 +2b +00 +79 +00 +38 +00 +de +00 +78 +00 +c5 +00 +41 +00 +96 +00 +43 +00 +9b +00 +33 +00 +9a +00 +3f +00 +c8 +00 +64 +00 +af +10 +6a +10 +85 +60 +8e +20 +37 +60 +20 +10 +f5 +10 +70 +00 +01 +10 +5b +00 +f6 +00 +60 +00 +0f +10 +ff +00 +87 +20 +90 +00 +8e +10 +99 +00 +0d +20 +b5 +00 +72 +20 +30 +10 +70 +50 +18 +30 +7e +90 +22 +30 +b6 +60 +d8 +10 +d4 +50 +32 +20 +f5 +60 +07 +30 +c2 +80 +6d +30 +82 +90 +5f +30 +f4 +90 +a9 +30 +1f +a0 +9b +30 +f9 +90 +7d +30 +cd +90 +6c +30 +87 +90 +56 +30 +97 +80 +de +10 +ed +30 +1e +10 +9a +40 +bd +10 +f0 +20 +64 +00 +0a +10 +3e +00 +e6 +00 +4e +00 +eb +00 +57 +00 +f0 +00 +59 +00 +ea +00 +58 +00 +20 +10 +51 +00 +cd +00 +44 +00 +b8 +00 +8f +00 +b0 +20 +ca +10 +42 +30 +62 +00 +bd +00 +3a +00 +92 +00 +38 +00 +9e +00 +3b +00 +96 +00 +2e +00 +94 +00 +3e +00 +b2 +00 +51 +00 +d8 +00 +60 +00 +e2 +00 +b0 +00 +78 +30 +b7 +10 +96 +40 +e1 +10 +d5 +40 +88 +10 +d0 +30 +f0 +00 +8e +10 +50 +00 +b2 +00 +34 +00 +9b +00 +37 +00 +94 +00 +32 +00 +84 +00 +33 +00 +95 +00 +36 +00 +95 +00 +29 +00 +73 +00 +2d +00 +76 +00 +2a +00 +52 +00 +21 +00 +55 +00 +1f +00 +60 +00 +20 +00 +54 +00 +1c +00 +58 +00 +20 +00 +4f +00 +20 +00 +46 +00 +20 +00 +5d +00 +1f +00 +5d +00 +20 +00 +4a +00 +1c +00 +5a +00 +66 +00 +e1 +00 +28 +00 +5b +00 +21 +00 +55 +00 +26 +00 +4d +00 +1b +00 +54 +00 +19 +00 +4c +00 +17 +00 +4a +00 +1c +00 +42 +00 +18 +00 +49 +00 +1d +00 +5a +00 +20 +00 +59 +00 +3e +00 +5f +00 +25 +00 +45 +00 +1c +00 +4b +00 +1c +00 +42 +00 +19 +00 +45 +00 +21 +00 +45 +00 +21 +00 +61 +00 +65 +00 +90 +10 +40 +00 +a1 +00 +40 +00 +8a +00 +32 +00 +77 +00 +38 +00 +72 +00 +20 +00 +57 +00 +1e +00 +46 +00 +10 +00 +40 +00 +16 +00 +3d +00 +15 +00 +41 +00 +19 +00 +54 +00 +26 +00 +73 +00 +1a +00 +33 +00 +1e +00 +3b +00 +11 +00 +2d +00 +17 +00 +39 +00 +14 +00 +3a +00 +14 +00 +3b +00 +1b +00 +3c +00 +16 +00 +3b +00 +19 +00 +31 +00 +1a +00 +43 +00 +1b +00 +3c +00 +1a +00 +3d +00 +11 +00 +42 +00 +1b +00 +4a +00 +1c +00 +49 +00 +19 +00 +36 +00 +14 +00 +2d +00 +0f +00 +2a +00 +14 +00 +2c +00 +10 +00 +2d +00 +0a +00 +26 +00 +0f +00 +27 +00 +11 +00 +25 +00 +0e +00 +21 +00 +0c +00 +1f +00 +0f +00 +26 +00 +0e +00 +28 +00 +10 +00 +1f +00 +14 +00 +33 +00 +10 +00 +22 +00 +14 +00 +27 +00 +0e +00 +40 +00 +13 +00 +33 +00 +14 +00 +35 +00 +4b +00 +50 +20 +ed +00 +32 +20 +9a +00 +4b +10 +2a +00 +5c +00 +1c +00 +43 +00 +1b +00 +52 +00 +34 +00 +60 +00 +15 +00 +47 +00 +19 +00 +39 +00 +16 +00 +36 +00 +15 +00 +43 +00 +1f +00 +37 +00 +19 +00 +48 +00 +16 +00 +6c +00 +8c +00 +39 +10 +9d +00 +ce +00 +a9 +00 +eb +30 +f1 +10 +39 +30 +75 +00 +3f +10 +52 +10 +88 +30 +49 +00 +a6 +00 +2a +00 +8b +00 +3e +00 +a2 +00 +27 +00 +6f +00 +39 +00 +7e +00 +21 +00 +50 +00 +15 +00 +51 +00 +1c +00 +59 +00 +1e +00 +50 +00 +16 +00 +3a +00 +11 +00 +3b +00 +12 +00 +27 +00 +17 +00 +3e +00 +19 +00 +46 +00 +1e +00 +40 +00 +1d +00 +38 +00 +1c +00 +36 +00 +12 +00 +2a +00 +12 +00 +2c +00 +11 +00 +2d +00 +1d +00 +38 +00 +12 +00 +37 +00 +12 +00 +38 +00 +18 +00 +37 +00 +1b +00 +3e +00 +1f +00 +3f +00 +16 +00 +52 +00 +56 +00 +e4 +00 +38 +00 +82 +00 +35 +00 +89 +00 +29 +00 +88 +00 +18 +00 +52 +00 +18 +00 +3e +00 +16 +00 +38 +00 +18 +00 +47 +00 +1e +00 +3e +00 +19 +00 +49 +00 +17 +00 +2b +00 +11 +00 +29 +00 +14 +00 +36 +00 +17 +00 +34 +00 +16 +00 +3e +00 +19 +00 +41 +00 +2c +00 +71 +00 +36 +00 +14 +10 +0b +10 +0a +20 +bb +00 +d6 +00 +21 +00 +72 +00 +22 +00 +59 +00 +2c +00 +91 +00 +51 +00 +65 +10 +91 +00 +80 +10 +7e +00 +54 +10 +79 +00 +92 +20 +5e +10 +4c +40 +7d +00 +31 +10 +44 +00 +9e +00 +9a +00 +e3 +30 +82 +10 +2c +20 +5f +00 +dc +00 +36 +00 +81 +00 +29 +00 +65 +00 +25 +00 +56 +00 +25 +00 +55 +00 +20 +00 +52 +00 +16 +00 +4a +00 +21 +00 +58 +00 +00 +00 +00 +00 +1c +00 +20 +00 +24 +00 +2d +00 +47 +00 +3f +00 +6b +00 +52 +00 +84 +00 +60 +00 +88 +00 +6b +00 +88 +00 +68 +00 +87 +00 +67 +00 +9e +00 +67 +00 +95 +00 +6f +00 +97 +00 +6c +00 +96 +00 +66 +00 +9d +00 +69 +00 +a7 +00 +71 +00 +a9 +00 +68 +00 +af +00 +67 +00 +95 +00 +73 +00 +a6 +00 +7f +00 +a6 +00 +7c +00 +a3 +00 +7d +00 +a5 +00 +73 +00 +a1 +00 +67 +00 +98 +00 +54 +00 +72 +00 +50 +00 +63 +00 +43 +00 +68 +00 +48 +00 +81 +00 +5e +00 +72 +00 +57 +00 +7e +00 +52 +00 +8d +00 +a7 +00 +a0 +10 +b2 +00 +af +00 +57 +00 +98 +00 +50 +00 +94 +00 +63 +00 +a0 +00 +bf +00 +fb +00 +0a +20 +05 +40 +f6 +30 +ec +60 +ec +20 +93 +40 +32 +20 +c4 +10 +f1 +00 +01 +10 +ae +00 +ed +00 +82 +10 +40 +30 +a3 +30 +4d +50 +50 +30 +f8 +20 +fb +20 +0f +30 +a1 +30 +37 +40 +d4 +30 +7a +70 +cb +40 +f3 +60 +1f +40 +8f +50 +bb +40 +db +60 +8e +50 +2b +90 +86 +60 +83 +90 +8d +60 +f7 +90 +d8 +60 +51 +a0 +09 +70 +2b +a0 +cb +60 +52 +a0 +ea +60 +b4 +90 +91 +60 +d7 +90 +07 +60 +dd +80 +27 +50 +dd +50 +e5 +20 +52 +30 +d6 +10 +89 +10 +bc +00 +ed +00 +a6 +00 +dd +00 +9a +00 +ed +00 +90 +00 +fb +00 +9e +00 +fe +00 +95 +00 +e3 +00 +8d +00 +c2 +00 +91 +00 +21 +10 +da +10 +05 +50 +b5 +10 +83 +10 +98 +00 +b1 +00 +62 +00 +96 +00 +67 +00 +a6 +00 +62 +00 +a3 +00 +67 +00 +a1 +00 +8e +00 +fe +00 +3d +10 +2c +10 +99 +10 +60 +10 +89 +20 +09 +60 +26 +30 +39 +30 +1b +20 +83 +20 +88 +20 +a8 +50 +f5 +10 +8c +10 +cc +00 +ca +00 +68 +00 +9b +00 +68 +00 +95 +00 +62 +00 +90 +00 +54 +00 +7c +00 +4e +00 +74 +00 +4d +00 +74 +00 +43 +00 +6c +00 +36 +00 +61 +00 +34 +00 +58 +00 +35 +00 +66 +00 +2e +00 +45 +00 +27 +00 +56 +00 +31 +00 +56 +00 +31 +00 +58 +00 +30 +00 +4f +00 +2c +00 +4f +00 +26 +00 +4d +00 +54 +00 +63 +10 +89 +00 +9e +00 +3b +00 +57 +00 +2a +00 +52 +00 +28 +00 +53 +00 +2a +00 +4e +00 +31 +00 +48 +00 +29 +00 +44 +00 +2e +00 +47 +00 +29 +00 +4b +00 +27 +00 +44 +00 +29 +00 +54 +00 +2a +00 +59 +00 +2c +00 +4e +00 +28 +00 +47 +00 +4c +00 +7c +00 +49 +00 +66 +00 +3d +00 +49 +00 +3b +00 +78 +00 +4d +00 +ad +00 +4e +00 +68 +00 +4d +00 +6f +00 +31 +00 +69 +00 +7a +00 +9e +00 +4c +00 +4f +00 +2e +00 +47 +00 +21 +00 +3d +00 +20 +00 +3b +00 +20 +00 +45 +00 +1f +00 +40 +00 +21 +00 +4e +00 +1a +00 +3a +00 +1a +00 +38 +00 +18 +00 +39 +00 +1c +00 +3b +00 +27 +00 +36 +00 +20 +00 +40 +00 +22 +00 +35 +00 +23 +00 +43 +00 +1b +00 +45 +00 +23 +00 +44 +00 +23 +00 +44 +00 +23 +00 +42 +00 +22 +00 +39 +00 +27 +00 +3a +00 +23 +00 +32 +00 +1a +00 +28 +00 +1b +00 +29 +00 +17 +00 +25 +00 +19 +00 +22 +00 +17 +00 +29 +00 +13 +00 +2d +00 +13 +00 +1f +00 +16 +00 +24 +00 +17 +00 +20 +00 +15 +00 +20 +00 +17 +00 +28 +00 +1a +00 +2f +00 +19 +00 +2e +00 +1f +00 +2e +00 +15 +00 +2c +00 +18 +00 +33 +00 +19 +00 +38 +00 +21 +00 +36 +00 +3d +00 +6a +00 +65 +00 +de +00 +83 +00 +00 +10 +8d +00 +bb +00 +4c +00 +4b +00 +3c +00 +4d +00 +2b +00 +4f +00 +2c +00 +47 +00 +2e +00 +49 +00 +24 +00 +49 +00 +1b +00 +33 +00 +26 +00 +2e +00 +25 +00 +29 +00 +1e +00 +3d +00 +32 +00 +65 +00 +52 +00 +c9 +00 +97 +00 +08 +10 +1d +10 +16 +40 +38 +10 +53 +10 +f0 +10 +54 +40 +28 +20 +89 +10 +c9 +00 +8e +00 +5b +00 +67 +00 +39 +00 +69 +00 +40 +00 +57 +00 +31 +00 +5d +00 +3b +00 +4b +00 +35 +00 +42 +00 +2f +00 +40 +00 +36 +00 +42 +00 +4d +00 +49 +00 +1b +00 +36 +00 +20 +00 +36 +00 +43 +00 +92 +00 +32 +00 +3d +00 +28 +00 +42 +00 +1d +00 +38 +00 +21 +00 +3b +00 +1c +00 +31 +00 +1b +00 +31 +00 +1c +00 +34 +00 +1c +00 +3d +00 +1e +00 +34 +00 +15 +00 +31 +00 +14 +00 +3f +00 +1d +00 +3e +00 +21 +00 +43 +00 +50 +00 +d1 +10 +e0 +00 +e7 +00 +4c +00 +65 +00 +3a +00 +57 +00 +2f +00 +52 +00 +2a +00 +44 +00 +26 +00 +3e +00 +3d +00 +58 +00 +74 +00 +48 +00 +3e +00 +4f +00 +1f +00 +3a +00 +1b +00 +28 +00 +17 +00 +31 +00 +1a +00 +31 +00 +1f +00 +36 +00 +28 +00 +46 +00 +5f +00 +7f +00 +62 +00 +83 +00 +56 +00 +c3 +00 +6b +00 +3b +10 +73 +00 +85 +00 +47 +00 +64 +00 +59 +00 +6d +00 +80 +00 +bc +00 +8d +10 +1b +40 +18 +20 +25 +20 +66 +20 +c5 +20 +b8 +10 +f7 +10 +6b +10 +65 +20 +e2 +00 +af +00 +ac +00 +e7 +10 +95 +10 +36 +20 +dc +00 +0a +10 +89 +00 +92 +00 +56 +00 +5f +00 +36 +00 +55 +00 +2d +00 +5d +00 +30 +00 +57 +00 +31 +00 +4a +00 +2e +00 +4e +00 +23 +00 +00 +00 +00 +00 +0a +00 +1d +00 +0e +00 +28 +00 +18 +00 +65 +00 +23 +00 +81 +00 +32 +00 +8a +00 +33 +00 +97 +00 +32 +00 +91 +00 +32 +00 +93 +00 +35 +00 +94 +00 +34 +00 +9a +00 +3f +00 +a1 +00 +37 +00 +a3 +00 +38 +00 +99 +00 +36 +00 +93 +00 +3b +00 +ae +00 +41 +00 +ab +00 +3c +00 +aa +00 +42 +00 +b0 +00 +46 +00 +a9 +00 +40 +00 +a6 +00 +35 +00 +a8 +00 +2e +00 +b4 +00 +39 +00 +70 +00 +27 +00 +62 +00 +1f +00 +64 +00 +24 +00 +5b +00 +2e +00 +6d +00 +2f +00 +74 +00 +2c +00 +83 +00 +4d +00 +20 +10 +71 +00 +11 +10 +3a +00 +90 +00 +29 +00 +92 +00 +28 +00 +98 +00 +38 +00 +c1 +00 +6a +00 +8e +20 +cd +10 +20 +60 +c4 +10 +0b +40 +91 +10 +60 +40 +ca +00 +8d +10 +6f +00 +fb +00 +70 +00 +cf +10 +10 +20 +d5 +60 +d5 +20 +93 +70 +89 +20 +86 +60 +dd +10 +16 +60 +42 +20 +a1 +40 +33 +20 +ac +60 +9e +10 +38 +50 +3e +20 +27 +70 +15 +30 +c4 +80 +9b +30 +e0 +90 +90 +30 +f3 +90 +8c +30 +46 +a0 +9b +30 +7b +a0 +a3 +30 +ed +90 +ac +30 +0a +a0 +91 +30 +6b +a0 +a1 +30 +b8 +90 +4a +30 +20 +90 +ed +20 +90 +60 +99 +10 +31 +30 +85 +00 +19 +10 +5a +00 +f6 +00 +50 +00 +ee +00 +58 +00 +e8 +00 +63 +00 +ff +00 +62 +00 +e9 +00 +4a +00 +bf +00 +45 +00 +bf +00 +65 +00 +1b +20 +ae +10 +8d +30 +6f +00 +c7 +00 +3e +00 +ae +00 +3c +00 +a9 +00 +39 +00 +aa +00 +48 +00 +a6 +00 +36 +00 +ae +00 +b8 +00 +ca +20 +3c +10 +32 +30 +37 +10 +e1 +30 +f1 +10 +e7 +40 +15 +10 +51 +20 +a2 +00 +51 +20 +62 +10 +45 +40 +1e +10 +09 +20 +4e +00 +c8 +00 +46 +00 +ad +00 +42 +00 +b3 +00 +35 +00 +85 +00 +37 +00 +75 +00 +3a +00 +7e +00 +27 +00 +6e +00 +1f +00 +57 +00 +22 +00 +4f +00 +25 +00 +60 +00 +21 +00 +59 +00 +1f +00 +5a +00 +1f +00 +4c +00 +29 +00 +59 +00 +25 +00 +59 +00 +22 +00 +4e +00 +1f +00 +4a +00 +1f +00 +6d +00 +7f +00 +39 +10 +36 +00 +69 +00 +25 +00 +50 +00 +1c +00 +52 +00 +22 +00 +4b +00 +1e +00 +56 +00 +19 +00 +43 +00 +19 +00 +48 +00 +1d +00 +4c +00 +1c +00 +48 +00 +1e +00 +4e +00 +1f +00 +52 +00 +1c +00 +59 +00 +22 +00 +47 +00 +22 +00 +86 +00 +78 +00 +13 +10 +2b +00 +58 +00 +24 +00 +59 +00 +24 +00 +6c +00 +23 +00 +66 +00 +26 +00 +50 +00 +19 +00 +5f +00 +2d +00 +a9 +00 +c2 +00 +01 +10 +22 +00 +4d +00 +16 +00 +3d +00 +19 +00 +3b +00 +19 +00 +3b +00 +1e +00 +4a +00 +1a +00 +45 +00 +18 +00 +41 +00 +15 +00 +33 +00 +19 +00 +33 +00 +16 +00 +4a +00 +17 +00 +33 +00 +12 +00 +30 +00 +13 +00 +2e +00 +13 +00 +38 +00 +1a +00 +40 +00 +1d +00 +46 +00 +17 +00 +3c +00 +1a +00 +4d +00 +12 +00 +3d +00 +1a +00 +37 +00 +18 +00 +36 +00 +10 +00 +33 +00 +11 +00 +27 +00 +0d +00 +2d +00 +13 +00 +2f +00 +0b +00 +26 +00 +0d +00 +29 +00 +14 +00 +21 +00 +10 +00 +22 +00 +0a +00 +1f +00 +0f +00 +26 +00 +12 +00 +2c +00 +0d +00 +29 +00 +0e +00 +2f +00 +15 +00 +28 +00 +13 +00 +2d +00 +0d +00 +37 +00 +15 +00 +33 +00 +11 +00 +31 +00 +17 +00 +2f +00 +1b +00 +71 +00 +31 +00 +a7 +00 +30 +00 +89 +00 +2c +00 +5d +00 +1d +00 +65 +00 +27 +00 +59 +00 +20 +00 +46 +00 +1c +00 +41 +00 +1a +00 +4f +00 +18 +00 +47 +00 +1b +00 +37 +00 +13 +00 +36 +00 +0f +00 +41 +00 +16 +00 +4a +00 +23 +00 +63 +00 +2c +00 +90 +00 +55 +00 +f9 +00 +67 +00 +6b +10 +9f +00 +fa +30 +2a +20 +f6 +30 +88 +00 +fe +00 +27 +00 +6f +00 +20 +00 +5c +00 +1d +00 +4b +00 +1f +00 +4b +00 +21 +00 +43 +00 +19 +00 +46 +00 +13 +00 +43 +00 +23 +00 +49 +00 +33 +00 +12 +10 +29 +00 +4e +00 +0f +00 +36 +00 +1d +00 +98 +00 +3b +00 +66 +00 +14 +00 +43 +00 +1c +00 +40 +00 +14 +00 +3d +00 +17 +00 +44 +00 +13 +00 +2b +00 +18 +00 +38 +00 +12 +00 +32 +00 +17 +00 +30 +00 +17 +00 +3c +00 +13 +00 +3e +00 +15 +00 +3f +00 +21 +00 +49 +00 +1b +00 +5a +00 +4c +00 +d8 +00 +46 +00 +8d +00 +1e +00 +55 +00 +1d +00 +49 +00 +1e +00 +46 +00 +1c +00 +3b +00 +17 +00 +55 +00 +9a +00 +73 +20 +3b +00 +5c +00 +1c +00 +4b +00 +13 +00 +2c +00 +12 +00 +2e +00 +14 +00 +31 +00 +0d +00 +2c +00 +1b +00 +37 +00 +1d +00 +ee +00 +71 +00 +aa +00 +25 +00 +98 +00 +32 +00 +97 +00 +42 +00 +a6 +00 +2c +00 +63 +00 +33 +00 +d0 +00 +77 +00 +f9 +00 +52 +00 +20 +20 +d5 +10 +cc +40 +7b +10 +4c +40 +c8 +00 +a1 +20 +99 +10 +d1 +20 +a2 +00 +22 +10 +2f +00 +9f +00 +94 +00 +61 +20 +90 +00 +3b +10 +42 +00 +9d +00 +23 +00 +60 +00 +24 +00 +56 +00 +1f +00 +55 +00 +18 +00 +46 +00 +1a +00 +4a +00 +19 +00 +53 +00 +19 +00 +52 +00 +00 +00 +00 +00 +21 +00 +1a +00 +29 +00 +38 +00 +4a +00 +41 +00 +7c +00 +54 +00 +88 +00 +73 +00 +89 +00 +63 +00 +90 +00 +6a +00 +91 +00 +64 +00 +ad +00 +62 +00 +99 +00 +6c +00 +9c +00 +64 +00 +a2 +00 +6b +00 +9e +00 +68 +00 +a3 +00 +71 +00 +99 +00 +73 +00 +ac +00 +70 +00 +9f +00 +6b +00 +a2 +00 +71 +00 +a8 +00 +72 +00 +96 +00 +6b +00 +a3 +00 +71 +00 +a5 +00 +6b +00 +96 +00 +56 +00 +76 +00 +44 +00 +63 +00 +3f +00 +65 +00 +45 +00 +6b +00 +38 +00 +5f +00 +3b +00 +79 +00 +50 +00 +af +00 +7c +00 +68 +10 +84 +00 +b6 +00 +57 +00 +8d +00 +4b +00 +83 +00 +5f +00 +a0 +00 +24 +10 +f8 +10 +58 +20 +a1 +40 +32 +20 +4f +30 +e7 +20 +2d +50 +e1 +30 +ac +50 +e4 +10 +5c +10 +24 +10 +b6 +10 +6b +20 +16 +60 +aa +40 +72 +80 +9b +50 +6d +80 +db +40 +1f +60 +39 +50 +04 +80 +29 +40 +ee +30 +de +20 +3b +40 +89 +40 +1a +70 +a1 +50 +cd +80 +76 +60 +da +90 +c2 +60 +63 +a0 +e2 +60 +30 +a0 +02 +70 +13 +a0 +cf +60 +1e +a0 +c6 +60 +03 +a0 +c0 +60 +3c +a0 +d8 +60 +0d +a0 +97 +60 +be +90 +ca +50 +b3 +80 +17 +50 +bf +50 +78 +20 +03 +20 +d0 +00 +fa +00 +a3 +00 +d9 +00 +8e +00 +df +00 +85 +00 +10 +10 +ad +00 +13 +10 +cb +00 +eb +00 +9b +00 +c8 +00 +90 +00 +d5 +00 +f0 +00 +db +20 +56 +10 +8c +10 +85 +00 +b6 +00 +95 +00 +b1 +00 +a6 +00 +c0 +00 +db +00 +0a +10 +82 +00 +ba +00 +fd +00 +73 +20 +2c +30 +ab +60 +8d +30 +13 +60 +5b +30 +d7 +40 +d7 +30 +2e +50 +2b +20 +a0 +10 +01 +10 +7a +10 +28 +10 +48 +20 +21 +10 +5f +10 +ef +00 +e4 +00 +ce +00 +bf +00 +8e +00 +b7 +00 +67 +00 +85 +00 +4d +00 +72 +00 +4c +00 +80 +00 +3f +00 +74 +00 +3f +00 +6d +00 +32 +00 +5e +00 +3b +00 +5c +00 +29 +00 +5a +00 +34 +00 +50 +00 +2f +00 +68 +00 +3d +00 +5d +00 +37 +00 +56 +00 +31 +00 +54 +00 +2f +00 +51 +00 +41 +00 +7f +00 +3b +00 +b0 +00 +3a +00 +51 +00 +27 +00 +52 +00 +29 +00 +51 +00 +2a +00 +4e +00 +2d +00 +4e +00 +2c +00 +49 +00 +2e +00 +49 +00 +23 +00 +43 +00 +21 +00 +40 +00 +28 +00 +47 +00 +2d +00 +51 +00 +2c +00 +54 +00 +72 +00 +74 +00 +5a +00 +10 +10 +5e +00 +9d +00 +56 +00 +5f +00 +4e +00 +6b +00 +42 +00 +61 +00 +4d +00 +5d +00 +36 +00 +52 +00 +4f +00 +0f +10 +a5 +00 +73 +10 +70 +00 +90 +00 +3f +00 +42 +00 +27 +00 +3d +00 +23 +00 +37 +00 +1d +00 +41 +00 +22 +00 +45 +00 +24 +00 +36 +00 +1e +00 +39 +00 +1f +00 +33 +00 +20 +00 +3b +00 +1d +00 +3b +00 +18 +00 +35 +00 +21 +00 +35 +00 +1f +00 +3b +00 +20 +00 +30 +00 +23 +00 +47 +00 +21 +00 +47 +00 +24 +00 +49 +00 +23 +00 +44 +00 +1f +00 +34 +00 +1d +00 +31 +00 +25 +00 +2e +00 +16 +00 +28 +00 +1d +00 +29 +00 +14 +00 +2c +00 +17 +00 +2c +00 +15 +00 +27 +00 +19 +00 +29 +00 +14 +00 +27 +00 +12 +00 +29 +00 +19 +00 +21 +00 +17 +00 +30 +00 +20 +00 +2b +00 +1b +00 +33 +00 +1b +00 +2f +00 +19 +00 +30 +00 +15 +00 +2a +00 +1f +00 +2c +00 +1e +00 +38 +00 +1c +00 +35 +00 +30 +00 +43 +00 +3d +00 +6e +00 +49 +00 +7c +00 +40 +00 +51 +00 +28 +00 +45 +00 +2a +00 +46 +00 +26 +00 +4f +00 +22 +00 +41 +00 +1f +00 +3d +00 +1e +00 +4b +00 +21 +00 +44 +00 +22 +00 +44 +00 +21 +00 +39 +00 +35 +00 +4d +00 +84 +00 +67 +00 +a1 +00 +89 +00 +b7 +00 +7f +20 +a5 +00 +e0 +00 +dd +00 +91 +10 +e0 +20 +6a +60 +69 +30 +bc +30 +3b +10 +9b +00 +72 +00 +57 +00 +3f +00 +51 +00 +4c +00 +50 +00 +42 +00 +4a +00 +48 +00 +b8 +00 +2d +00 +44 +00 +31 +00 +af +00 +50 +00 +68 +00 +33 +00 +78 +00 +2c +00 +42 +00 +30 +00 +5a +00 +5e +00 +84 +00 +31 +00 +48 +00 +1e +00 +36 +00 +22 +00 +3d +00 +23 +00 +32 +00 +1e +00 +37 +00 +1f +00 +3a +00 +1c +00 +38 +00 +18 +00 +39 +00 +23 +00 +48 +00 +24 +00 +3d +00 +1c +00 +4c +00 +27 +00 +42 +00 +2c +00 +5d +00 +4d +00 +b8 +00 +55 +00 +76 +00 +4d +00 +67 +00 +3f +00 +51 +00 +4d +00 +57 +00 +41 +00 +46 +00 +2f +00 +40 +00 +57 +00 +f8 +00 +44 +10 +8e +10 +50 +00 +63 +00 +2c +00 +41 +00 +20 +00 +33 +00 +19 +00 +2d +00 +1b +00 +2d +00 +26 +00 +38 +00 +24 +00 +40 +00 +35 +00 +84 +00 +42 +00 +6b +00 +3e +00 +75 +00 +51 +00 +90 +00 +44 +00 +71 +00 +4e +00 +86 +00 +20 +10 +98 +30 +c0 +10 +b4 +20 +74 +20 +3a +50 +ba +20 +33 +50 +cd +10 +9a +10 +39 +10 +80 +20 +fd +00 +1f +10 +c0 +00 +93 +00 +8a +00 +08 +10 +52 +10 +2b +20 +e6 +00 +ec +00 +87 +00 +6f +00 +3b +00 +56 +00 +29 +00 +4e +00 +2e +00 +44 +00 +26 +00 +42 +00 +2d +00 +54 +00 +2c +00 +43 +00 +37 +00 +00 +00 +00 +00 +0c +00 +25 +00 +10 +00 +44 +00 +22 +00 +6a +00 +2c +00 +7e +00 +34 +00 +9c +00 +32 +00 +99 +00 +32 +00 +94 +00 +35 +00 +9f +00 +3c +00 +9e +00 +41 +00 +9c +00 +2f +00 +9d +00 +34 +00 +93 +00 +37 +00 +a5 +00 +40 +00 +97 +00 +37 +00 +a6 +00 +3b +00 +ac +00 +47 +00 +a4 +00 +37 +00 +a4 +00 +40 +00 +9a +00 +3b +00 +94 +00 +3a +00 +b1 +00 +3e +00 +a0 +00 +34 +00 +84 +00 +27 +00 +6d +00 +28 +00 +6d +00 +25 +00 +60 +00 +29 +00 +6b +00 +24 +00 +64 +00 +26 +00 +7b +00 +31 +00 +b1 +00 +4f +00 +de +00 +31 +00 +95 +00 +3b +00 +80 +00 +3d +00 +92 +00 +3f +00 +b1 +10 +6f +10 +bd +30 +11 +10 +b3 +20 +be +00 +33 +30 +6b +20 +4f +70 +93 +20 +9c +40 +93 +00 +8f +10 +ab +00 +00 +30 +83 +20 +64 +80 +25 +30 +01 +90 +1e +30 +00 +70 +a4 +20 +15 +80 +35 +30 +e1 +70 +58 +10 +e1 +20 +cc +10 +d8 +60 +2f +30 +fc +80 +80 +30 +d1 +90 +7f +30 +43 +a0 +a6 +30 +75 +a0 +c4 +30 +14 +a0 +ae +30 +56 +a0 +85 +30 +36 +a0 +99 +30 +15 +a0 +c3 +30 +8e +a0 +b4 +30 +07 +a0 +a2 +30 +96 +90 +3f +30 +d5 +80 +22 +20 +37 +40 +a0 +00 +3a +10 +5b +00 +ed +00 +48 +00 +e4 +00 +58 +00 +01 +10 +5e +00 +13 +10 +98 +00 +a4 +10 +7b +00 +fe +00 +43 +00 +b3 +00 +49 +00 +1f +10 +82 +00 +89 +10 +61 +00 +ee +00 +57 +00 +2d +10 +74 +00 +01 +10 +63 +00 +68 +10 +80 +00 +e4 +00 +43 +00 +10 +10 +99 +10 +f7 +50 +5d +20 +98 +50 +82 +10 +bc +40 +08 +20 +c7 +60 +5c +20 +33 +40 +93 +00 +45 +10 +8d +00 +96 +10 +73 +00 +3e +10 +dc +00 +f2 +20 +a9 +00 +74 +10 +54 +00 +dd +00 +4b +00 +9e +00 +3c +00 +83 +00 +28 +00 +7c +00 +2c +00 +71 +00 +22 +00 +67 +00 +22 +00 +61 +00 +24 +00 +62 +00 +24 +00 +57 +00 +20 +00 +4d +00 +1b +00 +5c +00 +27 +00 +55 +00 +20 +00 +58 +00 +2b +00 +5b +00 +23 +00 +52 +00 +1c +00 +5a +00 +28 +00 +66 +00 +25 +00 +5f +00 +20 +00 +52 +00 +22 +00 +4f +00 +21 +00 +59 +00 +1d +00 +57 +00 +1a +00 +49 +00 +1b +00 +41 +00 +1d +00 +45 +00 +22 +00 +3f +00 +16 +00 +39 +00 +1f +00 +36 +00 +20 +00 +4d +00 +3d +00 +d6 +10 +65 +00 +95 +00 +2b +00 +79 +00 +3f +00 +e0 +00 +41 +00 +7f +00 +2d +00 +69 +00 +29 +00 +68 +00 +1f +00 +56 +00 +1e +00 +65 +00 +69 +00 +22 +10 +46 +00 +a4 +00 +1d +00 +54 +00 +12 +00 +3b +00 +14 +00 +3e +00 +15 +00 +38 +00 +10 +00 +3c +00 +18 +00 +3f +00 +14 +00 +40 +00 +17 +00 +38 +00 +15 +00 +35 +00 +10 +00 +44 +00 +14 +00 +32 +00 +16 +00 +3d +00 +14 +00 +3c +00 +10 +00 +38 +00 +14 +00 +49 +00 +1d +00 +4f +00 +19 +00 +46 +00 +1c +00 +44 +00 +15 +00 +3f +00 +12 +00 +26 +00 +0f +00 +31 +00 +12 +00 +32 +00 +12 +00 +30 +00 +10 +00 +23 +00 +0c +00 +22 +00 +12 +00 +23 +00 +0f +00 +24 +00 +0e +00 +28 +00 +14 +00 +28 +00 +12 +00 +23 +00 +0c +00 +2a +00 +16 +00 +2e +00 +17 +00 +38 +00 +15 +00 +31 +00 +10 +00 +32 +00 +0e +00 +2d +00 +0f +00 +37 +00 +15 +00 +34 +00 +11 +00 +3d +00 +15 +00 +39 +00 +1d +00 +4a +00 +18 +00 +53 +00 +14 +00 +4f +00 +1a +00 +48 +00 +16 +00 +43 +00 +19 +00 +46 +00 +1a +00 +3e +00 +19 +00 +38 +00 +18 +00 +3d +00 +15 +00 +31 +00 +19 +00 +41 +00 +20 +00 +45 +00 +1c +00 +49 +00 +42 +00 +9d +10 +b1 +00 +ef +10 +53 +00 +b9 +00 +51 +00 +ee +00 +31 +00 +b2 +00 +90 +00 +5c +30 +0a +20 +fa +50 +6c +10 +95 +20 +3a +00 +6f +00 +1a +00 +58 +00 +28 +00 +8c +00 +42 +00 +65 +00 +1e +00 +63 +00 +40 +00 +66 +00 +1b +00 +40 +00 +2d +00 +7a +00 +28 +00 +61 +00 +22 +00 +51 +00 +15 +00 +37 +00 +24 +00 +d2 +00 +2a +00 +5e +00 +20 +00 +34 +00 +18 +00 +41 +00 +18 +00 +40 +00 +12 +00 +42 +00 +17 +00 +34 +00 +12 +00 +37 +00 +1a +00 +3d +00 +1a +00 +3c +00 +18 +00 +32 +00 +19 +00 +43 +00 +1a +00 +55 +00 +1d +00 +52 +00 +2b +00 +b2 +00 +5f +00 +a2 +00 +24 +00 +68 +00 +1c +00 +58 +00 +43 +00 +6b +10 +40 +00 +6a +00 +18 +00 +4b +00 +1a +00 +5e +00 +6b +00 +6b +10 +54 +00 +a8 +00 +23 +00 +44 +00 +0f +00 +2f +00 +14 +00 +34 +00 +11 +00 +35 +00 +18 +00 +37 +00 +11 +00 +30 +00 +16 +00 +47 +00 +23 +00 +5e +00 +1f +00 +57 +00 +27 +00 +73 +00 +2f +00 +72 +00 +2a +00 +67 +00 +37 +00 +b6 +10 +3b +10 +c0 +30 +ef +10 +d2 +40 +26 +10 +21 +30 +51 +10 +e7 +20 +80 +00 +37 +10 +6b +00 +05 +10 +52 +00 +10 +10 +33 +00 +81 +00 +45 +00 +4b +20 +5a +10 +15 +20 +41 +00 +a4 +00 +28 +00 +5b +00 +1d +00 +4f +00 +18 +00 +56 +00 +1a +00 +4d +00 +18 +00 +58 +00 +36 +00 +52 +00 +1b +00 +49 +00 +00 +00 +00 +00 +1e +00 +21 +00 +3b +00 +3c +00 +5d +00 +4b +00 +7a +00 +5a +00 +95 +00 +5f +00 +a0 +00 +5f +00 +9c +00 +62 +00 +98 +00 +5e +00 +9a +00 +6e +00 +9b +00 +6f +00 +a2 +00 +6a +00 +90 +00 +65 +00 +a8 +00 +76 +00 +9e +00 +76 +00 +b0 +00 +78 +00 +ab +00 +6b +00 +a5 +00 +72 +00 +a0 +00 +74 +00 +8d +00 +78 +00 +9a +00 +6e +00 +a1 +00 +67 +00 +b4 +00 +56 +00 +96 +00 +5c +00 +e4 +00 +5c +00 +89 +00 +4c +00 +60 +00 +43 +00 +61 +00 +42 +00 +67 +00 +41 +00 +65 +00 +52 +00 +8c +00 +61 +00 +98 +00 +47 +00 +97 +00 +4d +00 +7a +00 +59 +00 +89 +00 +82 +00 +aa +00 +ff +00 +11 +20 +61 +10 +fe +10 +58 +10 +97 +10 +aa +20 +fb +50 +80 +40 +97 +70 +d0 +20 +50 +20 +0c +10 +36 +10 +90 +10 +a9 +30 +96 +40 +25 +90 +a6 +50 +b7 +80 +fa +40 +a8 +70 +52 +50 +f0 +80 +0b +40 +75 +40 +b6 +20 +ed +40 +5a +50 +07 +90 +7c +60 +f2 +90 +f3 +60 +f2 +90 +ea +60 +37 +a0 +23 +70 +5f +a0 +2a +70 +56 +a0 +f3 +60 +9f +90 +f9 +60 +ed +90 +1c +70 +48 +a0 +2c +70 +c1 +a0 +08 +70 +41 +a0 +78 +60 +6b +90 +98 +50 +aa +70 +25 +30 +5e +20 +24 +10 +18 +10 +a2 +00 +de +00 +a2 +00 +e9 +00 +a7 +00 +20 +10 +7c +10 +51 +30 +ac +20 +4e +30 +f4 +00 +df +00 +a5 +00 +d7 +00 +fd +00 +7a +10 +d3 +00 +0d +10 +9c +00 +17 +10 +fe +10 +89 +40 +17 +20 +ad +10 +a3 +00 +db +00 +9a +00 +f0 +00 +d0 +00 +b0 +10 +cc +10 +d1 +40 +0f +20 +d4 +20 +e4 +20 +16 +40 +13 +30 +fc +50 +3e +20 +f3 +20 +2b +10 +57 +10 +dc +00 +2a +10 +ed +00 +a5 +10 +ea +20 +85 +50 +35 +30 +8d +20 +21 +10 +ca +00 +8d +00 +9e +00 +59 +00 +91 +00 +89 +00 +a4 +00 +56 +00 +75 +00 +41 +00 +86 +00 +3e +00 +88 +00 +36 +00 +66 +00 +32 +00 +56 +00 +37 +00 +58 +00 +2c +00 +52 +00 +33 +00 +5a +00 +37 +00 +4f +00 +26 +00 +44 +00 +31 +00 +44 +00 +35 +00 +61 +00 +38 +00 +52 +00 +37 +00 +4d +00 +29 +00 +52 +00 +2d +00 +4f +00 +2a +00 +53 +00 +29 +00 +52 +00 +2f +00 +46 +00 +25 +00 +40 +00 +2d +00 +48 +00 +21 +00 +4e +00 +2d +00 +3e +00 +27 +00 +3d +00 +3e +00 +8f +00 +5f +00 +f7 +00 +69 +00 +6b +00 +56 +00 +02 +10 +30 +10 +b4 +20 +ab +00 +b2 +00 +37 +10 +e9 +00 +74 +00 +62 +00 +4a +00 +65 +00 +48 +00 +79 +00 +41 +00 +bd +00 +48 +00 +67 +00 +31 +00 +41 +00 +29 +00 +3c +00 +1e +00 +38 +00 +21 +00 +3a +00 +29 +00 +41 +00 +22 +00 +3c +00 +24 +00 +39 +00 +26 +00 +37 +00 +1d +00 +37 +00 +1c +00 +33 +00 +20 +00 +35 +00 +20 +00 +41 +00 +22 +00 +30 +00 +23 +00 +3c +00 +23 +00 +44 +00 +25 +00 +42 +00 +22 +00 +46 +00 +26 +00 +31 +00 +1a +00 +38 +00 +1d +00 +33 +00 +20 +00 +31 +00 +21 +00 +2a +00 +16 +00 +28 +00 +19 +00 +23 +00 +1a +00 +1d +00 +19 +00 +25 +00 +15 +00 +2b +00 +16 +00 +2a +00 +13 +00 +2c +00 +1a +00 +2f +00 +15 +00 +2d +00 +1c +00 +35 +00 +1a +00 +36 +00 +21 +00 +2c +00 +1a +00 +2c +00 +1e +00 +38 +00 +17 +00 +33 +00 +18 +00 +3b +00 +25 +00 +3a +00 +23 +00 +34 +00 +29 +00 +41 +00 +30 +00 +40 +00 +2d +00 +37 +00 +28 +00 +37 +00 +25 +00 +45 +00 +23 +00 +3f +00 +1d +00 +4e +00 +1c +00 +39 +00 +1e +00 +35 +00 +21 +00 +3d +00 +25 +00 +42 +00 +34 +00 +44 +00 +7f +00 +ef +00 +eb +10 +c7 +40 +34 +10 +4f +10 +7e +00 +86 +00 +6d +00 +8e +00 +c3 +00 +e9 +00 +10 +10 +eb +10 +36 +10 +3c +20 +0a +10 +06 +10 +74 +00 +63 +00 +5d +00 +e8 +00 +37 +10 +a7 +10 +6c +00 +53 +00 +41 +00 +4a +00 +36 +00 +4d +00 +28 +00 +3d +00 +26 +00 +54 +00 +2b +00 +4d +00 +2a +00 +35 +00 +2d +00 +58 +00 +3b +00 +75 +00 +2a +00 +46 +00 +28 +00 +43 +00 +20 +00 +38 +00 +1a +00 +42 +00 +20 +00 +36 +00 +20 +00 +33 +00 +19 +00 +3b +00 +22 +00 +4c +00 +1c +00 +35 +00 +23 +00 +41 +00 +1c +00 +3e +00 +26 +00 +53 +00 +31 +00 +93 +00 +09 +10 +ad +20 +87 +00 +8b +00 +45 +00 +6a +00 +65 +00 +e2 +00 +52 +10 +e0 +10 +67 +00 +57 +00 +3b +00 +3b +00 +45 +00 +85 +00 +67 +00 +a5 +00 +69 +00 +78 +00 +36 +00 +3b +00 +20 +00 +35 +00 +20 +00 +37 +00 +1e +00 +37 +00 +20 +00 +33 +00 +22 +00 +3b +00 +22 +00 +54 +00 +25 +00 +56 +00 +40 +00 +b8 +00 +4e +00 +64 +00 +38 +00 +5e +00 +55 +00 +c9 +00 +e7 +00 +2f +20 +39 +20 +67 +50 +32 +30 +c3 +20 +9f +10 +08 +20 +2a +20 +5b +30 +10 +10 +d4 +00 +86 +00 +b3 +00 +70 +00 +87 +00 +70 +00 +a5 +00 +e2 +00 +9e +30 +39 +10 +1f +10 +69 +00 +77 +00 +30 +00 +59 +00 +27 +00 +58 +00 +2b +00 +4f +00 +2f +00 +55 +00 +9e +00 +67 +10 +66 +00 +63 +00 +3c +00 +00 +00 +00 +00 +0e +00 +2b +00 +14 +00 +53 +00 +22 +00 +6f +00 +29 +00 +86 +00 +2c +00 +97 +00 +38 +00 +9a +00 +34 +00 +97 +00 +39 +00 +9b +00 +30 +00 +99 +00 +35 +00 +ab +00 +3b +00 +98 +00 +3d +00 +a5 +00 +42 +00 +a4 +00 +33 +00 +9c +00 +3d +00 +a1 +00 +38 +00 +a4 +00 +39 +00 +a4 +00 +37 +00 +aa +00 +40 +00 +a8 +00 +3b +00 +ae +00 +33 +00 +a6 +00 +35 +00 +9a +00 +2c +00 +87 +00 +33 +00 +b2 +00 +3b +00 +7a +00 +2b +00 +65 +00 +24 +00 +65 +00 +20 +00 +60 +00 +2e +00 +7d +00 +32 +00 +8a +00 +33 +00 +90 +00 +30 +00 +83 +00 +2b +00 +85 +00 +3d +00 +a4 +00 +4e +00 +42 +10 +71 +00 +84 +10 +6f +00 +48 +10 +86 +00 +b3 +20 +77 +20 +0e +80 +9a +20 +e8 +40 +a3 +00 +64 +10 +6b +00 +97 +10 +fb +00 +1d +50 +08 +30 +4d +90 +ba +20 +10 +70 +20 +30 +e0 +80 +bd +20 +ae +50 +14 +10 +c9 +20 +e0 +10 +fc +70 +b0 +30 +ea +90 +ac +30 +21 +a0 +c3 +30 +55 +a0 +c0 +30 +3c +a0 +d0 +30 +59 +a0 +b0 +30 +20 +a0 +c2 +30 +ec +90 +ae +30 +11 +a0 +c0 +30 +48 +a0 +da +30 +b3 +a0 +94 +30 +01 +a0 +73 +30 +51 +90 +b5 +20 +69 +50 +dd +00 +ad +10 +60 +00 +02 +10 +51 +00 +e1 +00 +5b +00 +0e +10 +92 +00 +7d +20 +f8 +10 +05 +60 +5d +10 +03 +20 +50 +00 +d7 +00 +57 +00 +83 +10 +d2 +00 +ef +10 +59 +00 +dd +00 +9a +00 +33 +30 +d8 +10 +22 +50 +e1 +00 +28 +10 +4b +00 +d8 +00 +54 +00 +e5 +00 +61 +00 +c2 +10 +c5 +00 +44 +20 +01 +10 +d6 +30 +1a +10 +f7 +20 +78 +10 +e4 +20 +cd +00 +fd +10 +71 +00 +2a +10 +6e +00 +04 +10 +c8 +00 +61 +40 +8b +20 +3b +70 +5c +10 +d3 +10 +4b +00 +b2 +00 +3c +00 +a2 +00 +3f +00 +00 +10 +60 +00 +b0 +00 +30 +00 +81 +00 +30 +00 +7b +00 +33 +00 +6e +00 +20 +00 +5d +00 +28 +00 +64 +00 +22 +00 +5e +00 +22 +00 +60 +00 +1c +00 +66 +00 +1e +00 +54 +00 +18 +00 +4f +00 +1f +00 +5e +00 +17 +00 +59 +00 +17 +00 +55 +00 +22 +00 +50 +00 +1e +00 +51 +00 +1d +00 +59 +00 +20 +00 +59 +00 +1f +00 +47 +00 +17 +00 +4c +00 +23 +00 +52 +00 +1a +00 +4d +00 +1e +00 +49 +00 +1f +00 +48 +00 +1b +00 +49 +00 +28 +00 +79 +00 +2e +00 +a2 +00 +20 +00 +64 +00 +32 +00 +f2 +00 +93 +00 +53 +10 +e9 +00 +12 +40 +22 +10 +2d +10 +28 +00 +5d +00 +22 +00 +63 +00 +24 +00 +6d +00 +29 +00 +6b +00 +24 +00 +50 +00 +1d +00 +48 +00 +14 +00 +33 +00 +14 +00 +3e +00 +15 +00 +39 +00 +15 +00 +35 +00 +16 +00 +3a +00 +14 +00 +37 +00 +16 +00 +40 +00 +15 +00 +3f +00 +16 +00 +32 +00 +17 +00 +42 +00 +1d +00 +4a +00 +17 +00 +47 +00 +16 +00 +35 +00 +1a +00 +42 +00 +15 +00 +36 +00 +19 +00 +3b +00 +14 +00 +32 +00 +12 +00 +32 +00 +12 +00 +28 +00 +12 +00 +29 +00 +16 +00 +2f +00 +0d +00 +2c +00 +0d +00 +25 +00 +0f +00 +22 +00 +10 +00 +27 +00 +0f +00 +29 +00 +15 +00 +35 +00 +13 +00 +23 +00 +0c +00 +2e +00 +17 +00 +3b +00 +14 +00 +36 +00 +16 +00 +33 +00 +11 +00 +37 +00 +11 +00 +42 +00 +13 +00 +35 +00 +18 +00 +31 +00 +17 +00 +39 +00 +16 +00 +34 +00 +17 +00 +40 +00 +1a +00 +73 +00 +1a +00 +38 +00 +20 +00 +36 +00 +16 +00 +42 +00 +18 +00 +4a +00 +17 +00 +3c +00 +18 +00 +40 +00 +12 +00 +3f +00 +15 +00 +3f +00 +13 +00 +43 +00 +22 +00 +54 +00 +4c +00 +e2 +00 +ed +00 +4c +30 +c9 +00 +9c +10 +52 +00 +a1 +00 +2a +00 +75 +00 +4c +00 +5b +10 +4f +00 +12 +10 +63 +00 +4b +10 +60 +00 +1d +10 +38 +00 +81 +00 +21 +00 +5f +00 +c8 +00 +78 +20 +57 +00 +a4 +00 +21 +00 +62 +00 +15 +00 +47 +00 +1b +00 +49 +00 +19 +00 +4a +00 +18 +00 +3b +00 +1b +00 +3f +00 +15 +00 +35 +00 +1d +00 +4d +00 +29 +00 +51 +00 +1d +00 +46 +00 +1a +00 +3b +00 +18 +00 +3a +00 +13 +00 +35 +00 +1a +00 +36 +00 +16 +00 +34 +00 +15 +00 +3d +00 +15 +00 +3c +00 +1f +00 +40 +00 +19 +00 +3f +00 +1e +00 +4d +00 +23 +00 +5a +00 +2f +00 +2f +10 +6f +00 +df +00 +30 +00 +6a +00 +27 +00 +b9 +00 +c2 +00 +ea +10 +74 +00 +ad +00 +1d +00 +51 +00 +39 +00 +7e +00 +34 +00 +95 +00 +53 +00 +b4 +00 +1d +00 +3e +00 +1b +00 +2a +00 +12 +00 +2f +00 +10 +00 +2a +00 +14 +00 +2b +00 +15 +00 +40 +00 +16 +00 +43 +00 +12 +00 +3c +00 +1a +00 +4b +00 +33 +00 +76 +00 +1a +00 +5c +00 +22 +00 +6a +00 +4c +00 +0b +10 +66 +00 +17 +20 +5a +20 +11 +60 +dd +00 +c6 +10 +90 +00 +d8 +20 +d8 +00 +51 +10 +42 +00 +92 +00 +3a +00 +a4 +00 +2d +00 +68 +00 +30 +00 +ca +00 +5f +00 +1d +10 +52 +00 +a0 +00 +28 +00 +6d +00 +2a +00 +53 +00 +1d +00 +59 +00 +21 +00 +44 +00 +30 +00 +91 +10 +e2 +00 +15 +10 +29 +00 +53 +00 +00 +00 +00 +00 +21 +00 +2c +00 +3c +00 +46 +00 +6d +00 +56 +00 +8f +00 +5e +00 +89 +00 +66 +00 +9d +00 +71 +00 +a0 +00 +69 +00 +91 +00 +6a +00 +99 +00 +62 +00 +85 +00 +6a +00 +a8 +00 +66 +00 +96 +00 +64 +00 +a3 +00 +69 +00 +a0 +00 +79 +00 +ac +00 +6b +00 +9e +00 +6f +00 +ab +00 +79 +00 +a2 +00 +80 +00 +a6 +00 +6b +00 +9f +00 +68 +00 +a7 +00 +67 +00 +92 +00 +5f +00 +7d +00 +4b +00 +74 +00 +48 +00 +6d +00 +47 +00 +6a +00 +49 +00 +62 +00 +3b +00 +6d +00 +46 +00 +67 +00 +3e +00 +7d +00 +58 +00 +94 +00 +52 +00 +7b +00 +4a +00 +73 +00 +4f +00 +89 +00 +31 +10 +54 +20 +98 +10 +a8 +10 +d8 +00 +18 +10 +f2 +00 +3d +10 +d5 +20 +77 +60 +b6 +40 +f6 +70 +f6 +20 +59 +20 +22 +10 +29 +10 +32 +10 +fc +10 +d5 +20 +3f +70 +c9 +40 +f9 +60 +9f +50 +4b +80 +03 +50 +da +60 +96 +30 +36 +30 +8c +30 +59 +60 +c1 +50 +b1 +90 +b2 +60 +53 +a0 +15 +70 +63 +a0 +16 +70 +ac +a0 +46 +70 +59 +a0 +1c +70 +50 +a0 +fe +60 +01 +a0 +a0 +60 +04 +a0 +c1 +60 +70 +a0 +bd +60 +2b +a0 +f4 +60 +7b +a0 +a5 +60 +ef +90 +30 +60 +78 +80 +f7 +30 +0f +40 +76 +10 +27 +10 +a3 +00 +f7 +00 +93 +00 +fb +00 +4a +10 +56 +20 +ad +20 +0c +40 +d2 +20 +ec +30 +8c +10 +32 +10 +b0 +00 +d7 +00 +a9 +00 +59 +10 +b6 +00 +06 +10 +a0 +00 +21 +10 +ce +00 +ea +10 +29 +10 +ed +10 +d9 +00 +e1 +00 +7c +00 +b0 +00 +bd +00 +0f +10 +f0 +00 +8e +10 +31 +10 +c3 +10 +65 +10 +81 +20 +01 +20 +b3 +20 +ac +10 +74 +10 +31 +10 +e3 +10 +07 +20 +94 +10 +8b +10 +01 +20 +8d +30 +43 +70 +e4 +40 +48 +60 +b5 +20 +86 +10 +f4 +00 +b0 +00 +78 +00 +8c +00 +60 +00 +d0 +00 +9e +00 +a7 +00 +4b +00 +89 +00 +4e +00 +80 +00 +45 +00 +6e +00 +3a +00 +66 +00 +44 +00 +62 +00 +36 +00 +59 +00 +32 +00 +57 +00 +32 +00 +53 +00 +2d +00 +50 +00 +2c +00 +4d +00 +43 +00 +64 +00 +36 +00 +5c +00 +35 +00 +4b +00 +32 +00 +5c +00 +2e +00 +63 +00 +34 +00 +4d +00 +30 +00 +50 +00 +2d +00 +51 +00 +2b +00 +54 +00 +4f +00 +55 +00 +39 +00 +4c +00 +33 +00 +42 +00 +27 +00 +55 +00 +32 +00 +65 +00 +33 +00 +63 +00 +42 +00 +58 +00 +4f +00 +5c +00 +46 +00 +95 +00 +97 +00 +68 +10 +77 +10 +b8 +30 +e1 +00 +a1 +00 +4a +00 +66 +00 +32 +00 +65 +00 +3f +00 +66 +00 +3c +00 +4e +00 +2d +00 +3e +00 +1f +00 +47 +00 +24 +00 +35 +00 +22 +00 +36 +00 +27 +00 +3d +00 +27 +00 +3f +00 +25 +00 +32 +00 +1c +00 +46 +00 +25 +00 +49 +00 +24 +00 +3c +00 +20 +00 +3b +00 +26 +00 +40 +00 +2b +00 +67 +00 +30 +00 +45 +00 +1e +00 +49 +00 +18 +00 +3d +00 +1f +00 +37 +00 +1e +00 +33 +00 +1a +00 +34 +00 +1a +00 +29 +00 +1f +00 +2c +00 +1c +00 +2d +00 +1c +00 +29 +00 +19 +00 +27 +00 +12 +00 +22 +00 +18 +00 +2e +00 +18 +00 +27 +00 +24 +00 +26 +00 +1b +00 +26 +00 +1a +00 +2a +00 +18 +00 +30 +00 +1d +00 +2f +00 +18 +00 +34 +00 +1b +00 +3d +00 +22 +00 +2a +00 +25 +00 +39 +00 +26 +00 +40 +00 +23 +00 +36 +00 +20 +00 +3b +00 +20 +00 +34 +00 +28 +00 +43 +00 +27 +00 +4f +00 +26 +00 +2e +00 +20 +00 +39 +00 +1f +00 +37 +00 +23 +00 +40 +00 +1e +00 +47 +00 +27 +00 +37 +00 +20 +00 +3b +00 +2c +00 +52 +00 +93 +00 +97 +00 +06 +10 +ec +10 +43 +10 +0f +20 +c3 +00 +0b +10 +90 +00 +b9 +00 +62 +00 +68 +00 +78 +00 +bc +10 +a6 +10 +e7 +20 +66 +10 +2c +10 +d2 +00 +ee +00 +34 +10 +1b +10 +e3 +00 +85 +00 +76 +00 +02 +10 +7a +00 +d6 +00 +82 +00 +08 +10 +60 +00 +4e +00 +2e +00 +3c +00 +2d +00 +3e +00 +2d +00 +41 +00 +2b +00 +3c +00 +25 +00 +3f +00 +2f +00 +53 +00 +a3 +00 +af +00 +41 +00 +4e +00 +28 +00 +44 +00 +1d +00 +43 +00 +21 +00 +3c +00 +20 +00 +30 +00 +22 +00 +37 +00 +27 +00 +3d +00 +22 +00 +39 +00 +28 +00 +46 +00 +25 +00 +3e +00 +22 +00 +50 +00 +46 +00 +72 +00 +79 +00 +93 +00 +7a +00 +99 +00 +60 +00 +a3 +00 +51 +00 +68 +00 +57 +00 +0a +10 +79 +00 +c0 +00 +5f +00 +5f +00 +5b +00 +07 +10 +e1 +00 +b2 +10 +87 +10 +f3 +10 +89 +00 +6c +00 +2d +00 +3e +00 +1e +00 +2a +00 +1d +00 +30 +00 +1d +00 +38 +00 +24 +00 +3c +00 +28 +00 +3a +00 +25 +00 +38 +00 +20 +00 +3b +00 +40 +00 +51 +00 +32 +00 +4d +00 +32 +00 +53 +00 +42 +00 +85 +00 +89 +00 +cc +00 +09 +10 +ce +30 +35 +30 +46 +50 +4e +20 +8d +10 +fc +00 +11 +10 +9a +00 +ad +00 +55 +00 +73 +00 +4c +00 +72 +00 +5e +00 +ff +00 +7d +00 +b4 +00 +63 +00 +9e +00 +5a +00 +79 +00 +79 +00 +6f +00 +46 +00 +60 +00 +4b +00 +4f +00 +58 +00 +8e +00 +6a +10 +ec +20 +ae +00 +8b +00 +41 +00 +00 +00 +00 +00 +10 +00 +35 +00 +1c +00 +57 +00 +2c +00 +7a +00 +2d +00 +8b +00 +34 +00 +98 +00 +30 +00 +8f +00 +33 +00 +9c +00 +2e +00 +a2 +00 +38 +00 +8d +00 +34 +00 +96 +00 +2f +00 +98 +00 +37 +00 +a9 +00 +40 +00 +97 +00 +3e +00 +9f +00 +3e +00 +9e +00 +3a +00 +a0 +00 +3f +00 +9c +00 +3c +00 +a8 +00 +38 +00 +a6 +00 +39 +00 +97 +00 +39 +00 +a6 +00 +36 +00 +8b +00 +2a +00 +79 +00 +2b +00 +6b +00 +23 +00 +68 +00 +24 +00 +5b +00 +28 +00 +69 +00 +21 +00 +6b +00 +2a +00 +6e +00 +2b +00 +76 +00 +2e +00 +84 +00 +27 +00 +81 +00 +29 +00 +8b +00 +42 +00 +7b +10 +b2 +10 +fa +30 +92 +00 +1d +10 +4c +00 +e3 +00 +82 +00 +75 +30 +8c +20 +e5 +70 +c3 +20 +fb +40 +ad +00 +5b +10 +5f +00 +3a +10 +89 +00 +e9 +20 +58 +20 +b2 +60 +7c +20 +e1 +70 +04 +30 +6f +70 +45 +20 +d4 +50 +46 +10 +1a +50 +f5 +20 +1a +90 +82 +30 +21 +a0 +96 +30 +28 +a0 +c6 +30 +8c +a0 +ce +30 +39 +a0 +a1 +30 +4b +a0 +b4 +30 +04 +a0 +77 +30 +eb +90 +9c +30 +fa +90 +a2 +30 +5b +a0 +c3 +30 +62 +a0 +9b +30 +0a +a0 +87 +30 +a0 +90 +c5 +20 +9d +60 +87 +10 +62 +20 +64 +00 +01 +10 +5a +00 +eb +00 +67 +00 +d8 +10 +c7 +10 +70 +50 +74 +20 +5e +60 +69 +10 +a3 +20 +86 +00 +08 +10 +5b +00 +d9 +00 +51 +00 +fd +00 +4f +00 +e2 +00 +43 +00 +12 +10 +7f +00 +5b +10 +6e +00 +23 +10 +4e +00 +bd +00 +48 +00 +d2 +00 +56 +00 +21 +10 +6a +00 +2b +10 +65 +00 +5d +10 +a2 +00 +5b +20 +34 +10 +5a +20 +7a +00 +37 +10 +05 +10 +e0 +40 +3a +10 +fc +10 +4f +10 +07 +60 +ff +20 +53 +80 +af +20 +06 +60 +cf +00 +5f +10 +59 +00 +b3 +00 +36 +00 +8d +00 +49 +00 +d9 +00 +3e +00 +86 +00 +39 +00 +89 +00 +2f +00 +8e +00 +25 +00 +70 +00 +21 +00 +68 +00 +24 +00 +6a +00 +24 +00 +61 +00 +24 +00 +55 +00 +20 +00 +4b +00 +18 +00 +47 +00 +2c +00 +55 +00 +26 +00 +51 +00 +23 +00 +4b +00 +1e +00 +53 +00 +1d +00 +5b +00 +1a +00 +55 +00 +22 +00 +4b +00 +22 +00 +54 +00 +1c +00 +5d +00 +28 +00 +89 +00 +56 +00 +6a +00 +1c +00 +49 +00 +1a +00 +47 +00 +10 +00 +50 +00 +1d +00 +55 +00 +20 +00 +58 +00 +1d +00 +51 +00 +22 +00 +6b +00 +32 +00 +93 +00 +4a +00 +10 +10 +88 +00 +54 +10 +2f +00 +58 +00 +1f +00 +5d +00 +24 +00 +57 +00 +26 +00 +4c +00 +21 +00 +3e +00 +1a +00 +3c +00 +10 +00 +3b +00 +15 +00 +3a +00 +12 +00 +38 +00 +18 +00 +42 +00 +1a +00 +3a +00 +17 +00 +38 +00 +17 +00 +3f +00 +16 +00 +43 +00 +15 +00 +3a +00 +12 +00 +39 +00 +19 +00 +40 +00 +23 +00 +65 +00 +1b +00 +35 +00 +15 +00 +3e +00 +15 +00 +32 +00 +12 +00 +32 +00 +15 +00 +30 +00 +14 +00 +24 +00 +13 +00 +2a +00 +16 +00 +25 +00 +0f +00 +23 +00 +10 +00 +23 +00 +12 +00 +22 +00 +12 +00 +2c +00 +0f +00 +32 +00 +0f +00 +2b +00 +13 +00 +29 +00 +0b +00 +29 +00 +11 +00 +2c +00 +15 +00 +38 +00 +12 +00 +24 +00 +16 +00 +34 +00 +1b +00 +3c +00 +18 +00 +40 +00 +1f +00 +3f +00 +14 +00 +45 +00 +17 +00 +3d +00 +19 +00 +37 +00 +17 +00 +43 +00 +15 +00 +40 +00 +16 +00 +3f +00 +16 +00 +3c +00 +13 +00 +3a +00 +13 +00 +33 +00 +1d +00 +43 +00 +14 +00 +35 +00 +16 +00 +3b +00 +19 +00 +33 +00 +3d +00 +ed +10 +b1 +00 +de +20 +26 +10 +df +10 +50 +00 +ef +00 +47 +00 +ac +00 +2f +00 +7e +00 +22 +00 +6a +00 +81 +00 +b6 +10 +13 +10 +e4 +20 +5f +00 +e4 +00 +85 +00 +f9 +20 +ae +10 +f6 +20 +3f +00 +94 +00 +49 +00 +a2 +00 +2c +00 +9e +00 +44 +00 +a8 +00 +1c +00 +46 +00 +1e +00 +4a +00 +15 +00 +47 +00 +18 +00 +45 +00 +16 +00 +2c +00 +19 +00 +52 +00 +54 +00 +8a +10 +52 +00 +68 +00 +22 +00 +4a +00 +1e +00 +47 +00 +1c +00 +3a +00 +1b +00 +4c +00 +15 +00 +37 +00 +1f +00 +42 +00 +1b +00 +44 +00 +15 +00 +3c +00 +15 +00 +3d +00 +1c +00 +47 +00 +24 +00 +7f +00 +a9 +00 +1d +10 +bb +00 +11 +10 +32 +00 +9f +00 +40 +00 +7d +00 +28 +00 +74 +00 +2c +00 +d9 +00 +3d +00 +96 +00 +1f +00 +67 +00 +53 +00 +1c +10 +63 +10 +3b +30 +70 +00 +a7 +00 +24 +00 +48 +00 +15 +00 +3a +00 +17 +00 +2d +00 +12 +00 +3d +00 +12 +00 +33 +00 +17 +00 +33 +00 +15 +00 +4b +00 +1d +00 +4a +00 +17 +00 +92 +00 +46 +00 +55 +00 +1e +00 +46 +00 +16 +00 +41 +00 +36 +00 +c6 +00 +33 +00 +05 +10 +bb +00 +6b +40 +6f +20 +76 +40 +7f +00 +05 +10 +3b +00 +b5 +00 +2f +00 +5e +00 +25 +00 +86 +00 +5e +00 +98 +00 +46 +00 +a8 +00 +32 +00 +88 +00 +29 +00 +64 +00 +5c +00 +ed +10 +9a +00 +9d +00 +4d +00 +ca +00 +3b +00 +70 +00 +45 +00 +50 +20 +a6 +00 +fb +00 +2b +00 +5b +00 +00 +00 +00 +00 +2b +00 +30 +00 +48 +00 +48 +00 +6e +00 +5c +00 +9e +00 +61 +00 +98 +00 +69 +00 +91 +00 +5f +00 +a1 +00 +72 +00 +9e +00 +6f +00 +8e +00 +6e +00 +a2 +00 +67 +00 +a2 +00 +70 +00 +a2 +00 +6d +00 +ac +00 +6e +00 +a7 +00 +7d +00 +9e +00 +74 +00 +a3 +00 +75 +00 +ba +00 +77 +00 +ae +00 +65 +00 +ba +00 +73 +00 +ae +00 +65 +00 +9c +00 +6d +00 +94 +00 +61 +00 +81 +00 +55 +00 +66 +00 +43 +00 +6d +00 +45 +00 +5f +00 +46 +00 +6d +00 +44 +00 +78 +00 +49 +00 +69 +00 +37 +00 +74 +00 +40 +00 +6e +00 +49 +00 +7f +00 +48 +00 +8c +00 +5a +00 +b1 +00 +bf +00 +ca +10 +94 +10 +18 +20 +bb +00 +c2 +00 +bd +00 +12 +10 +22 +20 +d1 +50 +fa +40 +25 +80 +96 +30 +ad +20 +38 +10 +2d +10 +17 +10 +82 +10 +2e +20 +ae +40 +40 +40 +c7 +70 +54 +50 +63 +70 +b5 +40 +66 +70 +66 +30 +f1 +30 +9b +40 +57 +80 +66 +60 +05 +a0 +0b +70 +68 +a0 +15 +70 +75 +a0 +22 +70 +8c +a0 +34 +70 +56 +a0 +02 +70 +51 +a0 +e0 +50 +7b +90 +e3 +40 +2f +80 +0b +50 +8a +90 +28 +60 +01 +a0 +a9 +60 +23 +a0 +af +60 +cc +90 +dc +50 +19 +80 +20 +40 +6b +50 +bc +10 +55 +10 +b3 +00 +f0 +00 +ab +00 +0a +10 +57 +10 +db +30 +33 +20 +ad +40 +57 +20 +0a +40 +a6 +10 +d9 +10 +de +00 +e6 +00 +91 +00 +e2 +00 +90 +00 +d4 +00 +87 +00 +d5 +00 +9c +00 +60 +10 +37 +10 +1d +20 +e8 +00 +ee +00 +b3 +00 +cf +00 +8e +00 +d7 +00 +eb +00 +f8 +00 +fa +00 +2a +10 +43 +10 +f2 +10 +44 +30 +82 +50 +8c +20 +d6 +10 +c0 +10 +a9 +20 +9e +30 +46 +60 +38 +40 +6c +50 +e9 +40 +48 +80 +92 +50 +7a +80 +f4 +40 +94 +50 +1e +20 +ff +10 +a8 +00 +a7 +00 +67 +00 +ae +00 +69 +00 +a6 +00 +5e +00 +97 +00 +5b +00 +84 +00 +58 +00 +8d +00 +73 +00 +8f +00 +51 +00 +76 +00 +3f +00 +63 +00 +36 +00 +58 +00 +33 +00 +65 +00 +32 +00 +4d +00 +30 +00 +58 +00 +30 +00 +5d +00 +33 +00 +5a +00 +34 +00 +4a +00 +30 +00 +58 +00 +28 +00 +45 +00 +2e +00 +50 +00 +29 +00 +51 +00 +2a +00 +4d +00 +32 +00 +5c +00 +a7 +00 +cb +10 +5a +00 +5e +00 +30 +00 +44 +00 +2e +00 +45 +00 +24 +00 +41 +00 +31 +00 +4f +00 +31 +00 +48 +00 +3b +00 +60 +00 +46 +00 +6f +00 +59 +00 +97 +00 +56 +00 +b7 +00 +77 +00 +87 +00 +31 +00 +53 +00 +2b +00 +50 +00 +35 +00 +5b +00 +2d +00 +48 +00 +2d +00 +49 +00 +1f +00 +45 +00 +20 +00 +2e +00 +24 +00 +43 +00 +26 +00 +43 +00 +24 +00 +39 +00 +26 +00 +46 +00 +1d +00 +3c +00 +20 +00 +46 +00 +22 +00 +34 +00 +1a +00 +2e +00 +22 +00 +35 +00 +1f +00 +56 +00 +2e +00 +52 +00 +26 +00 +3c +00 +21 +00 +33 +00 +1f +00 +32 +00 +1c +00 +30 +00 +18 +00 +32 +00 +20 +00 +27 +00 +1a +00 +2a +00 +1b +00 +1d +00 +17 +00 +21 +00 +17 +00 +26 +00 +1c +00 +36 +00 +1b +00 +30 +00 +1a +00 +2d +00 +15 +00 +2a +00 +1e +00 +2c +00 +18 +00 +2a +00 +17 +00 +2e +00 +1b +00 +35 +00 +13 +00 +3d +00 +18 +00 +36 +00 +22 +00 +40 +00 +31 +00 +f6 +00 +36 +00 +43 +00 +21 +00 +38 +00 +1e +00 +35 +00 +19 +00 +3a +00 +2c +00 +3b +00 +22 +00 +4b +00 +23 +00 +40 +00 +1a +00 +31 +00 +1b +00 +39 +00 +1e +00 +3b +00 +20 +00 +37 +00 +1c +00 +43 +00 +24 +00 +37 +00 +43 +00 +82 +00 +12 +10 +a7 +20 +08 +10 +85 +20 +27 +10 +06 +10 +83 +00 +93 +00 +66 +00 +75 +00 +64 +00 +6b +00 +68 +00 +a3 +00 +b2 +00 +a2 +10 +e0 +00 +16 +10 +01 +10 +2d +20 +2e +20 +ea +40 +3b +10 +35 +10 +e9 +00 +5e +10 +8e +10 +f6 +00 +97 +00 +8e +00 +4a +00 +7b +00 +41 +00 +66 +00 +68 +00 +5e +00 +30 +00 +4b +00 +2b +00 +3a +00 +27 +00 +3a +00 +3d +00 +d1 +00 +5c +00 +a1 +00 +4a +00 +5e +00 +2f +00 +3e +00 +23 +00 +3a +00 +21 +00 +39 +00 +21 +00 +44 +00 +29 +00 +81 +00 +3c +00 +42 +00 +22 +00 +48 +00 +24 +00 +4a +00 +20 +00 +4c +00 +2a +00 +51 +00 +bd +00 +30 +20 +fa +10 +87 +20 +b6 +00 +97 +00 +5b +00 +8f +00 +3c +00 +68 +00 +40 +00 +6f +00 +53 +00 +bd +00 +7a +00 +74 +00 +6c +00 +5c +00 +6c +00 +e5 +00 +85 +00 +e3 +00 +69 +00 +69 +00 +29 +00 +31 +00 +1f +00 +39 +00 +21 +00 +31 +00 +16 +00 +35 +00 +20 +00 +3d +00 +2a +00 +46 +00 +30 +00 +43 +00 +3c +00 +59 +00 +34 +00 +b8 +00 +3a +00 +4d +00 +32 +00 +3f +00 +36 +00 +4f +00 +65 +00 +71 +00 +bc +00 +02 +10 +15 +10 +70 +30 +7f +10 +d3 +10 +e4 +00 +97 +00 +72 +00 +7a +00 +47 +00 +5e +00 +35 +00 +9b +00 +4a +00 +71 +00 +4a +00 +70 +00 +41 +00 +5f +00 +45 +00 +b7 +00 +a6 +00 +a9 +10 +79 +00 +f6 +00 +fc +00 +6e +10 +6f +00 +99 +00 +70 +00 +d7 +00 +73 +00 +89 +00 +46 +00 +00 +00 +00 +00 +12 +00 +46 +00 +21 +00 +64 +00 +2f +00 +81 +00 +29 +00 +8a +00 +2c +00 +89 +00 +2b +00 +9c +00 +39 +00 +93 +00 +35 +00 +a2 +00 +31 +00 +a0 +00 +3b +00 +a5 +00 +3e +00 +99 +00 +3d +00 +98 +00 +39 +00 +a5 +00 +34 +00 +94 +00 +3e +00 +a4 +00 +32 +00 +ac +00 +3f +00 +ab +00 +3c +00 +ba +00 +35 +00 +a8 +00 +3b +00 +a9 +00 +41 +00 +9b +00 +3d +00 +a2 +00 +27 +00 +7a +00 +27 +00 +6d +00 +22 +00 +6d +00 +25 +00 +65 +00 +28 +00 +66 +00 +25 +00 +6d +00 +2d +00 +7d +00 +26 +00 +76 +00 +27 +00 +7c +00 +28 +00 +8a +00 +2f +00 +9a +00 +3d +00 +d9 +00 +96 +00 +0d +20 +7b +00 +f2 +00 +44 +00 +c6 +00 +6a +00 +c9 +10 +f2 +10 +af +70 +11 +30 +6c +70 +08 +10 +ae +10 +64 +00 +20 +10 +7b +00 +fe +10 +8c +10 +bd +60 +ee +20 +d3 +70 +5a +20 +8d +70 +66 +20 +6a +40 +d3 +10 +04 +70 +4a +30 +9a +90 +a6 +30 +4b +a0 +af +30 +76 +a0 +b4 +30 +8d +a0 +c7 +30 +6c +a0 +b9 +30 +5b +a0 +75 +30 +86 +80 +03 +20 +33 +50 +70 +10 +96 +40 +06 +20 +f8 +70 +75 +30 +e2 +90 +87 +30 +f6 +90 +4e +30 +8b +90 +85 +20 +07 +60 +71 +10 +b2 +20 +69 +00 +f7 +00 +5b +00 +dc +00 +5f +00 +6a +10 +b7 +00 +41 +20 +ce +00 +6a +20 +a1 +00 +c1 +10 +69 +00 +35 +10 +5c +00 +ca +00 +4f +00 +c7 +00 +44 +00 +b3 +00 +4e +00 +f2 +00 +5b +00 +b7 +10 +0f +10 +33 +20 +74 +00 +07 +10 +4e +00 +e1 +00 +5f +00 +23 +10 +87 +00 +4e +10 +68 +00 +40 +10 +20 +10 +aa +50 +a1 +20 +12 +50 +b9 +00 +ca +10 +a5 +10 +b2 +60 +a4 +20 +c7 +60 +cf +20 +39 +80 +16 +30 +ec +80 +2f +30 +4f +80 +78 +20 +58 +50 +9f +00 +1a +10 +39 +00 +ac +00 +3a +00 +af +00 +39 +00 +9a +00 +3c +00 +9d +00 +2e +00 +86 +00 +6c +00 +7f +10 +3d +00 +d2 +00 +3b +00 +6c +00 +20 +00 +5c +00 +1f +00 +63 +00 +20 +00 +50 +00 +1e +00 +54 +00 +22 +00 +59 +00 +1b +00 +51 +00 +1e +00 +54 +00 +22 +00 +52 +00 +24 +00 +4d +00 +23 +00 +57 +00 +21 +00 +55 +00 +1c +00 +59 +00 +1d +00 +46 +00 +23 +00 +a3 +00 +97 +00 +f1 +00 +22 +00 +53 +00 +14 +00 +42 +00 +1b +00 +41 +00 +1f +00 +41 +00 +1b +00 +42 +00 +1f +00 +46 +00 +22 +00 +56 +00 +1f +00 +5d +00 +21 +00 +90 +00 +33 +00 +83 +00 +15 +00 +48 +00 +1b +00 +44 +00 +12 +00 +4e +00 +1e +00 +44 +00 +1d +00 +47 +00 +16 +00 +40 +00 +18 +00 +3e +00 +14 +00 +3a +00 +1b +00 +44 +00 +1d +00 +43 +00 +17 +00 +40 +00 +18 +00 +46 +00 +1b +00 +40 +00 +18 +00 +41 +00 +1a +00 +3c +00 +13 +00 +3e +00 +12 +00 +3d +00 +2b +00 +52 +00 +18 +00 +3e +00 +19 +00 +39 +00 +13 +00 +30 +00 +0d +00 +26 +00 +0d +00 +26 +00 +10 +00 +30 +00 +0d +00 +27 +00 +11 +00 +2f +00 +10 +00 +1f +00 +13 +00 +24 +00 +13 +00 +2a +00 +10 +00 +33 +00 +0e +00 +30 +00 +0f +00 +36 +00 +10 +00 +2a +00 +12 +00 +32 +00 +15 +00 +33 +00 +11 +00 +34 +00 +17 +00 +29 +00 +16 +00 +30 +00 +17 +00 +38 +00 +1e +00 +42 +00 +28 +00 +6c +00 +16 +00 +3b +00 +18 +00 +38 +00 +16 +00 +34 +00 +0b +00 +3e +00 +16 +00 +37 +00 +19 +00 +40 +00 +16 +00 +37 +00 +16 +00 +2d +00 +19 +00 +33 +00 +16 +00 +2e +00 +12 +00 +3a +00 +1c +00 +4e +00 +16 +00 +42 +00 +54 +00 +11 +20 +82 +00 +35 +10 +0d +10 +06 +20 +46 +00 +81 +00 +25 +00 +55 +00 +1f +00 +78 +00 +4b +00 +7c +00 +38 +00 +c7 +00 +7a +00 +bb +10 +70 +00 +61 +10 +d6 +00 +2d +20 +b0 +00 +72 +10 +50 +00 +f7 +00 +bf +10 +19 +50 +98 +00 +e4 +00 +27 +00 +6b +00 +2a +00 +75 +00 +2e +00 +7a +00 +29 +00 +5d +00 +21 +00 +50 +00 +14 +00 +34 +00 +1e +00 +60 +00 +4b +00 +97 +00 +28 +00 +5e +00 +15 +00 +3a +00 +14 +00 +40 +00 +11 +00 +44 +00 +17 +00 +4b +00 +1b +00 +43 +00 +40 +00 +d1 +00 +1d +00 +4a +00 +1b +00 +42 +00 +16 +00 +45 +00 +16 +00 +53 +00 +32 +00 +58 +10 +c0 +10 +24 +50 +a3 +00 +16 +10 +41 +00 +85 +00 +2e +00 +69 +00 +22 +00 +66 +00 +22 +00 +77 +00 +34 +00 +3a +10 +72 +00 +a5 +00 +2c +00 +77 +00 +2b +00 +8e +00 +36 +00 +82 +00 +1b +00 +49 +00 +14 +00 +34 +00 +18 +00 +2d +00 +0f +00 +38 +00 +19 +00 +3b +00 +15 +00 +3f +00 +1c +00 +49 +00 +1d +00 +6a +00 +23 +00 +50 +00 +22 +00 +65 +00 +20 +00 +5a +00 +22 +00 +5e +00 +20 +00 +5f +00 +1f +00 +7f +00 +30 +00 +fa +00 +60 +00 +5b +10 +5f +00 +0d +10 +2d +00 +d0 +00 +2a +00 +5a +00 +25 +00 +6a +00 +2a +00 +73 +00 +23 +00 +5d +00 +21 +00 +5a +00 +1c +00 +62 +00 +37 +00 +c8 +00 +40 +00 +bc +00 +68 +00 +d3 +10 +5d +00 +bd +00 +2c +00 +92 +00 +29 +00 +82 +00 +27 +00 +56 +00 +00 +00 +00 +00 +39 +00 +39 +00 +5b +00 +4d +00 +7f +00 +5f +00 +86 +00 +6c +00 +9a +00 +71 +00 +89 +00 +68 +00 +a8 +00 +71 +00 +9c +00 +68 +00 +9c +00 +73 +00 +8d +00 +65 +00 +9a +00 +71 +00 +a0 +00 +70 +00 +af +00 +70 +00 +a1 +00 +76 +00 +8b +00 +7e +00 +b0 +00 +6b +00 +9d +00 +85 +00 +a2 +00 +75 +00 +ad +00 +7e +00 +b7 +00 +72 +00 +9e +00 +74 +00 +c1 +00 +e0 +00 +fc +00 +62 +00 +84 +00 +62 +00 +b2 +00 +92 +00 +92 +00 +48 +00 +5e +00 +46 +00 +65 +00 +43 +00 +66 +00 +41 +00 +76 +00 +46 +00 +80 +00 +4b +00 +7c +00 +72 +00 +98 +00 +6e +00 +9b +00 +bb +00 +30 +10 +bf +00 +27 +10 +97 +00 +b8 +00 +9e +00 +e5 +00 +a6 +10 +bd +30 +3d +40 +73 +80 +9d +40 +ad +50 +f7 +10 +57 +10 +2f +10 +4f +10 +ae +20 +5c +50 +9f +40 +83 +70 +e0 +40 +66 +70 +ac +40 +0f +60 +d8 +30 +dc +50 +99 +50 +38 +90 +7f +60 +30 +a0 +16 +70 +4a +a0 +4b +70 +c3 +a0 +56 +70 +6c +a0 +d7 +60 +c0 +a0 +01 +60 +6b +80 +73 +30 +11 +40 +82 +20 +02 +30 +5f +20 +02 +30 +f1 +20 +48 +70 +e9 +50 +e1 +90 +b5 +50 +f9 +80 +0d +40 +57 +50 +53 +20 +80 +20 +39 +10 +35 +10 +9e +00 +e3 +00 +a4 +00 +eb +00 +31 +10 +90 +10 +46 +20 +52 +20 +2d +20 +c2 +10 +97 +10 +ac +10 +1f +10 +34 +10 +9d +00 +d2 +00 +7c +00 +c1 +00 +7f +00 +c9 +00 +a2 +00 +f5 +00 +71 +10 +f5 +30 +9a +20 +8f +30 +fb +00 +07 +10 +00 +10 +d2 +10 +78 +20 +a9 +30 +d1 +10 +74 +10 +70 +20 +96 +30 +25 +40 +0c +80 +80 +40 +e0 +30 +08 +40 +c5 +50 +08 +50 +4c +80 +ca +50 +09 +80 +07 +60 +2b +90 +24 +60 +32 +90 +67 +40 +2c +60 +2b +20 +29 +20 +fa +00 +dc +00 +ca +00 +d0 +00 +b5 +00 +b9 +00 +6e +00 +9e +00 +61 +00 +96 +00 +5b +00 +c0 +00 +85 +00 +22 +10 +63 +00 +91 +00 +56 +00 +66 +00 +43 +00 +52 +00 +3a +00 +54 +00 +36 +00 +4b +00 +30 +00 +62 +00 +2f +00 +53 +00 +30 +00 +54 +00 +31 +00 +4f +00 +3a +00 +5a +00 +35 +00 +50 +00 +2d +00 +5e +00 +33 +00 +55 +00 +2b +00 +53 +00 +32 +00 +4d +00 +3e +00 +69 +00 +3f +00 +8b +00 +2c +00 +46 +00 +24 +00 +47 +00 +28 +00 +44 +00 +25 +00 +4f +00 +2d +00 +48 +00 +2a +00 +50 +00 +30 +00 +5b +00 +3c +00 +66 +00 +52 +00 +72 +00 +49 +00 +56 +00 +31 +00 +50 +00 +23 +00 +45 +00 +26 +00 +49 +00 +29 +00 +4a +00 +23 +00 +3c +00 +27 +00 +44 +00 +21 +00 +3f +00 +20 +00 +32 +00 +1e +00 +4c +00 +27 +00 +44 +00 +2c +00 +3f +00 +22 +00 +42 +00 +1e +00 +38 +00 +26 +00 +35 +00 +23 +00 +37 +00 +1b +00 +3f +00 +22 +00 +42 +00 +24 +00 +3a +00 +19 +00 +2e +00 +21 +00 +31 +00 +1e +00 +2d +00 +1d +00 +2d +00 +20 +00 +2c +00 +19 +00 +2e +00 +1b +00 +34 +00 +1b +00 +2e +00 +1a +00 +2b +00 +18 +00 +2c +00 +1e +00 +2d +00 +15 +00 +34 +00 +11 +00 +26 +00 +19 +00 +2e +00 +1c +00 +2f +00 +16 +00 +2c +00 +1c +00 +37 +00 +18 +00 +37 +00 +1d +00 +33 +00 +25 +00 +3d +00 +27 +00 +40 +00 +2b +00 +46 +00 +22 +00 +50 +00 +24 +00 +3d +00 +20 +00 +3b +00 +23 +00 +3a +00 +25 +00 +3d +00 +22 +00 +43 +00 +1f +00 +3e +00 +21 +00 +35 +00 +19 +00 +3b +00 +28 +00 +2e +00 +1b +00 +35 +00 +1e +00 +45 +00 +21 +00 +46 +00 +43 +00 +a0 +00 +a2 +00 +1b +10 +93 +00 +26 +10 +9f +00 +d3 +00 +69 +00 +56 +00 +3e +00 +67 +00 +02 +10 +09 +20 +78 +00 +9a +00 +b6 +00 +b6 +10 +3e +10 +d0 +20 +cf +10 +7e +30 +5c +10 +68 +10 +c5 +00 +e7 +00 +39 +10 +0d +40 +6b +20 +62 +20 +e1 +00 +99 +00 +5c +00 +75 +00 +6e +00 +70 +00 +4a +00 +6a +00 +1b +10 +dc +10 +6e +00 +62 +00 +3e +00 +4b +00 +9e +00 +e1 +10 +90 +00 +74 +00 +46 +00 +4a +00 +29 +00 +35 +00 +24 +00 +3a +00 +21 +00 +40 +00 +31 +00 +42 +00 +2b +00 +52 +00 +36 +00 +7b +00 +2b +00 +43 +00 +1f +00 +3e +00 +27 +00 +53 +00 +42 +00 +7b +00 +99 +00 +d3 +20 +df +10 +bb +20 +e5 +00 +d1 +00 +76 +00 +67 +00 +4d +00 +57 +00 +38 +00 +56 +00 +44 +00 +6d +00 +e5 +00 +ef +30 +85 +10 +26 +10 +74 +00 +73 +00 +4e +00 +77 +00 +49 +00 +47 +00 +2e +00 +32 +00 +1d +00 +37 +00 +20 +00 +34 +00 +1f +00 +37 +00 +2e +00 +43 +00 +28 +00 +48 +00 +30 +00 +5c +00 +31 +00 +52 +00 +29 +00 +4b +00 +2b +00 +4c +00 +33 +00 +5e +00 +c8 +00 +f0 +10 +9f +00 +7d +00 +77 +00 +77 +00 +8b +00 +d1 +00 +88 +00 +ac +00 +75 +00 +73 +00 +48 +00 +63 +00 +35 +00 +5b +00 +39 +00 +64 +00 +34 +00 +5f +00 +30 +00 +4f +00 +2f +00 +4a +00 +3a +00 +72 +00 +40 +00 +9d +00 +5e +00 +c5 +00 +79 +00 +d9 +00 +5b +00 +73 +00 +4f +00 +5a +00 +46 +00 +76 +00 +35 +00 +00 +00 +00 +00 +17 +00 +50 +00 +22 +00 +6b +00 +27 +00 +8f +00 +2a +00 +91 +00 +33 +00 +9d +00 +35 +00 +96 +00 +29 +00 +93 +00 +34 +00 +99 +00 +34 +00 +9a +00 +3a +00 +96 +00 +40 +00 +9f +00 +37 +00 +aa +00 +38 +00 +a7 +00 +34 +00 +aa +00 +37 +00 +ae +00 +3c +00 +a1 +00 +3a +00 +9c +00 +3d +00 +a5 +00 +34 +00 +ab +00 +39 +00 +a5 +00 +3d +00 +aa +00 +48 +00 +4b +10 +7e +00 +ea +00 +5c +00 +c5 +00 +5b +00 +45 +10 +48 +00 +7e +00 +2a +00 +81 +00 +2f +00 +71 +00 +2b +00 +70 +00 +31 +00 +75 +00 +35 +00 +86 +00 +39 +00 +e6 +00 +3e +00 +96 +00 +53 +00 +04 +10 +53 +00 +05 +10 +3f +00 +b8 +00 +3b +00 +b3 +00 +4d +00 +40 +10 +45 +10 +bf +50 +e8 +20 +02 +80 +00 +20 +4d +40 +e1 +00 +ab +10 +95 +00 +2d +30 +8a +20 +5b +70 +1b +20 +e0 +60 +ed +20 +f5 +70 +c7 +10 +f7 +40 +b8 +20 +c3 +80 +69 +30 +f7 +90 +a2 +30 +51 +a0 +d3 +30 +5c +a0 +ce +30 +8f +a0 +da +30 +b3 +a0 +98 +30 +b4 +80 +d5 +10 +0e +40 +d8 +00 +75 +20 +b8 +00 +2c +20 +cd +00 +ba +20 +70 +10 +b5 +60 +e8 +20 +df +70 +de +10 +65 +40 +f0 +00 +64 +20 +8c +00 +54 +10 +52 +00 +df +00 +50 +00 +c5 +00 +59 +00 +91 +10 +83 +10 +5a +50 +43 +20 +a6 +50 +03 +10 +e4 +20 +e5 +00 +b0 +10 +5f +00 +00 +10 +43 +00 +c1 +00 +47 +00 +c4 +00 +48 +00 +e2 +00 +7a +00 +ae +10 +f8 +00 +08 +40 +2f +10 +1b +20 +59 +00 +1d +10 +6a +10 +83 +50 +79 +20 +77 +40 +05 +10 +02 +40 +86 +20 +84 +70 +23 +30 +3d +80 +99 +20 +d7 +60 +ed +20 +c0 +80 +22 +30 +eb +80 +2f +30 +26 +90 +48 +30 +37 +90 +c6 +20 +19 +60 +2d +10 +7c +20 +83 +00 +34 +10 +90 +00 +d5 +10 +9d +00 +2a +10 +4d +00 +b8 +00 +37 +00 +91 +00 +38 +00 +95 +00 +3b +00 +9d +00 +43 +00 +aa +00 +2f +00 +5f +00 +23 +00 +5d +00 +22 +00 +65 +00 +23 +00 +50 +00 +22 +00 +53 +00 +1f +00 +5b +00 +23 +00 +58 +00 +25 +00 +5b +00 +20 +00 +5e +00 +1b +00 +53 +00 +1e +00 +5b +00 +1e +00 +51 +00 +1f +00 +4d +00 +21 +00 +52 +00 +1e +00 +4d +00 +21 +00 +60 +00 +1c +00 +44 +00 +1b +00 +41 +00 +1b +00 +46 +00 +1c +00 +37 +00 +17 +00 +4b +00 +1a +00 +47 +00 +18 +00 +48 +00 +1d +00 +59 +00 +27 +00 +5d +00 +18 +00 +47 +00 +16 +00 +49 +00 +18 +00 +4d +00 +15 +00 +50 +00 +1d +00 +43 +00 +16 +00 +3f +00 +16 +00 +4d +00 +15 +00 +3d +00 +17 +00 +3a +00 +10 +00 +38 +00 +15 +00 +3c +00 +19 +00 +36 +00 +19 +00 +3c +00 +19 +00 +45 +00 +15 +00 +34 +00 +14 +00 +3d +00 +17 +00 +33 +00 +19 +00 +3e +00 +14 +00 +2d +00 +11 +00 +31 +00 +14 +00 +34 +00 +10 +00 +2c +00 +11 +00 +29 +00 +12 +00 +31 +00 +17 +00 +2e +00 +0f +00 +2c +00 +11 +00 +24 +00 +18 +00 +2b +00 +0e +00 +31 +00 +17 +00 +32 +00 +12 +00 +2b +00 +14 +00 +2f +00 +0a +00 +2d +00 +12 +00 +2f +00 +12 +00 +36 +00 +13 +00 +34 +00 +17 +00 +31 +00 +17 +00 +3a +00 +16 +00 +42 +00 +29 +00 +50 +00 +18 +00 +3d +00 +1e +00 +46 +00 +12 +00 +39 +00 +12 +00 +31 +00 +11 +00 +39 +00 +15 +00 +43 +00 +17 +00 +40 +00 +12 +00 +36 +00 +19 +00 +34 +00 +16 +00 +3a +00 +1c +00 +40 +00 +12 +00 +33 +00 +14 +00 +31 +00 +17 +00 +40 +00 +1a +00 +4c +00 +20 +00 +86 +00 +3d +00 +bf +00 +33 +00 +7f +00 +26 +00 +6c +00 +1e +00 +47 +00 +3a +00 +52 +10 +5d +00 +b7 +00 +34 +00 +cf +00 +be +00 +2f +20 +b7 +00 +27 +20 +e3 +00 +6e +30 +e8 +00 +2e +10 +3f +00 +51 +10 +60 +10 +b4 +30 +a7 +00 +35 +10 +35 +00 +6d +00 +58 +00 +0f +10 +30 +00 +6a +00 +7c +00 +72 +30 +dc +00 +01 +10 +27 +00 +4a +00 +22 +00 +1e +10 +e7 +00 +01 +10 +28 +00 +64 +00 +1c +00 +3d +00 +16 +00 +32 +00 +15 +00 +3c +00 +20 +00 +50 +00 +1c +00 +46 +00 +21 +00 +5e +00 +22 +00 +4e +00 +19 +00 +45 +00 +1a +00 +5e +00 +23 +00 +59 +00 +28 +00 +ac +00 +6a +00 +75 +10 +92 +00 +5e +10 +84 +00 +e2 +00 +30 +00 +58 +00 +28 +00 +52 +00 +1e +00 +5e +00 +21 +00 +dc +00 +3d +10 +45 +30 +6f +00 +ab +00 +22 +00 +4f +00 +1d +00 +53 +00 +17 +00 +2d +00 +14 +00 +2c +00 +17 +00 +35 +00 +1b +00 +38 +00 +17 +00 +48 +00 +1b +00 +4d +00 +24 +00 +49 +00 +1e +00 +51 +00 +19 +00 +4a +00 +15 +00 +4f +00 +14 +00 +44 +00 +2a +00 +dc +00 +f3 +00 +c1 +10 +2a +00 +6c +00 +21 +00 +70 +00 +2f +00 +d7 +00 +30 +00 +78 +00 +23 +00 +4a +00 +1c +00 +4a +00 +18 +00 +5a +00 +22 +00 +63 +00 +19 +00 +56 +00 +27 +00 +62 +00 +1c +00 +55 +00 +25 +00 +6d +00 +2d +00 +92 +00 +46 +00 +a6 +00 +2d +00 +93 +00 +1d +00 +52 +00 +1a +00 +48 +00 +12 +00 +46 +00 +00 +00 +00 +00 +46 +00 +3f +00 +64 +00 +58 +00 +86 +00 +67 +00 +93 +00 +5c +00 +a3 +00 +74 +00 +8e +00 +6a +00 +9f +00 +7c +00 +a5 +00 +75 +00 +96 +00 +74 +00 +aa +00 +5f +00 +9e +00 +6e +00 +a9 +00 +7b +00 +9c +00 +6a +00 +9d +00 +70 +00 +a5 +00 +7c +00 +a0 +00 +67 +00 +9b +00 +77 +00 +b6 +00 +6c +00 +9f +00 +74 +00 +ab +00 +75 +00 +93 +00 +6b +00 +a9 +00 +6f +00 +bc +00 +7d +00 +fe +00 +88 +00 +a5 +00 +69 +00 +e1 +00 +81 +00 +b7 +00 +70 +00 +a0 +00 +50 +00 +78 +00 +44 +00 +73 +00 +60 +00 +8d +00 +6d +00 +bc +00 +7f +00 +bc +00 +d1 +00 +b6 +10 +09 +10 +1f +10 +a8 +00 +c3 +00 +8d +00 +a1 +00 +89 +00 +d6 +00 +2e +10 +d6 +10 +ff +10 +77 +40 +b2 +30 +bd +60 +7a +30 +d4 +50 +bd +20 +d6 +20 +57 +30 +49 +60 +6f +40 +e5 +60 +74 +50 +6a +80 +e3 +30 +93 +40 +d9 +40 +2a +80 +45 +60 +0f +a0 +e7 +60 +f8 +90 +27 +70 +6f +a0 +1a +70 +8a +a0 +4e +70 +56 +a0 +d6 +60 +b4 +90 +23 +40 +67 +40 +37 +20 +3f +20 +77 +10 +d1 +10 +57 +10 +e1 +10 +f2 +10 +ef +20 +36 +30 +95 +50 +ee +20 +cb +30 +0e +20 +3d +20 +31 +10 +5b +10 +b6 +00 +db +00 +9a +00 +d2 +00 +98 +00 +ed +00 +ab +10 +5f +50 +7d +40 +56 +80 +5e +40 +97 +50 +1e +30 +82 +30 +90 +10 +48 +10 +cf +00 +f5 +00 +a6 +00 +c9 +00 +a0 +00 +cd +00 +ae +00 +30 +10 +a5 +10 +9b +30 +a0 +10 +14 +20 +63 +10 +43 +10 +55 +10 +6a +20 +d7 +30 +c8 +70 +9c +40 +02 +60 +fe +40 +b2 +70 +bd +50 +17 +90 +1a +60 +d4 +80 +d5 +50 +ed +80 +03 +60 +53 +90 +69 +60 +31 +90 +8d +60 +75 +90 +19 +60 +2d +70 +44 +30 +e4 +20 +92 +10 +62 +10 +55 +10 +4a +20 +a4 +20 +e6 +30 +84 +10 +29 +10 +8c +00 +a8 +00 +5c +00 +89 +00 +54 +00 +87 +00 +55 +00 +98 +00 +55 +00 +72 +00 +45 +00 +73 +00 +3b +00 +54 +00 +31 +00 +5c +00 +33 +00 +59 +00 +3c +00 +66 +00 +30 +00 +64 +00 +32 +00 +5a +00 +4a +00 +6d +00 +34 +00 +64 +00 +34 +00 +55 +00 +2e +00 +59 +00 +2e +00 +60 +00 +33 +00 +4f +00 +35 +00 +4a +00 +39 +00 +61 +00 +34 +00 +51 +00 +23 +00 +43 +00 +21 +00 +4b +00 +28 +00 +40 +00 +26 +00 +47 +00 +24 +00 +4a +00 +25 +00 +44 +00 +20 +00 +43 +00 +26 +00 +50 +00 +31 +00 +4d +00 +2e +00 +3e +00 +1d +00 +3e +00 +1f +00 +35 +00 +28 +00 +4a +00 +25 +00 +3e +00 +25 +00 +3b +00 +22 +00 +47 +00 +23 +00 +43 +00 +27 +00 +3d +00 +1c +00 +34 +00 +1b +00 +36 +00 +23 +00 +3e +00 +1e +00 +36 +00 +28 +00 +40 +00 +1f +00 +38 +00 +1d +00 +38 +00 +17 +00 +31 +00 +1c +00 +33 +00 +1c +00 +32 +00 +1b +00 +33 +00 +1a +00 +2a +00 +17 +00 +28 +00 +1e +00 +30 +00 +1c +00 +37 +00 +19 +00 +2d +00 +22 +00 +2e +00 +1c +00 +35 +00 +1e +00 +2c +00 +22 +00 +35 +00 +1c +00 +34 +00 +1c +00 +28 +00 +1c +00 +29 +00 +1a +00 +2f +00 +1f +00 +30 +00 +25 +00 +39 +00 +21 +00 +3b +00 +22 +00 +42 +00 +26 +00 +3c +00 +54 +00 +66 +10 +45 +00 +49 +00 +2e +00 +47 +00 +22 +00 +42 +00 +1a +00 +41 +00 +18 +00 +34 +00 +22 +00 +3e +00 +24 +00 +3b +00 +1c +00 +37 +00 +1e +00 +3a +00 +1b +00 +41 +00 +1e +00 +41 +00 +1d +00 +43 +00 +21 +00 +3d +00 +21 +00 +35 +00 +2e +00 +3b +00 +42 +00 +5c +00 +4b +00 +7f +00 +54 +00 +71 +00 +4d +00 +5c +00 +32 +00 +43 +00 +34 +00 +75 +00 +6a +00 +a2 +00 +cd +00 +00 +20 +ef +00 +d3 +00 +a3 +00 +13 +10 +b7 +00 +45 +10 +10 +10 +c3 +10 +e0 +00 +1d +10 +cd +10 +72 +30 +1e +20 +19 +20 +c9 +00 +97 +00 +57 +00 +8f +00 +4f +00 +73 +00 +71 +00 +d3 +10 +f4 +00 +b0 +10 +89 +00 +8b +00 +61 +00 +aa +00 +fc +00 +eb +10 +81 +00 +a3 +00 +4f +00 +52 +00 +2d +00 +37 +00 +22 +00 +3a +00 +72 +00 +84 +00 +a7 +00 +6f +00 +46 +00 +5e +00 +2e +00 +4f +00 +2d +00 +4c +00 +26 +00 +5d +00 +35 +00 +5b +00 +3b +00 +6a +00 +70 +00 +a7 +00 +6f +00 +fd +00 +97 +10 +11 +40 +1b +10 +ad +00 +57 +00 +53 +00 +35 +00 +51 +00 +47 +00 +65 +00 +67 +00 +d5 +00 +c2 +00 +2c +10 +90 +00 +6e +00 +48 +00 +40 +00 +36 +00 +35 +00 +26 +00 +35 +00 +22 +00 +35 +00 +27 +00 +32 +00 +28 +00 +3b +00 +28 +00 +4b +00 +5a +00 +0e +10 +bc +00 +80 +00 +41 +00 +47 +00 +2f +00 +3c +00 +20 +00 +3d +00 +33 +00 +47 +00 +45 +00 +91 +00 +5a +00 +9b +00 +45 +00 +5b +00 +41 +00 +60 +00 +49 +00 +78 +00 +51 +00 +64 +00 +3c +00 +52 +00 +57 +00 +48 +00 +36 +00 +48 +00 +2e +00 +4a +00 +2c +00 +81 +00 +39 +00 +67 +00 +3a +00 +95 +00 +98 +00 +78 +00 +51 +00 +a4 +00 +4c +00 +7b +00 +3d +00 +5c +00 +3f +00 +3f +00 +36 +00 +48 +00 +34 +00 +00 +00 +00 +00 +18 +00 +54 +00 +29 +00 +6f +00 +30 +00 +84 +00 +39 +00 +8b +00 +2f +00 +93 +00 +38 +00 +99 +00 +36 +00 +91 +00 +37 +00 +92 +00 +3c +00 +8e +00 +2e +00 +a4 +00 +39 +00 +9c +00 +3a +00 +9c +00 +37 +00 +a6 +00 +40 +00 +9f +00 +37 +00 +a0 +00 +3b +00 +b2 +00 +3b +00 +a6 +00 +3d +00 +a3 +00 +3c +00 +a9 +00 +40 +00 +a0 +00 +34 +00 +97 +00 +2f +00 +97 +00 +36 +00 +95 +00 +3f +00 +a3 +00 +34 +00 +87 +00 +31 +00 +d9 +00 +69 +00 +4a +10 +44 +00 +8f +00 +34 +00 +77 +00 +2e +00 +77 +00 +7c +00 +78 +10 +56 +00 +c6 +00 +52 +00 +06 +10 +09 +10 +4e +20 +70 +00 +fb +00 +4d +00 +af +00 +43 +00 +ac +00 +48 +00 +fa +00 +6e +00 +cc +10 +ed +00 +05 +40 +fd +10 +3f +50 +d9 +10 +49 +40 +1e +20 +59 +60 +17 +20 +86 +60 +f8 +20 +b0 +80 +e2 +20 +bb +50 +97 +10 +c3 +60 +6a +30 +c4 +90 +9e +30 +43 +a0 +b7 +30 +81 +a0 +97 +30 +5e +a0 +af +30 +7c +a0 +bf +30 +54 +a0 +bd +20 +7e +50 +eb +00 +4c +20 +88 +00 +9a +10 +7e +00 +6f +10 +8b +00 +bb +10 +3f +10 +a2 +40 +47 +10 +2c +30 +c5 +00 +0a +20 +92 +00 +5a +10 +5c +00 +f6 +00 +4e +00 +e0 +00 +4b +00 +e5 +00 +61 +00 +8d +10 +fb +10 +6e +70 +36 +30 +39 +80 +2b +20 +5f +60 +a3 +10 +56 +30 +89 +00 +32 +10 +5c +00 +08 +10 +4e +00 +da +00 +57 +00 +f2 +00 +78 +00 +b1 +10 +ca +10 +f9 +30 +b9 +00 +94 +10 +7b +00 +47 +10 +1b +10 +de +50 +20 +30 +53 +80 +1d +30 +1d +80 +40 +30 +3c +90 +54 +30 +91 +90 +69 +30 +2d +90 +43 +30 +3d +90 +4d +30 +67 +90 +48 +30 +90 +90 +82 +30 +9c +90 +41 +20 +1e +40 +a4 +00 +c2 +10 +76 +00 +b5 +10 +74 +10 +b7 +40 +e8 +10 +22 +30 +5c +00 +d0 +00 +3c +00 +a2 +00 +41 +00 +8b +00 +32 +00 +87 +00 +31 +00 +86 +00 +2a +00 +7a +00 +24 +00 +71 +00 +25 +00 +5a +00 +23 +00 +54 +00 +20 +00 +61 +00 +32 +00 +5f +00 +24 +00 +5c +00 +2d +00 +a2 +00 +4b +00 +97 +00 +2c +00 +5a +00 +1c +00 +5c +00 +21 +00 +62 +00 +25 +00 +66 +00 +25 +00 +54 +00 +1d +00 +50 +00 +1c +00 +4a +00 +1a +00 +4a +00 +1a +00 +45 +00 +17 +00 +4a +00 +1a +00 +54 +00 +1e +00 +42 +00 +19 +00 +3b +00 +1d +00 +3c +00 +19 +00 +4b +00 +19 +00 +48 +00 +17 +00 +4e +00 +19 +00 +34 +00 +15 +00 +39 +00 +15 +00 +45 +00 +1b +00 +43 +00 +1b +00 +42 +00 +14 +00 +3f +00 +18 +00 +4f +00 +15 +00 +47 +00 +10 +00 +3a +00 +17 +00 +33 +00 +15 +00 +39 +00 +1c +00 +39 +00 +19 +00 +41 +00 +10 +00 +3a +00 +15 +00 +3a +00 +13 +00 +2f +00 +12 +00 +33 +00 +0f +00 +2d +00 +10 +00 +2b +00 +11 +00 +27 +00 +0f +00 +2e +00 +10 +00 +26 +00 +10 +00 +33 +00 +0f +00 +2e +00 +13 +00 +2c +00 +12 +00 +33 +00 +17 +00 +38 +00 +11 +00 +3d +00 +1c +00 +3e +00 +17 +00 +2c +00 +0d +00 +2c +00 +14 +00 +2c +00 +14 +00 +33 +00 +18 +00 +38 +00 +13 +00 +3e +00 +15 +00 +40 +00 +1c +00 +41 +00 +15 +00 +58 +00 +30 +00 +84 +00 +22 +00 +3f +00 +19 +00 +35 +00 +12 +00 +3a +00 +17 +00 +39 +00 +1c +00 +30 +00 +1b +00 +35 +00 +11 +00 +33 +00 +10 +00 +2f +00 +1a +00 +32 +00 +13 +00 +43 +00 +14 +00 +34 +00 +16 +00 +36 +00 +19 +00 +35 +00 +15 +00 +42 +00 +1e +00 +48 +00 +1c +00 +61 +00 +28 +00 +6c +00 +1e +00 +58 +00 +1e +00 +45 +00 +14 +00 +46 +00 +3e +00 +ca +00 +c8 +00 +5a +20 +a8 +00 +3a +10 +45 +00 +96 +00 +33 +00 +b6 +00 +4f +00 +76 +10 +64 +00 +fb +00 +7a +00 +6f +20 +1e +10 +3d +30 +85 +00 +0f +10 +2c +00 +67 +00 +29 +00 +74 +00 +1d +00 +6f +00 +42 +00 +ed +00 +58 +00 +c3 +00 +26 +00 +5d +00 +43 +00 +4a +10 +98 +00 +cf +00 +2a +00 +5d +00 +15 +00 +44 +00 +19 +00 +49 +00 +29 +00 +98 +00 +1a +10 +f8 +20 +3d +00 +6f +00 +1f +00 +44 +00 +22 +00 +49 +00 +1d +00 +4f +00 +25 +00 +4b +00 +21 +00 +4c +00 +23 +00 +6a +00 +23 +00 +91 +00 +42 +00 +8e +10 +fa +00 +b9 +10 +51 +00 +95 +00 +1a +00 +51 +00 +1c +00 +4d +00 +2a +00 +95 +00 +58 +00 +0d +20 +7f +00 +c0 +00 +21 +00 +4b +00 +19 +00 +40 +00 +13 +00 +35 +00 +1a +00 +36 +00 +13 +00 +3d +00 +1a +00 +3a +00 +1c +00 +42 +00 +1f +00 +88 +00 +01 +10 +db +10 +3d +00 +75 +00 +1d +00 +4b +00 +19 +00 +3c +00 +19 +00 +53 +00 +17 +00 +5b +00 +1d +00 +6b +00 +20 +00 +62 +00 +28 +00 +49 +00 +25 +00 +58 +00 +23 +00 +5c +00 +24 +00 +54 +00 +59 +00 +f9 +00 +45 +00 +5c +00 +1a +00 +3a +00 +12 +00 +3b +00 +1e +00 +5e +00 +22 +00 +5c +00 +40 +00 +08 +10 +71 +00 +91 +00 +23 +00 +5e +00 +22 +00 +68 +00 +1e +00 +87 +00 +20 +00 +52 +00 +1d +00 +60 +00 +00 +00 +00 +00 +4a +00 +40 +00 +68 +00 +53 +00 +82 +00 +5d +00 +87 +00 +61 +00 +8d +00 +65 +00 +97 +00 +5e +00 +92 +00 +6f +00 +a8 +00 +66 +00 +94 +00 +64 +00 +90 +00 +77 +00 +a3 +00 +6d +00 +a4 +00 +70 +00 +94 +00 +71 +00 +99 +00 +69 +00 +a0 +00 +68 +00 +af +00 +6c +00 +a3 +00 +74 +00 +a7 +00 +69 +00 +b3 +00 +6b +00 +aa +00 +72 +00 +9a +00 +64 +00 +a0 +00 +63 +00 +83 +00 +4c +00 +81 +00 +51 +00 +8a +00 +4c +00 +78 +00 +64 +00 +f8 +00 +c6 +00 +1c +10 +63 +00 +74 +00 +46 +00 +74 +00 +61 +00 +df +00 +17 +10 +80 +10 +14 +10 +bc +10 +db +00 +da +10 +ac +10 +32 +20 +5e +10 +19 +10 +77 +00 +a8 +00 +b5 +00 +dd +00 +0d +10 +3d +10 +67 +10 +e8 +10 +a0 +10 +ba +30 +74 +20 +1e +40 +32 +30 +6e +50 +dd +30 +01 +60 +89 +50 +9e +80 +34 +50 +7e +70 +70 +30 +4a +40 +ff +40 +89 +90 +90 +60 +06 +a0 +e9 +60 +4d +a0 +00 +70 +3c +a0 +15 +70 +4f +a0 +08 +70 +60 +a0 +69 +60 +87 +80 +9b +30 +03 +30 +60 +10 +7e +10 +e9 +00 +4a +10 +f5 +00 +3d +10 +90 +10 +33 +30 +e6 +10 +ad +20 +af +10 +d2 +10 +29 +10 +6a +10 +e7 +00 +01 +10 +ba +00 +d8 +00 +94 +00 +e4 +00 +99 +00 +e3 +00 +01 +10 +fe +10 +49 +20 +f2 +60 +1b +40 +e5 +50 +9a +40 +e6 +60 +d4 +20 +54 +20 +0b +20 +b1 +10 +5b +10 +23 +10 +3b +10 +2c +10 +3c +10 +53 +10 +5c +20 +32 +50 +84 +30 +0b +30 +08 +30 +b6 +20 +59 +20 +3d +30 +1c +40 +42 +80 +95 +50 +fd +80 +1c +60 +58 +90 +76 +60 +a7 +90 +63 +60 +98 +90 +ae +60 +85 +90 +7a +60 +73 +90 +a7 +60 +8b +90 +96 +60 +ae +90 +74 +60 +c1 +80 +17 +50 +06 +40 +9a +20 +86 +20 +d2 +10 +ed +30 +5f +20 +b8 +50 +ab +10 +84 +10 +af +00 +b2 +00 +5d +00 +9b +00 +5a +00 +8b +00 +5b +00 +86 +00 +47 +00 +7c +00 +47 +00 +69 +00 +3b +00 +60 +00 +39 +00 +55 +00 +3f +00 +52 +00 +32 +00 +6e +00 +33 +00 +65 +00 +38 +00 +83 +00 +53 +00 +ec +00 +7a +00 +91 +00 +3d +00 +54 +00 +31 +00 +66 +00 +3c +00 +69 +00 +60 +00 +84 +00 +3c +00 +50 +00 +34 +00 +47 +00 +2d +00 +49 +00 +28 +00 +40 +00 +2a +00 +5d +00 +3d +00 +bf +00 +38 +00 +56 +00 +28 +00 +3d +00 +29 +00 +3d +00 +23 +00 +43 +00 +26 +00 +45 +00 +2b +00 +4f +00 +22 +00 +42 +00 +23 +00 +31 +00 +1e +00 +39 +00 +23 +00 +3f +00 +27 +00 +44 +00 +25 +00 +41 +00 +1d +00 +50 +00 +25 +00 +43 +00 +25 +00 +31 +00 +23 +00 +3b +00 +1c +00 +31 +00 +20 +00 +34 +00 +24 +00 +39 +00 +25 +00 +3d +00 +1b +00 +33 +00 +15 +00 +31 +00 +1b +00 +38 +00 +18 +00 +25 +00 +1c +00 +24 +00 +19 +00 +27 +00 +1d +00 +26 +00 +1d +00 +27 +00 +1f +00 +33 +00 +1b +00 +2b +00 +1a +00 +39 +00 +19 +00 +34 +00 +18 +00 +3a +00 +20 +00 +38 +00 +1e +00 +38 +00 +21 +00 +37 +00 +1c +00 +2a +00 +1c +00 +31 +00 +18 +00 +2c +00 +15 +00 +37 +00 +1f +00 +2e +00 +1e +00 +3e +00 +30 +00 +41 +00 +1f +00 +35 +00 +24 +00 +4a +00 +2b +00 +61 +00 +2e +00 +46 +00 +21 +00 +46 +00 +21 +00 +3b +00 +29 +00 +3f +00 +3d +00 +46 +00 +2a +00 +3c +00 +20 +00 +32 +00 +22 +00 +2f +00 +1b +00 +4a +00 +1a +00 +3e +00 +20 +00 +3e +00 +20 +00 +40 +00 +47 +00 +41 +00 +35 +00 +4f +00 +42 +00 +46 +00 +3a +00 +56 +00 +67 +00 +68 +00 +48 +00 +50 +00 +3b +00 +4a +00 +36 +00 +5d +00 +5c +00 +f9 +00 +97 +00 +06 +10 +9b +00 +ca +00 +6e +00 +82 +00 +6e +00 +df +00 +d7 +00 +1a +10 +92 +00 +bd +00 +b1 +00 +7b +10 +bf +00 +46 +10 +b4 +00 +9e +00 +52 +00 +55 +00 +3f +00 +4d +00 +4b +00 +82 +00 +49 +00 +89 +00 +4a +00 +6b +00 +7c +00 +a3 +00 +35 +10 +b7 +10 +89 +00 +9e +00 +53 +00 +4c +00 +27 +00 +45 +00 +69 +00 +43 +10 +0f +20 +58 +40 +41 +10 +40 +10 +70 +00 +5e +00 +2c +00 +4e +00 +2c +00 +5c +00 +29 +00 +5c +00 +24 +00 +4b +00 +28 +00 +55 +00 +40 +00 +65 +00 +55 +00 +9d +00 +78 +00 +b6 +00 +75 +00 +cf +00 +5e +00 +69 +00 +3d +00 +4c +00 +47 +00 +d3 +00 +b8 +00 +d2 +00 +f2 +00 +24 +20 +92 +00 +7e +00 +69 +00 +c5 +00 +5e +00 +51 +00 +2b +00 +3b +00 +23 +00 +2f +00 +27 +00 +36 +00 +24 +00 +3b +00 +2d +00 +59 +00 +90 +00 +f0 +00 +7a +00 +95 +00 +4e +00 +5b +00 +2f +00 +51 +00 +25 +00 +59 +00 +2c +00 +5a +00 +39 +00 +5a +00 +2f +00 +53 +00 +2f +00 +50 +00 +29 +00 +4b +00 +2f +00 +53 +00 +2a +00 +48 +00 +4c +00 +4b +10 +80 +00 +22 +10 +5f +00 +4d +00 +2a +00 +3b +00 +22 +00 +3b +00 +29 +00 +5c +00 +3b +00 +68 +00 +68 +00 +bc +10 +86 +00 +68 +00 +3d +00 +5b +00 +3b +00 +7d +00 +93 +00 +a4 +00 +42 +00 +4e +00 +c4 +00 +00 +00 +00 +00 +1a +00 +65 +00 +26 +00 +79 +00 +2f +00 +8e +00 +2e +00 +9a +00 +36 +00 +9b +00 +2f +00 +8e +00 +31 +00 +a0 +00 +32 +00 +96 +00 +41 +00 +91 +00 +3b +00 +9b +00 +33 +00 +a9 +00 +39 +00 +99 +00 +3e +00 +9b +00 +3b +00 +a9 +00 +37 +00 +a6 +00 +3f +00 +b4 +00 +3a +00 +aa +00 +3f +00 +9b +00 +3b +00 +aa +00 +3b +00 +a5 +00 +35 +00 +8f +00 +2c +00 +7b +00 +1f +00 +71 +00 +2b +00 +7d +00 +2a +00 +89 +00 +33 +00 +86 +00 +7f +00 +47 +10 +4b +00 +ac +00 +30 +00 +7a +00 +23 +00 +84 +00 +40 +00 +fd +00 +7f +00 +bc +10 +fc +00 +fc +10 +cc +00 +e8 +30 +b2 +10 +f0 +30 +bf +00 +fa +00 +4a +00 +03 +10 +77 +00 +51 +10 +63 +00 +4c +10 +71 +00 +c1 +10 +a9 +00 +d0 +20 +f4 +10 +9d +50 +b2 +10 +de +40 +cb +20 +57 +80 +4c +30 +c9 +80 +11 +20 +30 +40 +bb +10 +48 +70 +7d +30 +df +90 +b9 +30 +83 +a0 +b3 +30 +75 +a0 +b6 +30 +79 +a0 +b5 +30 +48 +a0 +aa +30 +c0 +90 +44 +30 +43 +70 +e6 +00 +ac +10 +79 +00 +40 +10 +6d +00 +22 +10 +76 +00 +8a +10 +d5 +00 +3b +20 +94 +00 +aa +10 +6d +00 +56 +10 +7d +00 +22 +10 +52 +00 +0a +10 +4f +00 +e3 +00 +54 +00 +e0 +00 +5c +00 +2e +10 +96 +00 +32 +20 +52 +10 +19 +50 +4d +20 +ac +70 +a4 +20 +a7 +40 +81 +10 +df +40 +1d +10 +e8 +20 +ce +00 +37 +20 +24 +10 +43 +20 +b9 +00 +d8 +30 +d3 +20 +63 +70 +1c +20 +4c +60 +66 +20 +73 +50 +34 +10 +c3 +40 +e4 +20 +d0 +80 +2f +30 +13 +90 +5f +30 +e2 +90 +88 +30 +d0 +90 +6d +30 +b4 +90 +7c +30 +bf +90 +68 +30 +a3 +90 +8a +30 +da +90 +5c +30 +a7 +90 +47 +30 +a3 +80 +70 +20 +0d +50 +f2 +00 +00 +20 +d4 +00 +b2 +20 +89 +10 +da +20 +6e +00 +c9 +00 +46 +00 +c3 +00 +32 +00 +9c +00 +31 +00 +88 +00 +2d +00 +6e +00 +29 +00 +6b +00 +27 +00 +65 +00 +20 +00 +5b +00 +1d +00 +63 +00 +26 +00 +60 +00 +29 +00 +66 +00 +1b +00 +6f +00 +30 +00 +70 +00 +34 +00 +c9 +00 +34 +00 +66 +00 +19 +00 +5f +00 +1f +00 +58 +00 +21 +00 +73 +00 +28 +00 +5e +00 +1a +00 +4f +00 +18 +00 +36 +00 +1e +00 +43 +00 +17 +00 +48 +00 +1b +00 +55 +00 +31 +00 +7b +00 +23 +00 +4c +00 +1b +00 +44 +00 +17 +00 +43 +00 +1c +00 +4b +00 +18 +00 +45 +00 +1e +00 +45 +00 +1c +00 +40 +00 +19 +00 +42 +00 +15 +00 +44 +00 +1a +00 +4a +00 +1e +00 +42 +00 +12 +00 +3b +00 +15 +00 +3e +00 +10 +00 +3e +00 +15 +00 +38 +00 +12 +00 +36 +00 +1a +00 +41 +00 +19 +00 +3b +00 +1d +00 +3b +00 +1a +00 +35 +00 +18 +00 +31 +00 +13 +00 +30 +00 +0f +00 +30 +00 +0e +00 +2b +00 +0f +00 +26 +00 +11 +00 +25 +00 +0e +00 +2b +00 +0e +00 +25 +00 +14 +00 +2b +00 +19 +00 +33 +00 +17 +00 +2f +00 +1a +00 +36 +00 +17 +00 +40 +00 +16 +00 +4b +00 +1c +00 +39 +00 +13 +00 +2f +00 +15 +00 +3b +00 +14 +00 +38 +00 +0f +00 +3a +00 +12 +00 +32 +00 +16 +00 +3a +00 +25 +00 +cf +00 +22 +00 +3b +00 +1e +00 +44 +00 +1c +00 +45 +00 +1b +00 +5b +00 +21 +00 +3d +00 +1a +00 +38 +00 +21 +00 +51 +00 +44 +00 +8f +00 +1f +00 +55 +00 +17 +00 +3c +00 +13 +00 +34 +00 +14 +00 +37 +00 +16 +00 +3a +00 +16 +00 +36 +00 +1a +00 +36 +00 +22 +00 +cc +00 +71 +00 +8e +00 +20 +00 +44 +00 +1a +00 +47 +00 +3f +00 +06 +10 +8f +00 +a3 +00 +1a +00 +49 +00 +16 +00 +4c +00 +1f +00 +68 +00 +2e +00 +a4 +00 +4d +00 +15 +10 +2d +00 +8a +00 +1f +00 +76 +00 +59 +00 +1f +10 +43 +00 +b1 +00 +37 +00 +c9 +00 +55 +00 +e5 +00 +47 +00 +2d +10 +34 +00 +67 +00 +1c +00 +44 +00 +19 +00 +4c +00 +1b +00 +5f +00 +1a +00 +5f +00 +1e +00 +83 +00 +9e +00 +a9 +20 +8d +00 +e4 +00 +27 +00 +63 +00 +32 +00 +51 +00 +1e +00 +7a +00 +ff +00 +ec +40 +56 +10 +bd +10 +57 +00 +ae +00 +28 +00 +44 +00 +1a +00 +47 +00 +1f +00 +54 +00 +22 +00 +4a +00 +18 +00 +40 +00 +18 +00 +5c +00 +21 +00 +57 +00 +32 +00 +af +00 +36 +00 +b3 +00 +26 +00 +77 +00 +22 +00 +57 +00 +17 +00 +59 +00 +3d +00 +ad +00 +3f +00 +d6 +00 +9f +00 +1f +10 +3d +00 +ae +00 +b9 +00 +da +00 +1a +00 +42 +00 +13 +00 +32 +00 +16 +00 +35 +00 +19 +00 +3d +00 +1c +00 +49 +00 +44 +00 +6c +10 +66 +00 +b9 +00 +26 +00 +68 +00 +1e +00 +4c +00 +1d +00 +49 +00 +1c +00 +57 +00 +22 +00 +53 +00 +1a +00 +44 +00 +18 +00 +49 +00 +1b +00 +46 +00 +17 +00 +4d +00 +18 +00 +4e +00 +15 +00 +5e +00 +36 +00 +c1 +00 +33 +00 +8e +00 +1f +00 +46 +00 +19 +00 +3b +00 +12 +00 +41 +00 +1a +00 +4b +00 +24 +00 +7e +00 +37 +00 +9d +00 +20 +00 +4e +00 +1b +00 +46 +00 +33 +00 +8c +00 +2f +00 +69 +00 +20 +00 +ef +00 +00 +00 +00 +00 +52 +00 +4e +00 +77 +00 +57 +00 +9b +00 +58 +00 +88 +00 +60 +00 +8b +00 +6e +00 +94 +00 +69 +00 +9b +00 +6b +00 +95 +00 +66 +00 +a9 +00 +6e +00 +9e +00 +67 +00 +af +00 +64 +00 +9f +00 +64 +00 +a4 +00 +71 +00 +b0 +00 +7a +00 +9e +00 +77 +00 +a2 +00 +68 +00 +a9 +00 +6e +00 +ae +00 +66 +00 +a6 +00 +6e +00 +a5 +00 +62 +00 +a0 +00 +57 +00 +75 +00 +49 +00 +68 +00 +3c +00 +69 +00 +4b +00 +76 +00 +40 +00 +7a +00 +5d +00 +b2 +00 +65 +00 +af +00 +5a +00 +81 +00 +52 +00 +87 +00 +6a +00 +a7 +00 +32 +10 +03 +30 +77 +10 +80 +10 +09 +10 +9e +10 +02 +10 +75 +20 +15 +10 +d3 +10 +f1 +00 +21 +10 +44 +20 +f5 +30 +d2 +20 +f7 +10 +78 +10 +67 +10 +34 +10 +86 +10 +7f +10 +64 +30 +ef +20 +4c +40 +96 +40 +99 +70 +83 +50 +02 +90 +19 +40 +fd +40 +dd +20 +3c +40 +1d +50 +65 +90 +7d +60 +14 +a0 +dc +60 +68 +a0 +f2 +60 +8c +a0 +f7 +60 +ec +a0 +d9 +60 +2e +a0 +48 +50 +fc +70 +13 +30 +c4 +30 +2a +10 +50 +10 +e5 +00 +13 +10 +06 +10 +25 +10 +39 +10 +85 +10 +7b +10 +a7 +10 +2a +10 +50 +10 +b9 +10 +60 +20 +4f +20 +84 +20 +3d +10 +13 +10 +a9 +00 +cb +00 +a0 +00 +ea +00 +ea +00 +6c +10 +18 +20 +aa +30 +ef +30 +01 +60 +0e +40 +d4 +50 +39 +40 +1c +60 +99 +40 +75 +60 +5b +30 +b7 +30 +de +30 +b3 +60 +2e +30 +83 +20 +5f +30 +70 +70 +a3 +50 +c7 +80 +ac +50 +90 +80 +86 +50 +2d +70 +33 +40 +6a +40 +b6 +40 +dd +80 +50 +60 +b0 +90 +91 +60 +fa +90 +b9 +60 +d0 +90 +b7 +60 +e9 +90 +d6 +60 +d7 +90 +c3 +60 +ec +90 +e3 +60 +ba +90 +c2 +60 +c1 +90 +e0 +50 +93 +70 +d4 +20 +7b +20 +56 +10 +6a +10 +49 +10 +32 +20 +39 +10 +6c +10 +a2 +00 +d2 +00 +66 +00 +95 +00 +74 +00 +8f +00 +60 +00 +79 +00 +4c +00 +6c +00 +44 +00 +5d +00 +32 +00 +60 +00 +2c +00 +65 +00 +35 +00 +60 +00 +39 +00 +66 +00 +3a +00 +64 +00 +37 +00 +64 +00 +42 +00 +69 +00 +42 +00 +7e +00 +3d +00 +69 +00 +33 +00 +5a +00 +38 +00 +50 +00 +42 +00 +53 +00 +39 +00 +5d +00 +2d +00 +4d +00 +2b +00 +48 +00 +29 +00 +46 +00 +2f +00 +43 +00 +2c +00 +4f +00 +2c +00 +4d +00 +30 +00 +3f +00 +2f +00 +4c +00 +24 +00 +3e +00 +24 +00 +44 +00 +1f +00 +46 +00 +21 +00 +45 +00 +23 +00 +3e +00 +25 +00 +47 +00 +23 +00 +4d +00 +24 +00 +3e +00 +27 +00 +43 +00 +24 +00 +39 +00 +22 +00 +3e +00 +1f +00 +3d +00 +25 +00 +38 +00 +21 +00 +40 +00 +21 +00 +3c +00 +25 +00 +4a +00 +20 +00 +38 +00 +22 +00 +30 +00 +1a +00 +2a +00 +1b +00 +28 +00 +17 +00 +25 +00 +19 +00 +30 +00 +16 +00 +31 +00 +19 +00 +2e +00 +17 +00 +2c +00 +1b +00 +30 +00 +1c +00 +3e +00 +1a +00 +41 +00 +1c +00 +3b +00 +24 +00 +37 +00 +1c +00 +3e +00 +21 +00 +43 +00 +1f +00 +35 +00 +1a +00 +3c +00 +22 +00 +35 +00 +21 +00 +3c +00 +26 +00 +37 +00 +24 +00 +35 +00 +27 +00 +51 +00 +3a +00 +6b +00 +2a +00 +37 +00 +26 +00 +33 +00 +2d +00 +6d +00 +49 +00 +5c +00 +29 +00 +35 +00 +25 +00 +48 +00 +7f +00 +48 +20 +e9 +00 +9c +00 +33 +00 +44 +00 +26 +00 +35 +00 +1b +00 +31 +00 +20 +00 +36 +00 +1d +00 +3f +00 +1b +00 +3d +00 +2b +00 +50 +00 +89 +00 +9b +20 +9a +00 +84 +00 +60 +00 +54 +00 +4e +00 +8a +00 +b3 +00 +20 +10 +56 +00 +83 +00 +32 +00 +48 +00 +2f +00 +4c +00 +34 +00 +66 +00 +52 +00 +93 +00 +57 +00 +85 +00 +59 +00 +69 +00 +55 +00 +8b +00 +4f +00 +8f +00 +52 +00 +8a +00 +62 +00 +95 +00 +5e +00 +8a +00 +67 +00 +9d +00 +3f +00 +50 +00 +2e +00 +45 +00 +36 +00 +44 +00 +34 +00 +52 +00 +36 +00 +44 +00 +65 +00 +d9 +00 +93 +00 +34 +10 +7b +00 +88 +00 +47 +00 +60 +00 +37 +00 +65 +00 +61 +00 +e6 +00 +b6 +00 +0b +20 +a6 +00 +ef +00 +72 +00 +6c +00 +2e +00 +3f +00 +28 +00 +4e +00 +25 +00 +54 +00 +27 +00 +47 +00 +31 +00 +4b +00 +30 +00 +5d +00 +3b +00 +5a +00 +46 +00 +87 +00 +50 +00 +75 +00 +48 +00 +5f +00 +3b +00 +66 +00 +54 +00 +72 +00 +61 +00 +8e +00 +74 +00 +29 +10 +a6 +00 +b2 +00 +61 +00 +09 +10 +67 +00 +7c +00 +34 +00 +36 +00 +23 +00 +36 +00 +25 +00 +39 +00 +23 +00 +50 +00 +87 +00 +e8 +00 +66 +10 +40 +10 +e0 +00 +89 +00 +6f +00 +53 +00 +32 +00 +4a +00 +2d +00 +65 +00 +38 +00 +54 +00 +31 +00 +44 +00 +2d +00 +45 +00 +27 +00 +46 +00 +23 +00 +3e +00 +21 +00 +44 +00 +27 +00 +40 +00 +31 +00 +5a +00 +30 +00 +71 +00 +3c +00 +4c +00 +29 +00 +36 +00 +22 +00 +2e +00 +20 +00 +34 +00 +2f +00 +4e +00 +30 +00 +62 +00 +32 +00 +67 +00 +3b +00 +3f +00 +2f +00 +52 +00 +2e +00 +5e +00 +3d +00 +5c +00 +39 +00 +00 +00 +00 +00 +1b +00 +6a +00 +29 +00 +87 +00 +38 +00 +92 +00 +3d +00 +a6 +00 +2f +00 +94 +00 +36 +00 +98 +00 +30 +00 +9d +00 +3d +00 +9c +00 +38 +00 +a1 +00 +34 +00 +98 +00 +3b +00 +a0 +00 +38 +00 +a0 +00 +33 +00 +a4 +00 +40 +00 +a4 +00 +38 +00 +bc +00 +3f +00 +98 +00 +3e +00 +ae +00 +3e +00 +a9 +00 +39 +00 +a8 +00 +2a +00 +a3 +00 +37 +00 +80 +00 +26 +00 +67 +00 +24 +00 +68 +00 +28 +00 +5e +00 +21 +00 +6d +00 +2e +00 +7c +00 +33 +00 +9e +00 +2d +00 +8b +00 +2e +00 +79 +00 +2e +00 +a0 +00 +50 +00 +ae +10 +e0 +00 +3a +20 +d7 +00 +50 +20 +71 +00 +25 +10 +73 +00 +49 +10 +61 +00 +11 +10 +16 +10 +9d +40 +47 +20 +ab +60 +fc +10 +98 +30 +80 +00 +46 +10 +67 +00 +77 +10 +e6 +00 +47 +30 +f3 +10 +36 +70 +12 +30 +f0 +80 +bb +20 +73 +50 +0b +10 +de +20 +e3 +10 +cd +70 +69 +30 +e4 +90 +c0 +30 +58 +a0 +b0 +30 +64 +a0 +c5 +30 +53 +a0 +c7 +30 +80 +a0 +2a +30 +80 +60 +6d +10 +5d +30 +c6 +00 +bc +10 +75 +00 +3c +10 +71 +00 +39 +10 +92 +00 +c7 +10 +c3 +00 +3a +20 +c6 +00 +f4 +10 +a3 +00 +01 +30 +cc +10 +3d +50 +20 +20 +3e +30 +79 +00 +f8 +00 +5e +00 +f2 +00 +56 +00 +fb +00 +7d +00 +77 +20 +07 +20 +cc +50 +3e +20 +e2 +50 +df +10 +67 +60 +f8 +20 +76 +80 +09 +30 +98 +60 +0b +20 +f5 +60 +0c +30 +e2 +60 +13 +10 +35 +40 +37 +30 +67 +90 +8f +30 +63 +90 +5d +30 +02 +90 +30 +30 +3a +80 +1c +20 +93 +40 +23 +20 +3d +80 +51 +30 +a5 +90 +82 +30 +e1 +90 +70 +30 +cb +90 +80 +30 +e7 +90 +7f +30 +14 +a0 +86 +30 +a9 +90 +67 +30 +fb +90 +4e +30 +4f +90 +64 +20 +6a +40 +92 +00 +95 +10 +6c +00 +6e +10 +7a +00 +5d +10 +87 +00 +21 +10 +3e +00 +b1 +00 +3d +00 +b0 +00 +44 +00 +a8 +00 +2b +00 +79 +00 +2d +00 +6e +00 +2b +00 +58 +00 +25 +00 +5e +00 +28 +00 +67 +00 +20 +00 +5f +00 +27 +00 +6d +00 +27 +00 +5f +00 +21 +00 +66 +00 +25 +00 +68 +00 +32 +00 +78 +00 +2a +00 +60 +00 +2d +00 +5e +00 +20 +00 +6b +00 +30 +00 +75 +00 +25 +00 +57 +00 +22 +00 +4b +00 +1d +00 +44 +00 +1d +00 +4d +00 +18 +00 +45 +00 +19 +00 +45 +00 +20 +00 +3d +00 +1c +00 +42 +00 +14 +00 +38 +00 +17 +00 +42 +00 +1a +00 +4d +00 +1b +00 +3e +00 +17 +00 +44 +00 +19 +00 +47 +00 +18 +00 +4b +00 +1c +00 +49 +00 +1b +00 +4d +00 +14 +00 +38 +00 +1a +00 +41 +00 +1a +00 +39 +00 +18 +00 +38 +00 +15 +00 +32 +00 +15 +00 +38 +00 +18 +00 +4e +00 +20 +00 +4d +00 +15 +00 +33 +00 +0c +00 +33 +00 +0f +00 +20 +00 +0d +00 +23 +00 +11 +00 +2a +00 +0f +00 +2a +00 +12 +00 +2c +00 +0b +00 +2f +00 +0c +00 +26 +00 +1e +00 +3c +00 +19 +00 +37 +00 +17 +00 +4e +00 +14 +00 +3a +00 +15 +00 +35 +00 +19 +00 +43 +00 +14 +00 +35 +00 +16 +00 +3e +00 +17 +00 +3f +00 +14 +00 +37 +00 +19 +00 +3d +00 +15 +00 +3a +00 +14 +00 +42 +00 +27 +00 +51 +00 +1d +00 +55 +00 +16 +00 +3f +00 +19 +00 +43 +00 +17 +00 +4f +00 +25 +00 +4e +00 +13 +00 +31 +00 +2a +00 +29 +10 +a6 +00 +5f +10 +3f +00 +5f +00 +1c +00 +44 +00 +16 +00 +39 +00 +18 +00 +30 +00 +15 +00 +3d +00 +15 +00 +3b +00 +1d +00 +48 +00 +24 +00 +97 +00 +b6 +00 +3d +10 +41 +00 +b5 +00 +2e +00 +74 +00 +2d +00 +7d +00 +41 +00 +6b +00 +2e +00 +53 +00 +21 +00 +4c +00 +1e +00 +4d +00 +20 +00 +65 +00 +1e +00 +6b +00 +3c +00 +91 +00 +2e +00 +95 +00 +23 +00 +72 +00 +28 +00 +6e +00 +24 +00 +66 +00 +2a +00 +5d +00 +22 +00 +74 +00 +2c +00 +71 +00 +21 +00 +4c +00 +17 +00 +40 +00 +17 +00 +4a +00 +14 +00 +3b +00 +17 +00 +5a +00 +44 +00 +04 +10 +4c +00 +af +00 +21 +00 +5c +00 +24 +00 +4c +00 +1c +00 +6e +00 +2f +00 +c9 +00 +5d +00 +07 +10 +38 +00 +83 +00 +25 +00 +4b +00 +1a +00 +49 +00 +18 +00 +4d +00 +1c +00 +41 +00 +1d +00 +46 +00 +1b +00 +4d +00 +22 +00 +59 +00 +23 +00 +54 +00 +33 +00 +76 +00 +24 +00 +62 +00 +20 +00 +5a +00 +23 +00 +a3 +00 +5c +00 +8c +00 +35 +00 +b9 +00 +95 +00 +a6 +10 +45 +00 +89 +00 +2b +00 +79 +00 +25 +00 +40 +00 +1c +00 +3d +00 +14 +00 +3b +00 +1a +00 +51 +00 +23 +00 +d2 +00 +05 +10 +f4 +20 +89 +10 +45 +20 +36 +00 +68 +00 +26 +00 +46 +00 +21 +00 +56 +00 +4d +00 +9c +00 +25 +00 +4b +00 +16 +00 +48 +00 +19 +00 +41 +00 +19 +00 +39 +00 +1f +00 +43 +00 +1d +00 +39 +00 +1f +00 +49 +00 +19 +00 +5b +00 +27 +00 +52 +00 +1e +00 +3b +00 +0f +00 +39 +00 +1b +00 +32 +00 +10 +00 +49 +00 +1e +00 +4a +00 +20 +00 +53 +00 +1e +00 +41 +00 +15 +00 +40 +00 +16 +00 +4c +00 +18 +00 +39 +00 +1c +00 +4c +00 +00 +00 +00 +00 +5d +00 +45 +00 +85 +00 +52 +00 +93 +00 +5d +00 +93 +00 +65 +00 +96 +00 +63 +00 +9b +00 +69 +00 +99 +00 +70 +00 +ae +00 +68 +00 +94 +00 +65 +00 +a9 +00 +6c +00 +99 +00 +66 +00 +9a +00 +67 +00 +a5 +00 +69 +00 +9a +00 +75 +00 +a9 +00 +68 +00 +a0 +00 +6d +00 +a1 +00 +77 +00 +ad +00 +77 +00 +a6 +00 +6f +00 +ac +00 +6c +00 +92 +00 +51 +00 +75 +00 +41 +00 +5d +00 +3b +00 +50 +00 +40 +00 +59 +00 +43 +00 +74 +00 +48 +00 +7f +00 +51 +00 +78 +00 +64 +00 +7d +00 +71 +00 +8f +00 +71 +00 +c5 +00 +ab +00 +04 +10 +d8 +00 +48 +10 +f6 +00 +72 +10 +cc +00 +14 +10 +f5 +00 +19 +10 +81 +10 +12 +20 +49 +20 +3d +60 +de +30 +44 +70 +ec +20 +a0 +20 +f2 +00 +02 +10 +46 +10 +b9 +20 +f6 +20 +fc +40 +e0 +40 +d7 +80 +0b +50 +bc +60 +f2 +20 +f7 +20 +3d +30 +06 +50 +76 +50 +7e +90 +eb +60 +0e +a0 +9c +60 +4c +a0 +6a +50 +b5 +70 +ee +50 +68 +a0 +60 +60 +8c +80 +85 +30 +5b +30 +cc +10 +dd +10 +61 +10 +67 +10 +78 +10 +73 +10 +26 +20 +4d +40 +0a +30 +35 +50 +96 +20 +a3 +40 +f1 +10 +9f +20 +e2 +20 +ba +40 +68 +30 +e4 +60 +b5 +20 +14 +20 +c0 +00 +e0 +00 +96 +00 +e6 +00 +93 +10 +b3 +20 +19 +40 +1c +70 +e0 +30 +67 +50 +72 +30 +f8 +50 +68 +50 +d3 +80 +b1 +50 +03 +90 +8b +40 +95 +60 +45 +50 +d5 +80 +00 +50 +7d +40 +b6 +30 +65 +70 +10 +60 +10 +a0 +80 +60 +e6 +90 +32 +60 +3e +90 +5d +60 +f9 +80 +d5 +50 +9b +50 +37 +40 +20 +70 +19 +60 +e7 +90 +b3 +60 +cd +90 +9f +60 +08 +a0 +bc +60 +be +90 +e0 +60 +f7 +90 +f7 +60 +f8 +90 +ff +60 +db +90 +ec +50 +bb +70 +f9 +20 +40 +20 +92 +10 +65 +10 +30 +10 +49 +10 +35 +10 +68 +10 +f2 +00 +e8 +00 +a5 +00 +e9 +00 +77 +10 +f3 +10 +95 +00 +91 +00 +5a +00 +86 +00 +56 +00 +6d +00 +3d +00 +66 +00 +37 +00 +5f +00 +32 +00 +58 +00 +35 +00 +75 +00 +3b +00 +6c +00 +37 +00 +60 +00 +3d +00 +65 +00 +44 +00 +69 +00 +38 +00 +68 +00 +3a +00 +6c +00 +3a +00 +5b +00 +93 +00 +ee +10 +79 +00 +6a +00 +37 +00 +49 +00 +2b +00 +44 +00 +29 +00 +4a +00 +23 +00 +42 +00 +22 +00 +4c +00 +24 +00 +4e +00 +23 +00 +3a +00 +29 +00 +4c +00 +2f +00 +3f +00 +26 +00 +51 +00 +2b +00 +4b +00 +1e +00 +49 +00 +25 +00 +46 +00 +21 +00 +3c +00 +3a +00 +5c +00 +46 +00 +56 +00 +36 +00 +46 +00 +22 +00 +47 +00 +26 +00 +38 +00 +1f +00 +34 +00 +27 +00 +35 +00 +1d +00 +39 +00 +24 +00 +42 +00 +19 +00 +44 +00 +22 +00 +3a +00 +21 +00 +2d +00 +19 +00 +26 +00 +18 +00 +29 +00 +18 +00 +2b +00 +16 +00 +25 +00 +1b +00 +30 +00 +1b +00 +2a +00 +13 +00 +30 +00 +1e +00 +42 +00 +26 +00 +46 +00 +1f +00 +45 +00 +1b +00 +3e +00 +29 +00 +34 +00 +21 +00 +39 +00 +20 +00 +30 +00 +1f +00 +3d +00 +20 +00 +47 +00 +22 +00 +33 +00 +24 +00 +3e +00 +20 +00 +37 +00 +28 +00 +3d +00 +28 +00 +4c +00 +7a +00 +b9 +00 +32 +00 +4c +00 +2f +00 +43 +00 +23 +00 +44 +00 +1c +00 +34 +00 +21 +00 +3b +00 +33 +00 +5e +00 +7e +00 +2a +10 +49 +00 +9d +00 +45 +00 +40 +00 +27 +00 +3b +00 +20 +00 +35 +00 +1d +00 +2d +00 +1b +00 +36 +00 +1a +00 +44 +00 +2d +00 +46 +00 +8c +00 +11 +20 +0c +10 +0d +10 +97 +00 +9d +00 +49 +00 +63 +00 +2b +00 +69 +00 +2f +00 +54 +00 +2b +00 +46 +00 +29 +00 +3f +00 +33 +00 +47 +00 +34 +00 +53 +00 +38 +00 +b5 +00 +3f +00 +72 +00 +38 +00 +5c +00 +50 +00 +61 +00 +5c +00 +5a +00 +39 +00 +53 +00 +42 +00 +5d +00 +36 +00 +55 +00 +24 +00 +4a +00 +22 +00 +40 +00 +25 +00 +41 +00 +37 +00 +3f +00 +27 +00 +3c +00 +48 +00 +8d +00 +a3 +00 +e2 +00 +4e +00 +5d +00 +3a +00 +4b +00 +2a +00 +48 +00 +4d +00 +80 +00 +5d +00 +a2 +00 +5f +00 +86 +00 +53 +00 +58 +00 +29 +00 +43 +00 +29 +00 +48 +00 +21 +00 +43 +00 +23 +00 +4e +00 +2c +00 +51 +00 +33 +00 +55 +00 +2a +00 +52 +00 +41 +00 +5a +00 +64 +00 +79 +00 +53 +00 +64 +00 +45 +00 +5e +00 +42 +00 +81 +00 +50 +00 +6e +00 +86 +00 +4a +20 +05 +10 +7d +10 +9d +00 +78 +00 +54 +00 +5c +00 +28 +00 +3a +00 +23 +00 +37 +00 +2a +00 +41 +00 +4f +00 +75 +00 +73 +10 +c1 +40 +c3 +20 +65 +40 +b0 +10 +1d +10 +85 +00 +5d +00 +31 +00 +41 +00 +36 +00 +c2 +00 +72 +00 +82 +00 +33 +00 +3e +00 +26 +00 +46 +00 +22 +00 +4a +00 +24 +00 +32 +00 +20 +00 +38 +00 +26 +00 +37 +00 +27 +00 +3d +00 +27 +00 +4b +00 +2e +00 +44 +00 +21 +00 +36 +00 +20 +00 +38 +00 +1a +00 +30 +00 +22 +00 +3a +00 +25 +00 +45 +00 +27 +00 +3f +00 +27 +00 +39 +00 +1d +00 +37 +00 +2c +00 +3c +00 +2e +00 +2e +00 +2f +00 +00 +00 +00 +00 +22 +00 +72 +00 +2a +00 +78 +00 +35 +00 +8b +00 +3e +00 +9a +00 +3e +00 +8b +00 +3a +00 +9e +00 +30 +00 +a1 +00 +32 +00 +8b +00 +31 +00 +a2 +00 +31 +00 +a7 +00 +38 +00 +9e +00 +39 +00 +98 +00 +3d +00 +9f +00 +3e +00 +a6 +00 +37 +00 +a0 +00 +3e +00 +a0 +00 +30 +00 +b4 +00 +37 +00 +aa +00 +36 +00 +aa +00 +36 +00 +9c +00 +32 +00 +7e +00 +22 +00 +5f +00 +24 +00 +5d +00 +1e +00 +60 +00 +29 +00 +7d +00 +26 +00 +7c +00 +30 +00 +7e +00 +2a +00 +81 +00 +45 +00 +c4 +00 +31 +00 +9c +00 +45 +00 +ce +00 +56 +00 +fb +00 +59 +00 +f3 +00 +4d +00 +fe +00 +5b +00 +1f +10 +9a +00 +b1 +20 +6e +10 +35 +30 +52 +10 +fb +40 +8c +20 +c8 +50 +da +00 +79 +10 +55 +00 +35 +10 +73 +10 +63 +50 +16 +20 +55 +70 +27 +30 +86 +80 +b3 +10 +5e +30 +41 +10 +92 +40 +35 +20 +0f +80 +84 +30 +ed +90 +b6 +30 +42 +a0 +34 +30 +26 +70 +8d +10 +89 +50 +69 +30 +fd +90 +ed +20 +f7 +50 +1e +10 +d2 +20 +00 +10 +71 +20 +d6 +00 +98 +20 +66 +10 +be +40 +11 +20 +04 +50 +86 +10 +a5 +30 +1a +10 +19 +30 +a8 +10 +d0 +40 +63 +20 +da +50 +68 +20 +3e +50 +ae +00 +2e +10 +56 +00 +05 +10 +63 +00 +2c +20 +3f +20 +44 +70 +fd +20 +e3 +60 +56 +10 +6e +40 +d1 +20 +c1 +80 +7b +30 +ce +90 +c2 +20 +05 +60 +d9 +20 +d8 +80 +74 +30 +c7 +80 +9e +10 +1b +40 +1b +30 +e3 +90 +99 +30 +5f +a0 +97 +30 +c1 +90 +67 +30 +86 +90 +4a +30 +66 +90 +fa +20 +24 +70 +a4 +10 +d4 +50 +02 +30 +b6 +90 +7d +30 +0b +a0 +9f +30 +08 +a0 +71 +30 +cc +90 +71 +30 +c3 +90 +9b +30 +de +90 +58 +30 +55 +90 +79 +20 +5d +40 +cf +00 +37 +20 +b2 +00 +61 +10 +6a +00 +a1 +10 +0f +10 +b8 +10 +50 +00 +bc +00 +63 +00 +0b +30 +cf +00 +5c +10 +40 +00 +7f +00 +2b +00 +78 +00 +28 +00 +78 +00 +26 +00 +66 +00 +21 +00 +51 +00 +27 +00 +71 +00 +32 +00 +86 +00 +2b +00 +5d +00 +2c +00 +66 +00 +24 +00 +5a +00 +28 +00 +65 +00 +24 +00 +64 +00 +1d +00 +53 +00 +33 +00 +f9 +00 +88 +00 +16 +10 +2c +00 +5c +00 +1e +00 +49 +00 +1f +00 +36 +00 +23 +00 +4b +00 +1c +00 +43 +00 +1b +00 +45 +00 +1f +00 +4f +00 +18 +00 +46 +00 +19 +00 +43 +00 +1c +00 +3f +00 +21 +00 +58 +00 +21 +00 +46 +00 +16 +00 +44 +00 +1e +00 +4e +00 +1b +00 +4b +00 +78 +00 +53 +10 +2c +00 +5b +00 +1a +00 +41 +00 +1e +00 +44 +00 +1a +00 +45 +00 +13 +00 +3d +00 +16 +00 +36 +00 +18 +00 +3a +00 +1a +00 +43 +00 +18 +00 +41 +00 +15 +00 +4d +00 +18 +00 +2d +00 +14 +00 +27 +00 +0f +00 +27 +00 +0c +00 +20 +00 +12 +00 +31 +00 +12 +00 +2e +00 +11 +00 +34 +00 +1a +00 +33 +00 +1c +00 +3f +00 +1d +00 +3e +00 +17 +00 +3b +00 +18 +00 +3b +00 +18 +00 +39 +00 +19 +00 +39 +00 +1a +00 +3a +00 +1c +00 +3c +00 +11 +00 +3e +00 +10 +00 +42 +00 +1a +00 +3a +00 +15 +00 +3c +00 +1e +00 +3c +00 +23 +00 +8c +00 +3e +00 +6b +00 +23 +00 +44 +00 +17 +00 +42 +00 +15 +00 +37 +00 +14 +00 +3d +00 +14 +00 +3e +00 +1c +00 +6f +00 +2c +00 +8c +00 +28 +00 +5e +00 +10 +00 +33 +00 +11 +00 +35 +00 +27 +00 +38 +00 +0e +00 +3d +00 +14 +00 +35 +00 +15 +00 +3e +00 +1b +00 +99 +00 +e6 +00 +c3 +20 +d4 +00 +24 +10 +2c +00 +68 +00 +1b +00 +56 +00 +20 +00 +4c +00 +1f +00 +48 +00 +18 +00 +41 +00 +1a +00 +41 +00 +17 +00 +4f +00 +21 +00 +6a +00 +31 +00 +6e +00 +26 +00 +56 +00 +26 +00 +b6 +00 +6a +00 +a8 +00 +20 +00 +4a +00 +22 +00 +4d +00 +26 +00 +5d +00 +1e +00 +47 +00 +1a +00 +39 +00 +14 +00 +38 +00 +16 +00 +3e +00 +14 +00 +33 +00 +19 +00 +51 +00 +25 +00 +86 +00 +3e +00 +7b +00 +1c +00 +4f +00 +1b +00 +46 +00 +16 +00 +52 +00 +28 +00 +70 +00 +25 +00 +65 +00 +1c +00 +53 +00 +1a +00 +43 +00 +1d +00 +42 +00 +15 +00 +3a +00 +17 +00 +42 +00 +21 +00 +4b +00 +2b +00 +90 +00 +1f +00 +4e +00 +1d +00 +55 +00 +2c +00 +da +00 +a2 +00 +a0 +00 +2c +00 +61 +00 +28 +00 +59 +00 +2b +00 +6d +00 +26 +00 +8a +00 +5c +00 +52 +10 +20 +10 +ac +10 +2f +00 +6b +00 +1f +00 +30 +00 +14 +00 +37 +00 +19 +00 +47 +00 +22 +00 +63 +00 +7f +00 +dd +10 +3e +10 +62 +40 +6d +10 +fe +10 +54 +00 +b1 +00 +22 +00 +53 +00 +1c +00 +54 +00 +22 +00 +72 +00 +2c +00 +52 +00 +1c +00 +3f +00 +20 +00 +58 +00 +1a +00 +4b +00 +17 +00 +41 +00 +10 +00 +39 +00 +15 +00 +3f +00 +1b +00 +3d +00 +1a +00 +3d +00 +1a +00 +38 +00 +12 +00 +2d +00 +14 +00 +2f +00 +15 +00 +31 +00 +16 +00 +38 +00 +1a +00 +3d +00 +13 +00 +36 +00 +17 +00 +33 +00 +15 +00 +32 +00 +13 +00 +3b +00 +18 +00 +35 +00 +00 +00 +00 +00 +58 +00 +52 +00 +6d +00 +62 +00 +7e +00 +66 +00 +a1 +00 +6c +00 +a2 +00 +6a +00 +97 +00 +74 +00 +9f +00 +79 +00 +98 +00 +6d +00 +9f +00 +70 +00 +a7 +00 +6f +00 +a6 +00 +77 +00 +a0 +00 +63 +00 +9f +00 +7a +00 +af +00 +75 +00 +a7 +00 +71 +00 +a5 +00 +73 +00 +a9 +00 +74 +00 +a2 +00 +74 +00 +aa +00 +66 +00 +a2 +00 +58 +00 +8b +00 +4b +00 +71 +00 +39 +00 +5c +00 +3a +00 +6c +00 +38 +00 +6c +00 +3f +00 +7e +00 +3e +00 +60 +00 +4e +00 +84 +00 +e5 +00 +de +10 +09 +10 +fe +00 +97 +00 +dd +00 +18 +10 +c3 +10 +01 +10 +22 +10 +16 +10 +fd +00 +24 +10 +a8 +10 +29 +20 +b3 +30 +89 +20 +a5 +40 +d9 +10 +81 +20 +9c +10 +06 +30 +a9 +10 +44 +30 +26 +10 +12 +10 +1a +10 +ef +10 +14 +20 +b3 +40 +49 +40 +9d +80 +02 +40 +98 +40 +38 +30 +78 +40 +fb +30 +8b +50 +75 +50 +97 +90 +6d +60 +f3 +90 +91 +50 +55 +70 +57 +30 +99 +30 +32 +30 +80 +60 +12 +50 +2f +80 +d9 +20 +fb +20 +16 +20 +92 +50 +c6 +30 +ed +50 +4c +30 +67 +50 +21 +20 +01 +30 +79 +10 +3e +20 +48 +10 +fe +10 +49 +20 +8e +50 +ed +30 +61 +70 +32 +50 +ca +70 +d3 +20 +94 +20 +f4 +00 +fe +00 +ac +00 +0b +10 +ec +10 +fb +50 +9b +40 +9d +80 +f4 +30 +ae +40 +de +40 +2d +80 +3c +60 +15 +a0 +eb +50 +ee +70 +46 +50 +3b +80 +0e +60 +9c +90 +72 +50 +f1 +50 +fe +30 +92 +70 +31 +60 +5a +a0 +f8 +60 +95 +a0 +e9 +60 +18 +a0 +d3 +60 +a5 +90 +80 +60 +57 +90 +51 +60 +76 +80 +d0 +40 +2c +50 +4f +50 +83 +90 +69 +60 +e3 +90 +9c +60 +e0 +90 +b4 +60 +cb +90 +bc +60 +fa +90 +db +60 +85 +90 +0f +60 +77 +80 +24 +50 +21 +50 +44 +30 +a8 +30 +b6 +10 +81 +10 +4e +20 +d3 +40 +97 +10 +45 +10 +ca +00 +f9 +00 +f4 +00 +ca +10 +e8 +00 +be +00 +6c +00 +86 +00 +4c +00 +77 +00 +4f +00 +76 +00 +56 +00 +54 +00 +3d +00 +57 +00 +32 +00 +66 +00 +3b +00 +63 +00 +43 +00 +6e +00 +37 +00 +59 +00 +41 +00 +5d +00 +3f +00 +56 +00 +36 +00 +59 +00 +34 +00 +65 +00 +60 +00 +9b +00 +51 +00 +88 +00 +34 +00 +47 +00 +2a +00 +43 +00 +2e +00 +40 +00 +29 +00 +48 +00 +27 +00 +40 +00 +2e +00 +41 +00 +21 +00 +39 +00 +28 +00 +49 +00 +2e +00 +3f +00 +1b +00 +4c +00 +27 +00 +46 +00 +22 +00 +49 +00 +25 +00 +48 +00 +29 +00 +4b +00 +3b +00 +b6 +00 +5d +00 +9f +00 +35 +00 +40 +00 +20 +00 +3f +00 +28 +00 +3e +00 +26 +00 +3d +00 +41 +00 +62 +00 +2b +00 +45 +00 +21 +00 +44 +00 +21 +00 +42 +00 +28 +00 +43 +00 +20 +00 +35 +00 +1f +00 +34 +00 +1c +00 +29 +00 +17 +00 +2b +00 +1a +00 +2f +00 +18 +00 +2f +00 +1d +00 +2e +00 +1e +00 +31 +00 +1f +00 +3b +00 +1b +00 +4f +00 +19 +00 +42 +00 +21 +00 +3f +00 +28 +00 +2d +00 +1b +00 +3b +00 +21 +00 +40 +00 +1f +00 +45 +00 +2f +00 +3a +00 +1f +00 +3b +00 +69 +00 +6b +00 +39 +00 +44 +00 +31 +00 +34 +00 +32 +00 +4d +00 +35 +00 +53 +00 +28 +00 +45 +00 +1e +00 +34 +00 +1e +00 +3c +00 +26 +00 +3b +00 +1e +00 +3f +00 +23 +00 +4d +00 +36 +00 +59 +00 +39 +00 +65 +00 +2a +00 +3e +00 +24 +00 +2d +00 +1f +00 +39 +00 +20 +00 +3c +00 +23 +00 +44 +00 +1e +00 +3a +00 +2d +00 +4f +00 +4c +00 +ab +00 +85 +00 +a0 +10 +83 +00 +9f +00 +47 +00 +5d +00 +33 +00 +4d +00 +2d +00 +3d +00 +2b +00 +3c +00 +24 +00 +44 +00 +26 +00 +3d +00 +2e +00 +55 +00 +93 +00 +8e +00 +54 +00 +58 +00 +39 +00 +65 +00 +aa +00 +4f +20 +a7 +00 +77 +00 +3e +00 +4d +00 +2a +00 +6c +00 +30 +00 +4d +00 +21 +00 +42 +00 +1f +00 +33 +00 +1e +00 +3e +00 +26 +00 +3a +00 +20 +00 +37 +00 +30 +00 +5b +00 +40 +00 +68 +00 +2e +00 +4e +00 +2f +00 +47 +00 +26 +00 +41 +00 +38 +00 +4b +00 +35 +00 +4f +00 +37 +00 +4d +00 +2e +00 +4a +00 +2a +00 +4b +00 +2c +00 +4e +00 +29 +00 +44 +00 +23 +00 +3f +00 +2e +00 +49 +00 +42 +00 +63 +00 +ac +00 +90 +00 +ef +00 +af +00 +f9 +00 +95 +30 +3e +10 +e0 +00 +fd +00 +aa +00 +78 +00 +8d +00 +49 +00 +60 +00 +48 +00 +82 +00 +9b +00 +0b +30 +d0 +00 +bd +00 +52 +00 +45 +00 +2f +00 +38 +00 +27 +00 +37 +00 +2c +00 +55 +00 +79 +00 +59 +10 +9f +10 +ef +20 +82 +20 +f6 +30 +b9 +10 +37 +10 +ac +00 +6c +00 +3d +00 +47 +00 +2b +00 +56 +00 +2b +00 +53 +00 +3e +00 +4f +00 +26 +00 +5a +00 +23 +00 +4a +00 +25 +00 +4a +00 +21 +00 +44 +00 +22 +00 +3d +00 +24 +00 +39 +00 +21 +00 +3a +00 +26 +00 +39 +00 +1a +00 +2d +00 +1d +00 +2c +00 +1e +00 +2e +00 +21 +00 +31 +00 +26 +00 +35 +00 +20 +00 +31 +00 +21 +00 +37 +00 +1c +00 +35 +00 +1a +00 +31 +00 +1d +00 +28 +00 +18 +00 +00 +00 +00 +00 +20 +00 +66 +00 +2e +00 +8a +00 +31 +00 +94 +00 +30 +00 +a6 +00 +36 +00 +90 +00 +3f +00 +90 +00 +33 +00 +a3 +00 +34 +00 +b3 +00 +37 +00 +a2 +00 +40 +00 +98 +00 +3b +00 +a3 +00 +2f +00 +a1 +00 +3c +00 +ab +00 +40 +00 +9b +00 +3c +00 +9d +00 +39 +00 +ab +00 +4d +00 +ad +00 +3a +00 +b6 +00 +3b +00 +a7 +00 +3b +00 +94 +00 +30 +00 +76 +00 +28 +00 +63 +00 +2a +00 +6e +00 +23 +00 +61 +00 +2b +00 +69 +00 +24 +00 +66 +00 +27 +00 +6f +00 +3c +00 +1c +10 +12 +10 +2b +20 +63 +00 +e7 +00 +e3 +00 +cc +20 +b1 +00 +8c +10 +17 +10 +ac +20 +b6 +00 +64 +10 +18 +10 +04 +50 +19 +20 +c5 +40 +ff +00 +63 +20 +7d +00 +bc +10 +99 +00 +ae +10 +91 +00 +7a +10 +60 +00 +0a +10 +70 +00 +a9 +10 +06 +10 +cf +40 +54 +20 +4e +50 +39 +10 +08 +40 +82 +20 +2f +70 +25 +20 +a3 +70 +7e +30 +ad +90 +72 +30 +59 +80 +9e +10 +a8 +30 +ce +00 +1b +30 +0a +20 +62 +70 +e6 +10 +95 +30 +b9 +00 +1a +20 +a9 +10 +44 +60 +c1 +20 +2d +70 +7c +10 +b2 +20 +a4 +00 +d0 +10 +8b +00 +7d +10 +96 +00 +ae +20 +51 +20 +2f +60 +d3 +20 +a9 +80 +fc +20 +67 +50 +bb +00 +44 +10 +5f +00 +f3 +00 +5e +00 +a0 +10 +d8 +10 +c1 +70 +93 +20 +6b +50 +30 +20 +bf +70 +6b +30 +27 +a0 +94 +30 +b1 +90 +40 +20 +68 +70 +43 +30 +db +90 +8e +30 +49 +90 +dd +10 +52 +40 +da +20 +af +90 +a5 +30 +92 +a0 +8d +30 +55 +a0 +8a +30 +d4 +90 +66 +30 +a2 +90 +7e +30 +ad +90 +55 +30 +bf +80 +d5 +10 +98 +40 +8e +20 +ec +80 +65 +30 +96 +90 +55 +30 +99 +90 +8a +30 +26 +a0 +59 +30 +d7 +90 +53 +30 +41 +90 +3d +30 +6c +80 +8f +20 +f0 +50 +98 +10 +ea +30 +05 +10 +e1 +40 +84 +10 +e2 +20 +6c +00 +f3 +00 +59 +00 +3d +10 +61 +00 +11 +10 +73 +00 +d7 +00 +34 +00 +8c +00 +28 +00 +80 +00 +39 +00 +7e +00 +27 +00 +63 +00 +1d +00 +56 +00 +20 +00 +5e +00 +23 +00 +78 +00 +22 +00 +59 +00 +22 +00 +4f +00 +1f +00 +5f +00 +1f +00 +52 +00 +1e +00 +4c +00 +1b +00 +6b +00 +2e +00 +72 +00 +1d +00 +4d +00 +1e +00 +4e +00 +15 +00 +3d +00 +1d +00 +47 +00 +18 +00 +44 +00 +19 +00 +3a +00 +16 +00 +3d +00 +15 +00 +49 +00 +1f +00 +43 +00 +16 +00 +3f +00 +1a +00 +45 +00 +1d +00 +45 +00 +14 +00 +4c +00 +21 +00 +49 +00 +1f +00 +46 +00 +28 +00 +57 +00 +24 +00 +6c +00 +19 +00 +3b +00 +12 +00 +4a +00 +1b +00 +3f +00 +20 +00 +73 +00 +6f +00 +79 +00 +17 +00 +3f +00 +17 +00 +36 +00 +1b +00 +3e +00 +16 +00 +3c +00 +13 +00 +35 +00 +0d +00 +26 +00 +13 +00 +24 +00 +0c +00 +2f +00 +12 +00 +32 +00 +15 +00 +32 +00 +16 +00 +38 +00 +1a +00 +33 +00 +1e +00 +43 +00 +21 +00 +39 +00 +13 +00 +43 +00 +14 +00 +3d +00 +13 +00 +30 +00 +12 +00 +3f +00 +1a +00 +42 +00 +16 +00 +4f +00 +15 +00 +37 +00 +1c +00 +91 +00 +90 +00 +ad +00 +21 +00 +4e +00 +16 +00 +3a +00 +16 +00 +3f +00 +1c +00 +47 +00 +13 +00 +3d +00 +19 +00 +3b +00 +17 +00 +2f +00 +16 +00 +3a +00 +14 +00 +44 +00 +17 +00 +49 +00 +16 +00 +46 +00 +1c +00 +39 +00 +13 +00 +33 +00 +12 +00 +3e +00 +13 +00 +2b +00 +15 +00 +4c +00 +14 +00 +44 +00 +18 +00 +3d +00 +19 +00 +5b +00 +23 +00 +96 +00 +37 +00 +9c +00 +20 +00 +5c +00 +16 +00 +4f +00 +17 +00 +32 +00 +1a +00 +8d +00 +27 +00 +63 +00 +1d +00 +47 +00 +18 +00 +44 +00 +3c +00 +07 +20 +70 +00 +9f +00 +20 +00 +5e +00 +21 +00 +87 +00 +49 +00 +e9 +00 +32 +00 +6a +00 +19 +00 +4d +00 +20 +00 +4b +00 +1d +00 +3f +00 +0e +00 +3f +00 +16 +00 +3f +00 +18 +00 +38 +00 +18 +00 +33 +00 +1e +00 +40 +00 +1f +00 +6f +00 +22 +00 +4f +00 +1a +00 +47 +00 +12 +00 +43 +00 +19 +00 +54 +00 +1e +00 +4f +00 +1b +00 +47 +00 +16 +00 +4c +00 +17 +00 +55 +00 +16 +00 +3e +00 +18 +00 +41 +00 +20 +00 +4a +00 +1d +00 +43 +00 +22 +00 +68 +00 +5a +00 +3c +20 +b8 +00 +ad +10 +85 +00 +0f +10 +5c +10 +51 +30 +e2 +00 +b7 +20 +5f +00 +b7 +00 +42 +00 +75 +00 +1e +00 +60 +00 +29 +00 +97 +00 +84 +00 +1f +10 +39 +00 +70 +00 +18 +00 +4e +00 +16 +00 +3a +00 +19 +00 +44 +00 +1b +00 +a3 +00 +dd +00 +8e +20 +2b +20 +80 +60 +8c +10 +4a +30 +9b +00 +c9 +00 +23 +00 +58 +00 +1a +00 +4b +00 +18 +00 +4e +00 +20 +00 +52 +00 +24 +00 +51 +00 +1d +00 +4d +00 +1e +00 +4a +00 +1d +00 +41 +00 +10 +00 +3d +00 +1c +00 +33 +00 +17 +00 +3d +00 +20 +00 +3f +00 +15 +00 +37 +00 +13 +00 +35 +00 +15 +00 +2e +00 +13 +00 +32 +00 +15 +00 +24 +00 +12 +00 +42 +00 +13 +00 +32 +00 +16 +00 +3a +00 +11 +00 +2c +00 +10 +00 +35 +00 +0f +00 +27 +00 +00 +00 +00 +00 +6b +00 +51 +00 +76 +00 +61 +00 +8e +00 +61 +00 +96 +00 +60 +00 +8c +00 +67 +00 +92 +00 +70 +00 +91 +00 +70 +00 +99 +00 +68 +00 +a4 +00 +75 +00 +98 +00 +69 +00 +a1 +00 +6e +00 +b0 +00 +6c +00 +9d +00 +75 +00 +a0 +00 +6e +00 +a4 +00 +6d +00 +a2 +00 +6c +00 +a9 +00 +66 +00 +ac +00 +66 +00 +a5 +00 +6f +00 +a3 +00 +53 +00 +8d +00 +47 +00 +6a +00 +4a +00 +6d +00 +4f +00 +71 +00 +40 +00 +66 +00 +41 +00 +6e +00 +42 +00 +77 +00 +7b +00 +b3 +00 +1e +10 +0e +30 +db +00 +65 +10 +a7 +00 +1f +10 +c8 +00 +8c +10 +08 +10 +41 +20 +cf +20 +cd +40 +d8 +10 +2b +20 +9f +20 +60 +50 +d2 +10 +32 +20 +4a +10 +5e +10 +f1 +00 +6e +10 +d7 +00 +10 +10 +a3 +00 +e8 +00 +b7 +00 +25 +10 +10 +10 +bb +10 +b4 +10 +13 +30 +98 +20 +89 +30 +39 +40 +4b +70 +d3 +40 +f4 +50 +ce +40 +e5 +80 +c5 +50 +82 +90 +2f +50 +49 +50 +63 +30 +21 +30 +4c +20 +29 +40 +2c +30 +39 +50 +f5 +10 +d2 +10 +33 +10 +0b +20 +93 +10 +35 +40 +68 +20 +78 +30 +6a +10 +99 +10 +fd +00 +5f +10 +11 +10 +88 +10 +cb +10 +06 +40 +1e +30 +01 +60 +ab +30 +87 +70 +73 +20 +50 +20 +d3 +00 +fd +00 +a6 +00 +e4 +00 +54 +10 +80 +20 +53 +30 +e5 +50 +be +30 +63 +60 +a8 +50 +e2 +90 +8a +60 +57 +a0 +90 +50 +c6 +60 +b2 +50 +33 +90 +72 +60 +30 +a0 +d1 +50 +45 +60 +ea +30 +d1 +60 +2d +60 +63 +a0 +1c +70 +62 +a0 +13 +70 +56 +a0 +d2 +60 +cd +90 +b0 +60 +a8 +90 +d7 +60 +d4 +90 +3f +60 +39 +80 +a4 +40 +73 +40 +1d +40 +08 +80 +9c +50 +51 +90 +2c +60 +7e +90 +30 +60 +45 +90 +20 +60 +2b +90 +e2 +50 +7b +80 +d5 +40 +33 +70 +12 +30 +3d +50 +47 +20 +30 +30 +2d +20 +79 +30 +66 +20 +18 +20 +9d +10 +58 +10 +d8 +00 +26 +10 +a1 +00 +df +00 +6e +00 +b6 +00 +d8 +00 +72 +10 +ce +00 +b9 +00 +5a +00 +74 +00 +3b +00 +5f +00 +3e +00 +65 +00 +69 +00 +4c +10 +6a +00 +6c +00 +36 +00 +64 +00 +41 +00 +5c +00 +5b +00 +58 +00 +5f +00 +5c +00 +45 +00 +57 +00 +3d +00 +70 +00 +42 +00 +4a +00 +2c +00 +3d +00 +2f +00 +40 +00 +21 +00 +41 +00 +2b +00 +45 +00 +25 +00 +37 +00 +25 +00 +41 +00 +2b +00 +4e +00 +2c +00 +3d +00 +2b +00 +42 +00 +26 +00 +3e +00 +27 +00 +43 +00 +26 +00 +48 +00 +24 +00 +47 +00 +24 +00 +49 +00 +2f +00 +65 +00 +2f +00 +5e +00 +31 +00 +4b +00 +26 +00 +3e +00 +25 +00 +41 +00 +20 +00 +53 +00 +28 +00 +7f +00 +3f +00 +57 +00 +25 +00 +39 +00 +1e +00 +39 +00 +22 +00 +32 +00 +20 +00 +37 +00 +19 +00 +2e +00 +1b +00 +2d +00 +18 +00 +24 +00 +1a +00 +2c +00 +1e +00 +3a +00 +19 +00 +32 +00 +25 +00 +39 +00 +2a +00 +51 +00 +26 +00 +48 +00 +2a +00 +45 +00 +23 +00 +34 +00 +20 +00 +3f +00 +1e +00 +35 +00 +22 +00 +3a +00 +24 +00 +3e +00 +1c +00 +34 +00 +29 +00 +3b +00 +4b +00 +8b +10 +9d +00 +6f +00 +3e +00 +4b +00 +30 +00 +41 +00 +23 +00 +4a +00 +22 +00 +3d +00 +1f +00 +37 +00 +1a +00 +33 +00 +24 +00 +3c +00 +1f +00 +3b +00 +24 +00 +41 +00 +21 +00 +47 +00 +2f +00 +3e +00 +25 +00 +3d +00 +1e +00 +3f +00 +1f +00 +35 +00 +20 +00 +36 +00 +19 +00 +38 +00 +24 +00 +38 +00 +23 +00 +4e +00 +3c +00 +5c +00 +41 +00 +7b +00 +43 +00 +70 +00 +3d +00 +41 +00 +25 +00 +3f +00 +23 +00 +41 +00 +32 +00 +88 +00 +28 +00 +4a +00 +30 +00 +42 +00 +38 +00 +85 +00 +f7 +00 +d3 +10 +6c +00 +6d +00 +3f +00 +62 +00 +43 +00 +7b +00 +3b +00 +76 +00 +44 +00 +4c +00 +26 +00 +3c +00 +25 +00 +38 +00 +23 +00 +43 +00 +27 +00 +3b +00 +20 +00 +35 +00 +23 +00 +2b +00 +20 +00 +37 +00 +2d +00 +4c +00 +2b +00 +40 +00 +2a +00 +46 +00 +25 +00 +44 +00 +25 +00 +4f +00 +29 +00 +46 +00 +26 +00 +4c +00 +2e +00 +49 +00 +28 +00 +47 +00 +29 +00 +46 +00 +2a +00 +43 +00 +29 +00 +45 +00 +29 +00 +4c +00 +43 +00 +58 +00 +cf +00 +91 +20 +f7 +10 +70 +30 +34 +20 +97 +20 +ff +00 +64 +10 +0b +10 +ac +20 +28 +10 +0a +10 +75 +00 +7e +00 +41 +00 +53 +00 +41 +00 +56 +00 +46 +00 +be +00 +5e +00 +9e +00 +52 +00 +45 +00 +23 +00 +37 +00 +2c +00 +40 +00 +36 +00 +54 +00 +a0 +00 +04 +30 +73 +20 +db +50 +98 +30 +6a +60 +69 +20 +e1 +10 +b8 +00 +65 +00 +3b +00 +4c +00 +27 +00 +4f +00 +28 +00 +4c +00 +32 +00 +4d +00 +29 +00 +4b +00 +4b +00 +72 +00 +2f +00 +44 +00 +27 +00 +4a +00 +26 +00 +3b +00 +21 +00 +3b +00 +18 +00 +3b +00 +1c +00 +31 +00 +1c +00 +2d +00 +22 +00 +25 +00 +1d +00 +2e +00 +1d +00 +29 +00 +21 +00 +30 +00 +20 +00 +31 +00 +24 +00 +34 +00 +1c +00 +34 +00 +21 +00 +2d +00 +1c +00 +2c +00 +21 +00 +00 +00 +00 +00 +22 +00 +6e +00 +2a +00 +97 +00 +33 +00 +a3 +00 +2b +00 +8c +00 +34 +00 +85 +00 +3f +00 +99 +00 +37 +00 +a5 +00 +3a +00 +8d +00 +3c +00 +9e +00 +3a +00 +9c +00 +35 +00 +aa +00 +35 +00 +9d +00 +39 +00 +9f +00 +34 +00 +aa +00 +37 +00 +a3 +00 +3f +00 +9b +00 +3b +00 +a8 +00 +40 +00 +a3 +00 +34 +00 +a0 +00 +36 +00 +8e +00 +27 +00 +7d +00 +2e +00 +71 +00 +23 +00 +79 +00 +2c +00 +80 +00 +2e +00 +61 +00 +26 +00 +75 +00 +42 +00 +08 +10 +81 +00 +1a +20 +aa +00 +82 +10 +7d +00 +0b +10 +4f +00 +e6 +00 +5b +00 +13 +10 +03 +10 +f4 +40 +e3 +10 +55 +30 +b8 +00 +f6 +30 +76 +10 +bb +20 +79 +00 +22 +10 +53 +00 +ed +00 +4c +00 +ea +00 +45 +00 +df +00 +46 +00 +de +00 +4e +00 +20 +10 +6c +00 +a2 +10 +bb +00 +14 +30 +0e +20 +cd +60 +04 +30 +9d +70 +c4 +10 +93 +50 +c4 +20 +37 +80 +29 +30 +db +80 +b9 +20 +ae +60 +62 +10 +7c +20 +d4 +00 +57 +30 +5f +10 +f2 +20 +8b +00 +7b +10 +8b +00 +c4 +10 +ba +00 +26 +20 +b0 +00 +a7 +10 +76 +00 +33 +10 +66 +00 +24 +10 +d6 +00 +45 +30 +d9 +00 +9f +20 +0a +10 +59 +30 +3c +10 +ef +20 +84 +00 +20 +10 +50 +00 +db +00 +53 +00 +42 +10 +67 +10 +ca +40 +a4 +10 +6f +40 +89 +20 +90 +80 +b7 +30 +31 +a0 +5c +30 +b7 +70 +9b +20 +78 +80 +69 +30 +14 +a0 +b0 +30 +d1 +90 +d7 +10 +07 +40 +a6 +20 +42 +90 +c9 +30 +77 +a0 +c8 +30 +4e +a0 +92 +30 +2b +a0 +86 +30 +0f +a0 +a6 +30 +ee +90 +6a +30 +b5 +90 +d1 +20 +70 +70 +73 +20 +6c +50 +80 +10 +d6 +50 +5d +20 +49 +70 +a1 +20 +68 +70 +8d +20 +4a +70 +77 +20 +17 +70 +80 +20 +5e +60 +94 +10 +17 +40 +ed +00 +80 +20 +c1 +00 +00 +30 +ac +10 +f2 +40 +c6 +10 +24 +40 +a0 +00 +33 +10 +49 +00 +c9 +00 +33 +00 +9d +00 +4e +00 +66 +10 +39 +10 +cc +20 +5d +00 +96 +00 +2e +00 +73 +00 +28 +00 +5f +00 +22 +00 +7f +00 +64 +00 +0c +10 +31 +00 +4b +00 +27 +00 +67 +00 +25 +00 +77 +00 +4a +00 +e4 +00 +37 +00 +7d +00 +21 +00 +6b +00 +20 +00 +5d +00 +17 +00 +45 +00 +15 +00 +3b +00 +1a +00 +3d +00 +1c +00 +40 +00 +12 +00 +3c +00 +13 +00 +36 +00 +15 +00 +3e +00 +19 +00 +41 +00 +18 +00 +4d +00 +13 +00 +47 +00 +1f +00 +3c +00 +1a +00 +40 +00 +13 +00 +40 +00 +22 +00 +4c +00 +19 +00 +4f +00 +1c +00 +65 +00 +21 +00 +49 +00 +1b +00 +42 +00 +1c +00 +45 +00 +15 +00 +40 +00 +1d +00 +59 +00 +1e +00 +47 +00 +1b +00 +38 +00 +13 +00 +26 +00 +13 +00 +39 +00 +16 +00 +36 +00 +0f +00 +38 +00 +0e +00 +28 +00 +0b +00 +28 +00 +0e +00 +2f +00 +12 +00 +2f +00 +15 +00 +3b +00 +17 +00 +41 +00 +1b +00 +4f +00 +1c +00 +48 +00 +12 +00 +46 +00 +17 +00 +40 +00 +10 +00 +40 +00 +13 +00 +35 +00 +13 +00 +46 +00 +15 +00 +39 +00 +18 +00 +36 +00 +18 +00 +37 +00 +16 +00 +4b +00 +2f +00 +95 +00 +31 +00 +59 +00 +1a +00 +3e +00 +16 +00 +3b +00 +12 +00 +49 +00 +1a +00 +35 +00 +10 +00 +30 +00 +13 +00 +36 +00 +10 +00 +36 +00 +19 +00 +36 +00 +18 +00 +2d +00 +15 +00 +38 +00 +16 +00 +42 +00 +18 +00 +41 +00 +17 +00 +2d +00 +15 +00 +38 +00 +17 +00 +38 +00 +17 +00 +33 +00 +16 +00 +3e +00 +18 +00 +50 +00 +20 +00 +70 +00 +25 +00 +6b +00 +1a +00 +3d +00 +17 +00 +40 +00 +16 +00 +38 +00 +20 +00 +40 +00 +1c +00 +54 +00 +1a +00 +3a +00 +18 +00 +48 +00 +2b +00 +e7 +00 +65 +00 +cc +00 +2a +00 +59 +00 +20 +00 +6c +00 +24 +00 +66 +00 +20 +00 +53 +00 +15 +00 +45 +00 +16 +00 +41 +00 +18 +00 +46 +00 +19 +00 +3d +00 +18 +00 +3f +00 +17 +00 +34 +00 +15 +00 +2b +00 +16 +00 +3a +00 +19 +00 +48 +00 +16 +00 +45 +00 +15 +00 +41 +00 +17 +00 +53 +00 +23 +00 +3d +00 +1c +00 +4a +00 +18 +00 +3e +00 +1d +00 +49 +00 +1e +00 +44 +00 +23 +00 +52 +00 +14 +00 +54 +00 +1c +00 +4f +00 +2a +00 +6f +00 +32 +00 +f6 +00 +9f +00 +d6 +10 +9d +10 +45 +50 +7c +10 +01 +20 +71 +00 +29 +10 +b1 +00 +13 +20 +5d +00 +ae +00 +23 +00 +5e +00 +18 +00 +4f +00 +1c +00 +5c +00 +26 +00 +67 +00 +1f +00 +5a +00 +19 +00 +3c +00 +16 +00 +3a +00 +18 +00 +47 +00 +1d +00 +a6 +00 +b8 +00 +89 +30 +ed +10 +fc +50 +24 +10 +c1 +20 +6d +00 +c9 +00 +1d +00 +50 +00 +1a +00 +52 +00 +22 +00 +4e +00 +1d +00 +3c +00 +1d +00 +48 +00 +23 +00 +6f +00 +22 +00 +50 +00 +1c +00 +38 +00 +15 +00 +36 +00 +19 +00 +33 +00 +17 +00 +33 +00 +13 +00 +31 +00 +14 +00 +36 +00 +11 +00 +24 +00 +10 +00 +30 +00 +0f +00 +26 +00 +0d +00 +3a +00 +16 +00 +2f +00 +10 +00 +25 +00 +13 +00 +35 +00 +14 +00 +25 +00 +0c +00 +31 +00 +12 +00 +23 +00 +00 +00 +00 +00 +66 +00 +51 +00 +82 +00 +62 +00 +8b +00 +74 +00 +98 +00 +5a +00 +a2 +00 +5d +00 +97 +00 +64 +00 +90 +00 +6f +00 +9c +00 +6f +00 +9d +00 +71 +00 +b0 +00 +6a +00 +a1 +00 +6a +00 +b1 +00 +70 +00 +94 +00 +73 +00 +ad +00 +69 +00 +a5 +00 +7a +00 +9f +00 +6d +00 +a5 +00 +67 +00 +a3 +00 +71 +00 +96 +00 +68 +00 +9b +00 +4f +00 +83 +00 +40 +00 +79 +00 +92 +00 +0c +10 +8c +00 +83 +00 +4a +00 +7e +00 +3f +00 +6d +00 +50 +00 +b1 +00 +b0 +00 +6b +10 +a7 +00 +5a +10 +96 +00 +ca +00 +79 +00 +db +00 +84 +00 +c0 +00 +cf +00 +86 +10 +25 +20 +ff +40 +fa +20 +a2 +20 +9b +10 +f2 +20 +5d +10 +30 +10 +b1 +00 +b4 +00 +99 +00 +d4 +00 +7a +00 +db +00 +82 +00 +c6 +00 +9c +00 +d0 +00 +c3 +00 +0a +10 +25 +10 +b7 +10 +a2 +20 +a8 +50 +05 +40 +47 +60 +27 +40 +60 +50 +90 +30 +49 +40 +19 +40 +b1 +50 +e0 +30 +79 +50 +73 +20 +76 +30 +be +10 +03 +20 +60 +10 +7d +20 +63 +10 +7e +10 +00 +10 +62 +10 +1f +10 +94 +10 +06 +10 +90 +10 +e8 +00 +23 +10 +ae +00 +f8 +00 +f4 +00 +1a +20 +e3 +20 +80 +40 +0d +20 +23 +20 +a9 +10 +27 +20 +3c +10 +6b +10 +a4 +00 +d6 +00 +98 +00 +e3 +00 +57 +10 +c2 +30 +8b +20 +ba +40 +b8 +30 +96 +60 +d8 +50 +1d +a0 +94 +60 +7c +90 +17 +50 +b2 +70 +29 +60 +cb +90 +e5 +60 +41 +a0 +f0 +50 +c5 +60 +b6 +30 +68 +50 +ac +50 +55 +a0 +33 +70 +97 +a0 +fc +60 +5a +a0 +25 +70 +26 +a0 +04 +70 +d3 +90 +09 +70 +d1 +90 +1d +60 +d7 +80 +d3 +50 +26 +80 +bb +50 +d2 +50 +65 +40 +70 +70 +f3 +50 +60 +80 +15 +60 +c6 +80 +3e +60 +a1 +80 +da +50 +2d +80 +50 +40 +f2 +40 +4d +20 +82 +20 +a3 +10 +44 +20 +69 +30 +4e +60 +29 +40 +16 +70 +be +20 +af +20 +1f +10 +fd +00 +ae +00 +bc +00 +71 +00 +a1 +00 +b2 +00 +db +10 +bd +00 +43 +10 +77 +00 +81 +00 +4f +00 +6b +00 +42 +00 +68 +00 +40 +00 +84 +00 +57 +00 +8e +00 +71 +00 +82 +00 +aa +00 +b1 +00 +4d +10 +95 +20 +ea +00 +f7 +00 +5f +00 +6e +00 +38 +00 +57 +00 +30 +00 +4d +00 +28 +00 +47 +00 +2e +00 +3e +00 +2b +00 +43 +00 +21 +00 +45 +00 +20 +00 +3a +00 +25 +00 +3b +00 +2b +00 +43 +00 +29 +00 +43 +00 +21 +00 +34 +00 +2a +00 +3a +00 +25 +00 +3f +00 +23 +00 +44 +00 +22 +00 +46 +00 +24 +00 +46 +00 +29 +00 +4e +00 +2a +00 +47 +00 +22 +00 +42 +00 +28 +00 +47 +00 +22 +00 +3f +00 +1e +00 +3d +00 +23 +00 +49 +00 +26 +00 +3e +00 +22 +00 +33 +00 +1c +00 +35 +00 +20 +00 +36 +00 +1c +00 +2d +00 +1e +00 +28 +00 +18 +00 +2b +00 +1b +00 +28 +00 +18 +00 +35 +00 +20 +00 +3f +00 +26 +00 +39 +00 +1c +00 +4b +00 +35 +00 +4f +00 +22 +00 +45 +00 +23 +00 +3c +00 +22 +00 +3b +00 +1c +00 +33 +00 +28 +00 +35 +00 +1d +00 +3c +00 +1d +00 +3b +00 +1a +00 +34 +00 +1f +00 +3c +00 +24 +00 +59 +00 +32 +00 +5f +00 +3d +00 +3d +00 +24 +00 +38 +00 +1d +00 +3d +00 +23 +00 +3a +00 +1d +00 +32 +00 +18 +00 +30 +00 +23 +00 +31 +00 +1b +00 +3f +00 +1b +00 +2e +00 +21 +00 +34 +00 +20 +00 +40 +00 +20 +00 +4c +00 +20 +00 +37 +00 +1e +00 +42 +00 +26 +00 +3b +00 +25 +00 +36 +00 +1e +00 +32 +00 +21 +00 +42 +00 +24 +00 +43 +00 +33 +00 +47 +00 +2e +00 +4d +00 +31 +00 +44 +00 +1b +00 +35 +00 +27 +00 +3c +00 +2e +00 +4d +00 +23 +00 +42 +00 +2c +00 +33 +00 +2f +00 +5c +00 +43 +00 +81 +00 +3f +00 +68 +00 +42 +00 +5b +00 +44 +00 +52 +00 +74 +00 +86 +00 +2f +00 +4b +00 +2b +00 +47 +00 +23 +00 +43 +00 +1f +00 +32 +00 +1f +00 +36 +00 +1d +00 +37 +00 +1e +00 +2a +00 +1e +00 +31 +00 +1e +00 +40 +00 +22 +00 +48 +00 +22 +00 +46 +00 +24 +00 +43 +00 +29 +00 +4d +00 +26 +00 +4c +00 +21 +00 +41 +00 +27 +00 +49 +00 +24 +00 +43 +00 +26 +00 +50 +00 +23 +00 +55 +00 +28 +00 +47 +00 +59 +00 +b5 +00 +5a +10 +5c +10 +c0 +00 +b3 +00 +d9 +00 +94 +10 +0a +10 +57 +20 +07 +10 +10 +10 +9c +00 +dd +00 +94 +00 +83 +10 +78 +00 +71 +00 +43 +00 +4d +00 +36 +00 +4b +00 +3e +00 +51 +00 +3f +00 +53 +00 +31 +00 +37 +00 +2a +00 +3a +00 +26 +00 +40 +00 +3d +00 +4f +00 +8a +00 +15 +10 +23 +10 +ea +20 +15 +20 +91 +20 +41 +10 +51 +10 +b9 +00 +8b +00 +54 +00 +55 +00 +4a +00 +63 +00 +45 +00 +45 +00 +2d +00 +42 +00 +28 +00 +40 +00 +2f +00 +4b +00 +34 +00 +52 +00 +24 +00 +3f +00 +21 +00 +37 +00 +1d +00 +30 +00 +23 +00 +2f +00 +1d +00 +30 +00 +16 +00 +2a +00 +16 +00 +28 +00 +22 +00 +30 +00 +1a +00 +30 +00 +19 +00 +2c +00 +1f +00 +34 +00 +1a +00 +31 +00 +1b +00 +2f +00 +22 +00 +24 +00 +1b +00 +26 +00 +1d +00 +00 +00 +00 +00 +29 +00 +7c +00 +31 +00 +84 +00 +33 +00 +8d +00 +35 +00 +a3 +00 +33 +00 +9c +00 +3b +00 +91 +00 +3e +00 +ac +00 +32 +00 +a1 +00 +2b +00 +a4 +00 +3d +00 +9b +00 +35 +00 +a0 +00 +37 +00 +a1 +00 +37 +00 +af +00 +3b +00 +a1 +00 +36 +00 +a8 +00 +47 +00 +ab +00 +3f +00 +b2 +00 +37 +00 +ad +00 +38 +00 +a7 +00 +2d +00 +96 +00 +2c +00 +75 +00 +24 +00 +ce +00 +ea +00 +a9 +10 +3a +00 +74 +00 +2a +00 +71 +00 +2d +00 +73 +00 +35 +00 +ab +00 +52 +00 +f0 +00 +43 +00 +bb +00 +42 +00 +a5 +00 +3a +00 +b3 +00 +41 +00 +ce +00 +7c +00 +ac +20 +13 +20 +cb +50 +f5 +00 +e7 +10 +84 +00 +68 +10 +4a +00 +b6 +00 +44 +00 +ab +00 +3f +00 +ba +00 +43 +00 +b6 +00 +50 +00 +ca +00 +46 +00 +d3 +00 +4f +00 +0b +10 +73 +00 +ad +20 +cc +10 +f7 +50 +31 +20 +59 +60 +12 +20 +9e +40 +23 +20 +b2 +60 +93 +20 +8e +60 +78 +10 +a6 +20 +b6 +00 +bb +10 +80 +00 +ae +10 +8b +00 +5a +10 +71 +00 +35 +10 +67 +00 +47 +10 +7c +00 +51 +10 +5c +00 +ff +00 +50 +00 +ec +00 +5b +00 +f0 +00 +af +00 +48 +40 +65 +20 +e1 +40 +b3 +00 +eb +10 +8b +00 +5d +10 +5b +00 +e0 +00 +52 +00 +c7 +00 +5b +00 +3a +10 +d7 +00 +02 +30 +fc +10 +48 +50 +84 +20 +df +80 +9c +30 +44 +a0 +97 +20 +d1 +60 +fe +20 +26 +90 +7e +30 +3a +a0 +93 +30 +e2 +90 +01 +20 +b9 +30 +f1 +10 +54 +80 +ad +30 +a6 +a0 +c1 +30 +59 +a0 +a0 +30 +47 +a0 +a2 +30 +4b +a0 +ab +30 +1d +a0 +72 +30 +be +90 +f0 +20 +1d +80 +17 +30 +0f +90 +b6 +20 +b6 +50 +61 +20 +8b +80 +42 +30 +6d +90 +3c +30 +9a +90 +67 +30 +3f +90 +b2 +20 +27 +60 +02 +20 +b1 +30 +a6 +00 +cc +10 +65 +10 +29 +60 +9f +20 +a0 +60 +32 +20 +87 +40 +aa +00 +61 +10 +c7 +00 +e0 +10 +46 +00 +ac +00 +30 +00 +ad +00 +43 +00 +e6 +00 +42 +00 +9c +00 +2a +00 +81 +00 +26 +00 +70 +00 +21 +00 +7a +00 +30 +00 +81 +00 +26 +00 +87 +00 +d9 +00 +66 +20 +be +00 +5a +20 +e8 +00 +86 +10 +4a +00 +92 +00 +23 +00 +50 +00 +1c +00 +51 +00 +23 +00 +49 +00 +1d +00 +43 +00 +1d +00 +5a +00 +25 +00 +4a +00 +14 +00 +36 +00 +18 +00 +34 +00 +14 +00 +3e +00 +19 +00 +39 +00 +1c +00 +39 +00 +10 +00 +37 +00 +16 +00 +34 +00 +15 +00 +3c +00 +16 +00 +41 +00 +19 +00 +4a +00 +22 +00 +41 +00 +1a +00 +48 +00 +18 +00 +3d +00 +18 +00 +44 +00 +17 +00 +3b +00 +1b +00 +43 +00 +14 +00 +3f +00 +16 +00 +40 +00 +11 +00 +38 +00 +17 +00 +30 +00 +0e +00 +31 +00 +15 +00 +37 +00 +16 +00 +2f +00 +10 +00 +2f +00 +0c +00 +36 +00 +12 +00 +39 +00 +17 +00 +3c +00 +1d +00 +41 +00 +17 +00 +44 +00 +18 +00 +77 +00 +26 +00 +3f +00 +1a +00 +32 +00 +17 +00 +32 +00 +14 +00 +39 +00 +17 +00 +32 +00 +1a +00 +38 +00 +16 +00 +3a +00 +14 +00 +35 +00 +19 +00 +2b +00 +13 +00 +39 +00 +1b +00 +6c +00 +1e +00 +4c +00 +19 +00 +36 +00 +17 +00 +35 +00 +15 +00 +3d +00 +18 +00 +30 +00 +14 +00 +3a +00 +15 +00 +3d +00 +1a +00 +36 +00 +14 +00 +3b +00 +17 +00 +39 +00 +1e +00 +37 +00 +17 +00 +4a +00 +17 +00 +3e +00 +16 +00 +32 +00 +1e +00 +3d +00 +19 +00 +40 +00 +19 +00 +36 +00 +15 +00 +39 +00 +1e +00 +52 +00 +14 +00 +3f +00 +22 +00 +47 +00 +1c +00 +53 +00 +23 +00 +38 +00 +18 +00 +3b +00 +17 +00 +42 +00 +17 +00 +4a +00 +17 +00 +42 +00 +1c +00 +53 +00 +1c +00 +5b +00 +25 +00 +5d +00 +1d +00 +53 +00 +27 +00 +60 +00 +23 +00 +a4 +00 +3d +00 +56 +00 +1e +00 +4f +00 +1b +00 +3f +00 +1b +00 +45 +00 +13 +00 +38 +00 +14 +00 +37 +00 +12 +00 +2b +00 +11 +00 +36 +00 +14 +00 +2e +00 +18 +00 +41 +00 +14 +00 +46 +00 +1b +00 +3e +00 +1c +00 +49 +00 +1f +00 +4b +00 +1e +00 +38 +00 +15 +00 +41 +00 +16 +00 +3a +00 +16 +00 +3f +00 +1b +00 +45 +00 +1b +00 +4c +00 +1d +00 +70 +00 +e5 +00 +b0 +30 +ab +00 +50 +10 +47 +00 +fd +00 +49 +00 +02 +10 +60 +00 +1d +10 +3a +00 +b0 +00 +29 +00 +a0 +00 +34 +00 +93 +00 +21 +00 +63 +00 +1c +00 +4b +00 +20 +00 +42 +00 +1d +00 +3a +00 +18 +00 +36 +00 +16 +00 +33 +00 +13 +00 +3f +00 +17 +00 +51 +00 +67 +00 +15 +10 +4d +00 +07 +10 +9a +00 +e0 +30 +cf +00 +6f +10 +7e +00 +6e +10 +52 +00 +a6 +00 +33 +00 +90 +00 +2e +00 +70 +00 +1f +00 +52 +00 +18 +00 +46 +00 +1c +00 +50 +00 +1f +00 +76 +00 +28 +00 +4c +00 +12 +00 +3f +00 +17 +00 +30 +00 +10 +00 +2b +00 +0e +00 +29 +00 +10 +00 +2e +00 +14 +00 +2d +00 +13 +00 +2c +00 +17 +00 +30 +00 +15 +00 +26 +00 +11 +00 +2b +00 +13 +00 +2d +00 +10 +00 +30 +00 +0e +00 +2b +00 +15 +00 +29 +00 +15 +00 +2d +00 +00 +00 +00 +00 +70 +00 +5a +00 +82 +00 +64 +00 +8c +00 +64 +00 +8f +00 +69 +00 +98 +00 +68 +00 +ad +00 +63 +00 +a2 +00 +6e +00 +ab +00 +67 +00 +a4 +00 +69 +00 +b3 +00 +70 +00 +b0 +00 +64 +00 +b0 +00 +74 +00 +a1 +00 +69 +00 +a3 +00 +6d +00 +ad +00 +7b +00 +ae +00 +7c +00 +b0 +00 +76 +00 +97 +00 +67 +00 +96 +00 +75 +00 +a7 +00 +6c +00 +75 +00 +55 +00 +81 +00 +5d +00 +08 +10 +81 +00 +d4 +00 +48 +00 +79 +00 +46 +00 +6a +00 +50 +00 +93 +00 +54 +00 +9d +00 +73 +00 +c1 +00 +5f +00 +9a +00 +6d +00 +9b +00 +7a +00 +b2 +00 +c9 +00 +15 +10 +5d +20 +17 +50 +4c +30 +e9 +30 +95 +10 +31 +10 +a1 +00 +c0 +00 +6f +00 +a0 +00 +4f +00 +97 +00 +64 +00 +95 +00 +76 +00 +31 +10 +93 +00 +d5 +00 +8f +00 +c9 +00 +10 +10 +72 +10 +a7 +20 +77 +60 +11 +50 +74 +80 +67 +50 +f6 +70 +42 +40 +96 +50 +55 +40 +76 +70 +86 +20 +17 +30 +75 +10 +db +10 +0f +10 +5c +10 +e8 +00 +2e +10 +b7 +00 +1b +10 +b0 +00 +07 +10 +c2 +00 +3d +10 +c0 +00 +e8 +00 +91 +00 +d3 +00 +8e +00 +dc +00 +e0 +00 +51 +10 +d9 +20 +15 +60 +a5 +30 +0b +40 +ef +10 +91 +10 +d2 +00 +fb +00 +8b +00 +d2 +00 +93 +00 +e7 +00 +eb +00 +63 +10 +23 +20 +e7 +30 +f3 +30 +22 +70 +5a +60 +54 +a0 +03 +60 +62 +70 +dd +50 +4f +80 +70 +60 +0c +a0 +e8 +60 +28 +a0 +cf +50 +1e +60 +6c +30 +ce +30 +53 +50 +e0 +90 +dc +60 +5d +a0 +41 +70 +65 +a0 +1d +70 +89 +a0 +07 +70 +19 +a0 +e3 +60 +f6 +90 +70 +60 +f7 +80 +0b +60 +d7 +80 +07 +60 +9a +80 +af +50 +21 +50 +a5 +40 +a6 +80 +23 +60 +8a +90 +94 +60 +68 +90 +88 +50 +c3 +60 +b6 +40 +c8 +60 +b6 +20 +35 +20 +96 +10 +cd +20 +fc +20 +46 +70 +dd +20 +19 +40 +a4 +10 +d8 +10 +dd +00 +39 +10 +e9 +00 +38 +10 +92 +00 +96 +00 +68 +00 +ae +00 +75 +00 +a0 +00 +59 +00 +85 +00 +58 +00 +81 +00 +5a +00 +6c +00 +57 +00 +89 +00 +4c +00 +77 +00 +02 +10 +3a +30 +b8 +20 +5e +40 +85 +10 +68 +10 +cd +00 +c3 +00 +69 +00 +5e +00 +33 +00 +48 +00 +30 +00 +4f +00 +28 +00 +4a +00 +34 +00 +5f +00 +38 +00 +48 +00 +31 +00 +53 +00 +2b +00 +38 +00 +2d +00 +7a +00 +35 +00 +36 +00 +27 +00 +34 +00 +1d +00 +37 +00 +22 +00 +35 +00 +1f +00 +38 +00 +1b +00 +32 +00 +21 +00 +49 +00 +24 +00 +3f +00 +24 +00 +34 +00 +1e +00 +2c +00 +20 +00 +33 +00 +23 +00 +42 +00 +1c +00 +38 +00 +1c +00 +3f +00 +1f +00 +31 +00 +22 +00 +3a +00 +1a +00 +32 +00 +1b +00 +31 +00 +20 +00 +2f +00 +1c +00 +2e +00 +22 +00 +2e +00 +1b +00 +2e +00 +1f +00 +2d +00 +1e +00 +42 +00 +22 +00 +42 +00 +28 +00 +48 +00 +29 +00 +40 +00 +24 +00 +4c +00 +28 +00 +40 +00 +1e +00 +3b +00 +24 +00 +3d +00 +1e +00 +2d +00 +1e +00 +40 +00 +24 +00 +33 +00 +1b +00 +36 +00 +18 +00 +38 +00 +27 +00 +32 +00 +1f +00 +31 +00 +2b +00 +54 +00 +2b +00 +39 +00 +1f +00 +40 +00 +20 +00 +3d +00 +26 +00 +37 +00 +1d +00 +31 +00 +1d +00 +34 +00 +21 +00 +3f +00 +25 +00 +46 +00 +23 +00 +36 +00 +1f +00 +44 +00 +1f +00 +3c +00 +1f +00 +40 +00 +1e +00 +30 +00 +25 +00 +3f +00 +26 +00 +3a +00 +1d +00 +30 +00 +21 +00 +3b +00 +19 +00 +3f +00 +24 +00 +35 +00 +21 +00 +42 +00 +2c +00 +33 +00 +23 +00 +4f +00 +25 +00 +3c +00 +29 +00 +45 +00 +1d +00 +37 +00 +25 +00 +3c +00 +23 +00 +55 +00 +2f +00 +4d +00 +2f +00 +62 +00 +3a +00 +45 +00 +46 +00 +fc +00 +c3 +00 +77 +00 +67 +00 +15 +10 +50 +00 +5c +00 +28 +00 +40 +00 +1f +00 +3e +00 +20 +00 +3b +00 +23 +00 +3a +00 +28 +00 +31 +00 +17 +00 +3b +00 +24 +00 +3d +00 +1c +00 +3b +00 +21 +00 +39 +00 +1e +00 +46 +00 +1b +00 +40 +00 +20 +00 +3e +00 +21 +00 +4d +00 +1e +00 +3b +00 +27 +00 +4a +00 +22 +00 +3b +00 +21 +00 +41 +00 +25 +00 +41 +00 +34 +00 +5d +00 +98 +00 +49 +20 +ce +20 +4a +50 +94 +20 +e8 +20 +f5 +00 +c8 +00 +8d +00 +be +00 +8d +00 +a4 +00 +69 +00 +86 +00 +58 +00 +75 +00 +4d +00 +a5 +00 +84 +00 +71 +00 +34 +00 +50 +00 +27 +00 +41 +00 +1d +00 +32 +00 +21 +00 +3a +00 +22 +00 +39 +00 +23 +00 +3d +00 +42 +00 +d3 +00 +74 +00 +af +00 +a4 +00 +19 +10 +06 +10 +0f +20 +e3 +00 +d0 +00 +7f +00 +8d +00 +66 +00 +43 +10 +70 +10 +2f +10 +5d +00 +52 +00 +35 +00 +4b +00 +29 +00 +43 +00 +27 +00 +50 +00 +2c +00 +62 +00 +2b +00 +3d +00 +1e +00 +29 +00 +1c +00 +2f +00 +1f +00 +2d +00 +16 +00 +2b +00 +1a +00 +27 +00 +1a +00 +36 +00 +1c +00 +2d +00 +16 +00 +37 +00 +19 +00 +2b +00 +1a +00 +36 +00 +21 +00 +36 +00 +22 +00 +2a +00 +1e +00 +2c +00 +1f +00 +30 +00 +1d +00 +00 +00 +00 +00 +32 +00 +82 +00 +36 +00 +98 +00 +38 +00 +9c +00 +31 +00 +94 +00 +38 +00 +8e +00 +33 +00 +97 +00 +35 +00 +a0 +00 +39 +00 +96 +00 +3c +00 +ac +00 +31 +00 +a3 +00 +2e +00 +98 +00 +40 +00 +a1 +00 +33 +00 +9c +00 +39 +00 +99 +00 +3d +00 +9f +00 +46 +00 +99 +00 +34 +00 +a7 +00 +33 +00 +9a +00 +39 +00 +99 +00 +47 +00 +dc +00 +32 +00 +6b +00 +26 +00 +86 +00 +44 +00 +97 +00 +2f +00 +87 +00 +28 +00 +71 +00 +25 +00 +7a +00 +2d +00 +8b +00 +2c +00 +88 +00 +34 +00 +83 +00 +31 +00 +8d +00 +44 +00 +ce +00 +48 +00 +b8 +00 +72 +00 +e5 +20 +2f +20 +e3 +60 +35 +10 +ee +10 +59 +00 +cd +00 +37 +00 +a0 +00 +2d +00 +98 +00 +32 +00 +7d +00 +36 +00 +ae +00 +3c +00 +cb +00 +4d +00 +c3 +00 +47 +00 +1a +10 +a5 +00 +94 +20 +72 +20 +35 +80 +28 +30 +c5 +80 +20 +30 +8e +70 +cb +10 +fa +50 +93 +10 +01 +30 +a2 +00 +99 +10 +7f +00 +39 +10 +64 +00 +14 +10 +67 +00 +07 +10 +55 +00 +ea +00 +5b +00 +f0 +00 +4c +00 +f4 +00 +5c +00 +d6 +00 +5b +00 +e0 +00 +4f +00 +dc +00 +ad +00 +58 +40 +bb +20 +34 +70 +05 +20 +34 +40 +f0 +00 +3a +10 +4c +00 +dd +00 +4f +00 +d9 +00 +57 +00 +00 +10 +9a +00 +c7 +20 +1a +20 +73 +50 +e4 +20 +4e +90 +8f +30 +28 +90 +3f +20 +f7 +70 +5c +30 +df +90 +70 +30 +7e +a0 +a7 +30 +3b +90 +ad +10 +47 +40 +30 +10 +45 +60 +98 +30 +d3 +a0 +84 +30 +33 +a0 +a5 +30 +74 +a0 +82 +30 +32 +a0 +7c +30 +0d +a0 +99 +30 +0e +a0 +ef +20 +91 +80 +47 +30 +71 +90 +41 +30 +e5 +80 +30 +20 +f4 +40 +dc +20 +e9 +80 +55 +30 +9b +90 +2e +30 +05 +80 +5d +20 +70 +70 +64 +20 +8b +40 +99 +00 +6b +10 +91 +00 +96 +20 +d2 +10 +92 +40 +c9 +00 +e7 +10 +59 +00 +00 +10 +8e +00 +03 +20 +8c +00 +f2 +00 +32 +00 +9b +00 +31 +00 +ad +00 +33 +00 +80 +00 +2b +00 +77 +00 +3d +00 +8f +00 +2e +00 +81 +00 +2f +00 +71 +00 +3a +00 +1e +10 +bc +10 +ad +40 +4f +10 +55 +20 +73 +00 +d5 +00 +2f +00 +77 +00 +21 +00 +4b +00 +1f +00 +4c +00 +1a +00 +4b +00 +1b +00 +44 +00 +1f +00 +55 +00 +1c +00 +55 +00 +21 +00 +4c +00 +12 +00 +55 +00 +3f +00 +7e +00 +17 +00 +39 +00 +13 +00 +33 +00 +16 +00 +32 +00 +12 +00 +2e +00 +11 +00 +32 +00 +15 +00 +31 +00 +0f +00 +33 +00 +16 +00 +3a +00 +17 +00 +34 +00 +17 +00 +35 +00 +17 +00 +43 +00 +1b +00 +3d +00 +1a +00 +37 +00 +12 +00 +3a +00 +12 +00 +2e +00 +13 +00 +2f +00 +15 +00 +2b +00 +11 +00 +2f +00 +11 +00 +2f +00 +13 +00 +30 +00 +0e +00 +25 +00 +16 +00 +35 +00 +13 +00 +37 +00 +19 +00 +36 +00 +17 +00 +49 +00 +19 +00 +3f +00 +13 +00 +42 +00 +16 +00 +45 +00 +1b +00 +3b +00 +17 +00 +48 +00 +1c +00 +3f +00 +11 +00 +3a +00 +15 +00 +35 +00 +13 +00 +37 +00 +1b +00 +40 +00 +1f +00 +3c +00 +16 +00 +2b +00 +14 +00 +37 +00 +16 +00 +3f +00 +16 +00 +38 +00 +16 +00 +32 +00 +16 +00 +3b +00 +1a +00 +3b +00 +0d +00 +32 +00 +1b +00 +51 +00 +14 +00 +3c +00 +16 +00 +34 +00 +1e +00 +3c +00 +17 +00 +43 +00 +1b +00 +3d +00 +19 +00 +34 +00 +16 +00 +36 +00 +15 +00 +41 +00 +19 +00 +42 +00 +19 +00 +3b +00 +14 +00 +38 +00 +16 +00 +36 +00 +18 +00 +44 +00 +19 +00 +3a +00 +15 +00 +45 +00 +19 +00 +3e +00 +22 +00 +47 +00 +16 +00 +46 +00 +1a +00 +48 +00 +15 +00 +41 +00 +20 +00 +46 +00 +1e +00 +47 +00 +1b +00 +4b +00 +18 +00 +58 +00 +32 +00 +f7 +00 +3a +00 +8d +00 +6e +00 +a0 +00 +20 +00 +52 +00 +1e +00 +49 +00 +15 +00 +3d +00 +16 +00 +3b +00 +19 +00 +38 +00 +15 +00 +31 +00 +11 +00 +2f +00 +15 +00 +3c +00 +18 +00 +3a +00 +1a +00 +38 +00 +1a +00 +3c +00 +15 +00 +3c +00 +17 +00 +45 +00 +15 +00 +3d +00 +18 +00 +3d +00 +18 +00 +49 +00 +16 +00 +32 +00 +1d +00 +3f +00 +17 +00 +45 +00 +22 +00 +9a +00 +1b +10 +3b +50 +90 +10 +48 +30 +d8 +00 +7b +10 +31 +00 +82 +00 +29 +00 +89 +00 +27 +00 +70 +00 +20 +00 +77 +00 +23 +00 +66 +00 +22 +00 +83 +00 +2f +00 +5a +00 +17 +00 +40 +00 +16 +00 +34 +00 +0f +00 +35 +00 +17 +00 +37 +00 +1b +00 +38 +00 +1a +00 +50 +00 +2c +00 +8a +00 +33 +00 +b5 +00 +5b +00 +10 +10 +9b +00 +0b +10 +2b +00 +7e +00 +2f +00 +75 +00 +55 +00 +9c +20 +80 +00 +96 +00 +22 +00 +54 +00 +17 +00 +41 +00 +16 +00 +47 +00 +1e +00 +38 +00 +1c +00 +42 +00 +15 +00 +31 +00 +10 +00 +2e +00 +0c +00 +26 +00 +13 +00 +22 +00 +0e +00 +26 +00 +13 +00 +34 +00 +15 +00 +30 +00 +0c +00 +30 +00 +0f +00 +34 +00 +10 +00 +30 +00 +17 +00 +2e +00 +12 +00 +37 +00 +17 +00 +28 +00 +17 +00 +31 +00 +0e +00 +2e +00 +00 +00 +00 +00 +71 +00 +60 +00 +8c +00 +68 +00 +8c +00 +62 +00 +96 +00 +6b +00 +9a +00 +61 +00 +96 +00 +71 +00 +9e +00 +67 +00 +9c +00 +6c +00 +a5 +00 +70 +00 +a1 +00 +62 +00 +9e +00 +70 +00 +a8 +00 +67 +00 +a9 +00 +73 +00 +9c +00 +71 +00 +ac +00 +6d +00 +b2 +00 +78 +00 +b0 +00 +5c +00 +9b +00 +7d +00 +96 +00 +65 +00 +a1 +00 +44 +00 +7f +00 +4d +00 +68 +00 +42 +00 +8e +00 +47 +00 +7e +00 +45 +00 +5d +00 +5e +00 +86 +00 +4f +00 +7a +00 +5b +00 +80 +00 +53 +00 +7a +00 +4d +00 +8a +00 +7c +00 +c4 +00 +ed +00 +12 +10 +07 +10 +51 +10 +30 +30 +3e +60 +f8 +30 +4a +50 +62 +10 +ed +00 +6d +00 +9f +00 +59 +00 +81 +00 +57 +00 +8b +00 +55 +00 +9d +00 +5a +00 +a6 +00 +73 +00 +b4 +00 +89 +00 +de +00 +9b +10 +b0 +20 +7b +20 +0d +40 +7d +30 +21 +80 +af +40 +7c +80 +0a +40 +3c +50 +09 +20 +eb +20 +7f +10 +98 +10 +d5 +00 +ff +00 +a7 +00 +f6 +00 +8a +00 +fc +00 +8c +00 +fa +00 +89 +00 +c8 +00 +8a +00 +bc +00 +88 +00 +c4 +00 +74 +00 +a7 +00 +74 +00 +b3 +00 +b7 +00 +52 +10 +0f +20 +52 +60 +b7 +30 +35 +60 +06 +20 +74 +20 +ff +00 +f0 +00 +7f +00 +e4 +00 +94 +00 +cc +00 +73 +10 +1c +20 +f9 +30 +f3 +60 +e0 +40 +ff +70 +19 +60 +d2 +90 +87 +50 +fe +60 +39 +60 +ac +90 +1d +70 +a4 +a0 +0e +70 +1b +a0 +3e +50 +67 +50 +45 +40 +d3 +40 +3a +40 +a2 +80 +9b +60 +99 +a0 +cb +60 +3e +a0 +2d +70 +1c +a0 +01 +70 +74 +a0 +de +60 +1f +a0 +96 +60 +5a +80 +2f +60 +0d +90 +50 +60 +a7 +90 +47 +60 +b4 +80 +ac +40 +c5 +40 +13 +50 +eb +80 +6c +50 +04 +80 +40 +40 +11 +70 +2b +40 +c0 +50 +6a +20 +33 +20 +37 +10 +40 +10 +39 +10 +f7 +10 +6f +10 +28 +20 +0d +10 +14 +10 +2e +10 +28 +20 +11 +20 +ae +20 +04 +10 +c7 +00 +cd +00 +c4 +00 +82 +00 +78 +00 +58 +00 +7f +00 +2a +10 +3c +20 +ed +00 +c6 +00 +83 +00 +8b +00 +7e +00 +ab +00 +31 +20 +32 +50 +9c +20 +78 +30 +84 +20 +81 +20 +fa +00 +ab +00 +64 +00 +5d +00 +36 +00 +44 +00 +2e +00 +46 +00 +29 +00 +5d +00 +30 +00 +5b +00 +66 +00 +73 +00 +59 +00 +63 +00 +3f +00 +44 +00 +2d +00 +52 +00 +1d +00 +4e +00 +2e +00 +36 +00 +1f +00 +39 +00 +22 +00 +34 +00 +1a +00 +2c +00 +21 +00 +38 +00 +21 +00 +32 +00 +22 +00 +37 +00 +23 +00 +32 +00 +1f +00 +37 +00 +1f +00 +34 +00 +1e +00 +4a +00 +2b +00 +3e +00 +21 +00 +3d +00 +25 +00 +39 +00 +1e +00 +34 +00 +27 +00 +33 +00 +1d +00 +28 +00 +19 +00 +32 +00 +1c +00 +2f +00 +19 +00 +25 +00 +1d +00 +29 +00 +17 +00 +2f +00 +21 +00 +40 +00 +23 +00 +44 +00 +24 +00 +35 +00 +29 +00 +37 +00 +2c +00 +37 +00 +21 +00 +50 +00 +25 +00 +46 +00 +20 +00 +40 +00 +1e +00 +3a +00 +24 +00 +39 +00 +26 +00 +35 +00 +20 +00 +3c +00 +1a +00 +40 +00 +1f +00 +37 +00 +1f +00 +3f +00 +24 +00 +32 +00 +1d +00 +38 +00 +26 +00 +3c +00 +1a +00 +3c +00 +21 +00 +40 +00 +23 +00 +37 +00 +1d +00 +37 +00 +26 +00 +44 +00 +20 +00 +33 +00 +1a +00 +32 +00 +20 +00 +39 +00 +20 +00 +42 +00 +23 +00 +3d +00 +18 +00 +3a +00 +1d +00 +40 +00 +20 +00 +42 +00 +26 +00 +57 +00 +20 +00 +3c +00 +1d +00 +34 +00 +20 +00 +42 +00 +21 +00 +40 +00 +28 +00 +48 +00 +23 +00 +46 +00 +1e +00 +4e +00 +22 +00 +40 +00 +21 +00 +42 +00 +25 +00 +41 +00 +1d +00 +3f +00 +24 +00 +45 +00 +24 +00 +47 +00 +2b +00 +4a +00 +36 +00 +66 +00 +41 +00 +81 +00 +78 +00 +67 +10 +4f +00 +63 +00 +29 +00 +40 +00 +20 +00 +39 +00 +21 +00 +44 +00 +1f +00 +3b +00 +1c +00 +36 +00 +19 +00 +32 +00 +1c +00 +38 +00 +23 +00 +3a +00 +1e +00 +37 +00 +24 +00 +38 +00 +1f +00 +37 +00 +21 +00 +45 +00 +28 +00 +42 +00 +24 +00 +40 +00 +1e +00 +3e +00 +21 +00 +36 +00 +24 +00 +34 +00 +1e +00 +46 +00 +2f +00 +73 +00 +79 +00 +16 +10 +e3 +00 +d7 +10 +20 +10 +5a +10 +db +00 +b7 +00 +68 +00 +67 +00 +4c +00 +63 +00 +43 +00 +67 +00 +39 +00 +6a +00 +36 +00 +5c +00 +33 +00 +5a +00 +27 +00 +36 +00 +25 +00 +35 +00 +20 +00 +35 +00 +28 +00 +34 +00 +23 +00 +32 +00 +26 +00 +44 +00 +2a +00 +66 +00 +4b +00 +7a +00 +6f +00 +ab +00 +a7 +00 +3a +10 +e6 +00 +9e +00 +7c +00 +68 +00 +57 +00 +8f +00 +85 +00 +fc +00 +6a +00 +69 +00 +3a +00 +3d +00 +30 +00 +3c +00 +1f +00 +3b +00 +1c +00 +45 +00 +23 +00 +3b +00 +19 +00 +35 +00 +23 +00 +31 +00 +1e +00 +27 +00 +17 +00 +29 +00 +15 +00 +32 +00 +1d +00 +2d +00 +1a +00 +2f +00 +1b +00 +2a +00 +1a +00 +2d +00 +1c +00 +34 +00 +1f +00 +2d +00 +1d +00 +2f +00 +1b +00 +2f +00 +1d +00 +31 +00 +1d +00 +00 +00 +00 +00 +29 +00 +8e +00 +31 +00 +93 +00 +3c +00 +9e +00 +28 +00 +84 +00 +41 +00 +96 +00 +34 +00 +9c +00 +33 +00 +97 +00 +33 +00 +a7 +00 +3f +00 +91 +00 +3d +00 +a7 +00 +36 +00 +96 +00 +3a +00 +a6 +00 +38 +00 +9e +00 +3b +00 +b1 +00 +3a +00 +a8 +00 +39 +00 +b1 +00 +33 +00 +a8 +00 +36 +00 +94 +00 +36 +00 +96 +00 +3c +00 +7a +00 +28 +00 +64 +00 +23 +00 +73 +00 +2a +00 +70 +00 +26 +00 +67 +00 +3c +00 +03 +10 +44 +00 +77 +00 +25 +00 +72 +00 +2a +00 +73 +00 +32 +00 +74 +00 +2f +00 +b0 +00 +be +00 +78 +20 +7e +00 +1a +10 +e2 +00 +02 +50 +94 +20 +87 +70 +5f +10 +09 +20 +3f +00 +ab +00 +36 +00 +82 +00 +3b +00 +89 +00 +2a +00 +85 +00 +2e +00 +87 +00 +3f +00 +9b +00 +43 +00 +b1 +00 +8e +00 +3a +30 +55 +10 +38 +40 +cc +10 +aa +40 +b2 +10 +c4 +50 +d1 +10 +bf +40 +0d +10 +9f +20 +8b +00 +83 +10 +5d +00 +f2 +00 +48 +00 +d4 +00 +49 +00 +bc +00 +4c +00 +d3 +00 +4e +00 +d0 +00 +50 +00 +d1 +00 +4d +00 +b4 +00 +3b +00 +b8 +00 +43 +00 +a3 +00 +4f +00 +c9 +00 +5c +00 +ac +10 +04 +10 +c6 +30 +29 +10 +8c +20 +7f +00 +4a +10 +54 +00 +d2 +00 +54 +00 +e3 +00 +6a +00 +e1 +10 +df +10 +52 +70 +c7 +20 +a0 +60 +16 +30 +93 +90 +26 +30 +05 +70 +cc +20 +ea +80 +ab +30 +70 +a0 +af +30 +82 +a0 +a5 +30 +73 +80 +a1 +10 +ee +50 +39 +20 +c4 +40 +eb +20 +9e +90 +c7 +30 +45 +a0 +a0 +30 +01 +a0 +9a +30 +5d +a0 +92 +30 +1d +a0 +82 +30 +c3 +90 +95 +20 +60 +80 +44 +30 +9c +90 +38 +30 +7e +90 +26 +30 +5f +70 +d7 +10 +10 +50 +9a +20 +21 +70 +91 +10 +3d +40 +20 +20 +05 +60 +5b +10 +14 +30 +8e +00 +aa +10 +6f +00 +6b +10 +81 +00 +74 +10 +61 +00 +ff +00 +5e +00 +1e +20 +86 +10 +4a +40 +d1 +00 +67 +10 +b0 +00 +f9 +20 +97 +00 +f1 +00 +32 +00 +74 +00 +4d +00 +74 +20 +60 +10 +0d +30 +62 +00 +b0 +00 +2e +00 +82 +00 +73 +00 +73 +30 +e2 +10 +71 +40 +f8 +10 +7e +50 +74 +10 +fe +10 +3e +00 +73 +00 +26 +00 +58 +00 +1f +00 +4e +00 +1d +00 +44 +00 +20 +00 +4e +00 +1f +00 +91 +00 +b1 +00 +fa +00 +2a +00 +66 +00 +19 +00 +47 +00 +1a +00 +42 +00 +15 +00 +39 +00 +15 +00 +3f +00 +0e +00 +40 +00 +19 +00 +39 +00 +19 +00 +37 +00 +14 +00 +40 +00 +14 +00 +3d +00 +1a +00 +3b +00 +18 +00 +3a +00 +17 +00 +3e +00 +1a +00 +51 +00 +1d +00 +4e +00 +1b +00 +40 +00 +1b +00 +36 +00 +17 +00 +37 +00 +15 +00 +2f +00 +14 +00 +2c +00 +0b +00 +25 +00 +0f +00 +30 +00 +13 +00 +2d +00 +1a +00 +25 +00 +0b +00 +3b +00 +14 +00 +43 +00 +20 +00 +44 +00 +12 +00 +47 +00 +12 +00 +3e +00 +16 +00 +3e +00 +18 +00 +48 +00 +19 +00 +44 +00 +17 +00 +44 +00 +14 +00 +46 +00 +24 +00 +4b +00 +1b +00 +48 +00 +28 +00 +44 +00 +13 +00 +3e +00 +1b +00 +3e +00 +15 +00 +3c +00 +18 +00 +3e +00 +19 +00 +37 +00 +17 +00 +3f +00 +1c +00 +34 +00 +1d +00 +3a +00 +1a +00 +40 +00 +16 +00 +38 +00 +1c +00 +39 +00 +19 +00 +3f +00 +11 +00 +3b +00 +16 +00 +3a +00 +1d +00 +3d +00 +12 +00 +33 +00 +16 +00 +3d +00 +15 +00 +33 +00 +19 +00 +2b +00 +16 +00 +58 +00 +26 +00 +4f +00 +17 +00 +46 +00 +14 +00 +36 +00 +1f +00 +3e +00 +16 +00 +3c +00 +14 +00 +49 +00 +17 +00 +36 +00 +1e +00 +3d +00 +20 +00 +45 +00 +15 +00 +47 +00 +19 +00 +35 +00 +17 +00 +4b +00 +1a +00 +52 +00 +1d +00 +47 +00 +20 +00 +52 +00 +23 +00 +8c +00 +34 +00 +a5 +00 +40 +00 +80 +00 +20 +00 +3c +00 +14 +00 +3d +00 +22 +00 +43 +00 +1d +00 +40 +00 +1c +00 +3a +00 +15 +00 +34 +00 +16 +00 +3a +00 +17 +00 +43 +00 +19 +00 +44 +00 +16 +00 +3c +00 +16 +00 +37 +00 +14 +00 +45 +00 +16 +00 +42 +00 +18 +00 +3c +00 +1b +00 +46 +00 +1b +00 +45 +00 +14 +00 +37 +00 +1d +00 +42 +00 +1c +00 +56 +00 +26 +00 +90 +00 +4b +00 +2a +10 +7a +00 +97 +10 +6f +00 +e2 +00 +2d +00 +73 +00 +1e +00 +5f +00 +22 +00 +53 +00 +20 +00 +58 +00 +22 +00 +59 +00 +20 +00 +51 +00 +18 +00 +3e +00 +16 +00 +34 +00 +14 +00 +35 +00 +12 +00 +35 +00 +14 +00 +3c +00 +1a +00 +37 +00 +19 +00 +43 +00 +24 +00 +56 +00 +28 +00 +69 +00 +39 +00 +21 +10 +f6 +00 +be +20 +4b +00 +99 +00 +26 +00 +a2 +00 +2a +00 +a7 +00 +49 +00 +97 +00 +21 +00 +45 +00 +1d +00 +40 +00 +17 +00 +4a +00 +1e +00 +3f +00 +10 +00 +38 +00 +10 +00 +2f +00 +10 +00 +30 +00 +14 +00 +2a +00 +14 +00 +2e +00 +0d +00 +24 +00 +0f +00 +2f +00 +11 +00 +32 +00 +11 +00 +2b +00 +10 +00 +2a +00 +14 +00 +28 +00 +15 +00 +36 +00 +12 +00 +32 +00 +12 +00 +2e +00 +10 +00 +2a +00 +15 +00 +2f +00 +00 +00 +00 +00 +7d +00 +6c +00 +83 +00 +63 +00 +a0 +00 +5e +00 +92 +00 +63 +00 +92 +00 +5e +00 +96 +00 +67 +00 +9a +00 +6a +00 +a3 +00 +6d +00 +99 +00 +5d +00 +99 +00 +6f +00 +a3 +00 +6e +00 +ac +00 +6e +00 +a2 +00 +75 +00 +b1 +00 +75 +00 +a1 +00 +6f +00 +af +00 +7a +00 +9a +00 +7a +00 +a7 +00 +6f +00 +9f +00 +5d +00 +87 +00 +40 +00 +65 +00 +46 +00 +63 +00 +49 +00 +64 +00 +42 +00 +65 +00 +41 +00 +84 +00 +84 +00 +47 +10 +6c +00 +6d +00 +4c +00 +65 +00 +38 +00 +6e +00 +42 +00 +83 +00 +8b +00 +e2 +10 +d9 +10 +1d +40 +4f +20 +34 +30 +82 +30 +2f +70 +df +20 +74 +30 +20 +10 +d4 +00 +62 +00 +8e +00 +4a +00 +7a +00 +44 +00 +85 +00 +51 +00 +83 +00 +5a +00 +9b +00 +67 +00 +ac +00 +90 +00 +72 +10 +34 +10 +a7 +20 +84 +10 +18 +30 +c4 +10 +f7 +20 +cf +10 +a8 +20 +8c +10 +f9 +10 +4b +10 +62 +10 +cc +00 +ed +00 +82 +00 +c1 +00 +7c +00 +ab +00 +7b +00 +b2 +00 +7a +00 +c3 +00 +71 +00 +cf +00 +75 +00 +b9 +00 +7e +00 +ab +00 +67 +00 +b8 +00 +77 +00 +be +00 +af +00 +de +00 +f2 +00 +aa +10 +2c +10 +ee +10 +11 +10 +38 +10 +ac +00 +cc +00 +7a +00 +bd +00 +a4 +00 +3b +10 +36 +30 +e5 +60 +2e +50 +4b +80 +6c +50 +50 +80 +d6 +50 +48 +80 +7f +50 +4e +80 +58 +60 +20 +a0 +1c +70 +be +a0 +f2 +60 +7f +a0 +f8 +40 +e6 +40 +53 +40 +88 +70 +68 +40 +f0 +50 +eb +50 +50 +a0 +14 +70 +65 +a0 +27 +70 +60 +a0 +28 +70 +f8 +90 +fb +60 +24 +a0 +95 +60 +32 +80 +1b +60 +30 +90 +18 +60 +1d +90 +d9 +50 +0c +90 +3e +50 +97 +60 +f6 +30 +1a +40 +ca +20 +50 +30 +87 +20 +5a +30 +5d +30 +90 +50 +b0 +20 +1e +20 +84 +10 +88 +10 +fb +00 +30 +10 +d0 +00 +ea +00 +a0 +00 +e7 +00 +59 +10 +de +30 +6e +10 +fc +10 +fc +00 +2e +10 +a7 +00 +d1 +10 +ad +00 +a9 +00 +6d +00 +be +00 +27 +10 +a8 +20 +cb +10 +b0 +10 +be +00 +95 +00 +0f +10 +39 +10 +18 +10 +75 +20 +d4 +10 +9b +40 +b2 +20 +1a +40 +5e +10 +04 +10 +70 +00 +73 +00 +2f +00 +57 +00 +31 +00 +4f +00 +33 +00 +48 +00 +37 +00 +50 +00 +7c +00 +ec +10 +6b +10 +23 +10 +59 +00 +56 +00 +32 +00 +3c +00 +2b +00 +47 +00 +2b +00 +44 +00 +27 +00 +3a +00 +23 +00 +48 +00 +28 +00 +4a +00 +21 +00 +49 +00 +28 +00 +4d +00 +22 +00 +43 +00 +2c +00 +43 +00 +20 +00 +4a +00 +2a +00 +4b +00 +29 +00 +47 +00 +25 +00 +40 +00 +1e +00 +34 +00 +12 +00 +32 +00 +22 +00 +3f +00 +22 +00 +35 +00 +1c +00 +24 +00 +1e +00 +28 +00 +21 +00 +2d +00 +1a +00 +2d +00 +1b +00 +36 +00 +18 +00 +36 +00 +28 +00 +36 +00 +1a +00 +37 +00 +25 +00 +3d +00 +25 +00 +36 +00 +27 +00 +41 +00 +23 +00 +40 +00 +21 +00 +41 +00 +28 +00 +3d +00 +1f +00 +45 +00 +26 +00 +3a +00 +27 +00 +60 +00 +2c +00 +4a +00 +23 +00 +39 +00 +2a +00 +46 +00 +26 +00 +47 +00 +21 +00 +3f +00 +20 +00 +3a +00 +1f +00 +3d +00 +26 +00 +3b +00 +24 +00 +38 +00 +1f +00 +31 +00 +1a +00 +2f +00 +1d +00 +3e +00 +1d +00 +33 +00 +23 +00 +3b +00 +24 +00 +40 +00 +24 +00 +38 +00 +24 +00 +3d +00 +1c +00 +30 +00 +1c +00 +34 +00 +24 +00 +3e +00 +22 +00 +50 +00 +2d +00 +48 +00 +21 +00 +41 +00 +21 +00 +43 +00 +21 +00 +47 +00 +23 +00 +3f +00 +28 +00 +49 +00 +27 +00 +3b +00 +23 +00 +42 +00 +1e +00 +40 +00 +25 +00 +47 +00 +27 +00 +48 +00 +29 +00 +4e +00 +29 +00 +4b +00 +3e +00 +5c +00 +35 +00 +5c +00 +39 +00 +79 +00 +49 +00 +63 +00 +31 +00 +4d +00 +2b +00 +3c +00 +20 +00 +3e +00 +2a +00 +3c +00 +2a +00 +45 +00 +19 +00 +33 +00 +1c +00 +3a +00 +1b +00 +3a +00 +1f +00 +36 +00 +26 +00 +3a +00 +1b +00 +36 +00 +22 +00 +3e +00 +1b +00 +4a +00 +22 +00 +3e +00 +1e +00 +37 +00 +2a +00 +4f +00 +1c +00 +42 +00 +2a +00 +44 +00 +28 +00 +4a +00 +3a +00 +5d +00 +9d +00 +7f +10 +1f +20 +9e +20 +4b +20 +b1 +20 +c8 +00 +89 +00 +4d +00 +7e +00 +38 +00 +59 +00 +31 +00 +5d +00 +2b +00 +5b +00 +27 +00 +4b +00 +28 +00 +37 +00 +27 +00 +33 +00 +1f +00 +39 +00 +21 +00 +3a +00 +20 +00 +35 +00 +1d +00 +3a +00 +21 +00 +3f +00 +2d +00 +5e +00 +33 +00 +60 +00 +46 +00 +79 +00 +9f +00 +a2 +20 +0d +20 +b9 +20 +be +00 +89 +00 +69 +00 +79 +00 +4c +00 +a4 +00 +44 +00 +65 +00 +35 +00 +45 +00 +2c +00 +48 +00 +1f +00 +36 +00 +21 +00 +34 +00 +1e +00 +30 +00 +1c +00 +29 +00 +1c +00 +38 +00 +1c +00 +28 +00 +14 +00 +31 +00 +18 +00 +32 +00 +17 +00 +2a +00 +1c +00 +2b +00 +15 +00 +2f +00 +1e +00 +2e +00 +16 +00 +32 +00 +19 +00 +35 +00 +24 +00 +30 +00 +1e +00 +30 +00 +19 +00 +2f +00 +1d +00 +00 +00 +00 +00 +2c +00 +8c +00 +35 +00 +94 +00 +3e +00 +9c +00 +38 +00 +99 +00 +39 +00 +87 +00 +36 +00 +98 +00 +36 +00 +a9 +00 +3b +00 +9d +00 +31 +00 +ab +00 +3e +00 +9b +00 +39 +00 +9c +00 +3d +00 +9c +00 +43 +00 +9d +00 +40 +00 +b3 +00 +36 +00 +a7 +00 +3d +00 +a1 +00 +46 +00 +a3 +00 +39 +00 +9a +00 +32 +00 +8e +00 +2c +00 +78 +00 +24 +00 +54 +00 +21 +00 +5b +00 +2c +00 +63 +00 +26 +00 +6c +00 +27 +00 +89 +00 +3d +00 +9f +00 +24 +00 +6e +00 +22 +00 +60 +00 +2c +00 +72 +00 +32 +00 +c0 +00 +63 +00 +90 +10 +79 +10 +86 +40 +ed +10 +3d +60 +0a +20 +a8 +30 +a4 +00 +45 +10 +40 +00 +8d +00 +33 +00 +77 +00 +2a +00 +70 +00 +32 +00 +8a +00 +2e +00 +88 +00 +36 +00 +ab +00 +33 +00 +9d +00 +48 +00 +13 +10 +7c +00 +64 +10 +87 +00 +b1 +10 +88 +00 +b2 +10 +93 +00 +a6 +10 +66 +00 +31 +10 +4c +00 +cf +00 +3e +00 +b5 +00 +3c +00 +b3 +00 +3d +00 +b0 +00 +43 +00 +b5 +00 +3c +00 +af +00 +42 +00 +aa +00 +38 +00 +b4 +00 +3d +00 +b4 +00 +40 +00 +a4 +00 +46 +00 +b7 +00 +40 +00 +1f +10 +72 +00 +65 +10 +78 +00 +29 +10 +48 +00 +df +00 +41 +00 +bd +00 +45 +00 +d8 +00 +a6 +00 +a7 +40 +01 +30 +ff +80 +dc +20 +e3 +60 +32 +30 +40 +90 +48 +20 +96 +70 +3b +30 +92 +90 +9d +30 +68 +a0 +9b +30 +7e +a0 +74 +30 +c4 +70 +6a +10 +59 +50 +0b +30 +4d +70 +e5 +10 +f7 +70 +67 +30 +5a +a0 +b8 +30 +24 +a0 +92 +30 +12 +a0 +6b +30 +48 +a0 +6a +30 +a6 +90 +f8 +20 +4f +80 +4f +30 +78 +90 +80 +20 +ef +60 +fc +20 +fd +70 +4f +20 +0b +60 +0b +10 +ad +20 +d4 +00 +a6 +20 +24 +10 +0e +50 +69 +20 +8a +50 +18 +10 +ee +10 +6d +00 +15 +10 +4b +00 +d4 +00 +3a +00 +bf +00 +4d +00 +6b +10 +da +00 +fe +10 +76 +00 +19 +10 +56 +00 +e5 +00 +51 +00 +e1 +00 +3c +00 +9a +00 +4a +00 +0f +10 +2a +10 +29 +40 +c8 +00 +0e +10 +54 +00 +87 +20 +96 +00 +6e +10 +6f +00 +a4 +10 +4e +10 +37 +40 +d7 +00 +8c +10 +46 +00 +75 +00 +29 +00 +5f +00 +23 +00 +5c +00 +1d +00 +47 +00 +20 +00 +53 +00 +25 +00 +9f +00 +b6 +00 +cf +20 +b0 +00 +ae +00 +1f +00 +42 +00 +1f +00 +48 +00 +1d +00 +46 +00 +17 +00 +41 +00 +18 +00 +3f +00 +1f +00 +48 +00 +15 +00 +4e +00 +1d +00 +42 +00 +13 +00 +41 +00 +1b +00 +45 +00 +1b +00 +43 +00 +20 +00 +4c +00 +18 +00 +3f +00 +15 +00 +40 +00 +14 +00 +2f +00 +11 +00 +2b +00 +1d +00 +43 +00 +17 +00 +30 +00 +11 +00 +36 +00 +10 +00 +2d +00 +14 +00 +2a +00 +14 +00 +27 +00 +12 +00 +32 +00 +14 +00 +3b +00 +18 +00 +3d +00 +1a +00 +3c +00 +19 +00 +3b +00 +1e +00 +47 +00 +20 +00 +52 +00 +19 +00 +46 +00 +1b +00 +47 +00 +1c +00 +37 +00 +16 +00 +3c +00 +18 +00 +31 +00 +1b +00 +43 +00 +1a +00 +44 +00 +16 +00 +38 +00 +14 +00 +5f +00 +31 +00 +48 +00 +16 +00 +3c +00 +14 +00 +3e +00 +20 +00 +3f +00 +19 +00 +40 +00 +17 +00 +35 +00 +0f +00 +38 +00 +11 +00 +2d +00 +11 +00 +3b +00 +19 +00 +3d +00 +17 +00 +3b +00 +18 +00 +3e +00 +17 +00 +3b +00 +19 +00 +3a +00 +0f +00 +37 +00 +16 +00 +35 +00 +15 +00 +35 +00 +13 +00 +3f +00 +1e +00 +4c +00 +1b +00 +47 +00 +11 +00 +35 +00 +19 +00 +45 +00 +1b +00 +46 +00 +16 +00 +3d +00 +17 +00 +38 +00 +14 +00 +3d +00 +12 +00 +39 +00 +17 +00 +46 +00 +22 +00 +4d +00 +21 +00 +4a +00 +1b +00 +49 +00 +1f +00 +74 +00 +27 +00 +50 +00 +1c +00 +4c +00 +23 +00 +45 +00 +1e +00 +4a +00 +16 +00 +3d +00 +1b +00 +38 +00 +1f +00 +38 +00 +14 +00 +43 +00 +1b +00 +3f +00 +12 +00 +37 +00 +18 +00 +30 +00 +14 +00 +3d +00 +13 +00 +37 +00 +16 +00 +34 +00 +15 +00 +31 +00 +1a +00 +31 +00 +15 +00 +41 +00 +1c +00 +3e +00 +20 +00 +54 +00 +18 +00 +3f +00 +1b +00 +43 +00 +1c +00 +3f +00 +21 +00 +54 +00 +20 +00 +93 +00 +05 +10 +fb +30 +f3 +10 +99 +40 +9c +00 +2a +10 +2e +00 +61 +00 +1f +00 +59 +00 +28 +00 +5c +00 +1c +00 +50 +00 +1c +00 +43 +00 +1a +00 +35 +00 +11 +00 +35 +00 +17 +00 +34 +00 +14 +00 +33 +00 +19 +00 +39 +00 +16 +00 +37 +00 +1a +00 +3c +00 +1f +00 +5e +00 +26 +00 +54 +00 +28 +00 +63 +00 +28 +00 +aa +00 +81 +00 +8d +20 +21 +10 +51 +10 +34 +00 +69 +00 +28 +00 +67 +00 +26 +00 +64 +00 +1c +00 +3e +00 +19 +00 +3c +00 +1b +00 +46 +00 +12 +00 +39 +00 +13 +00 +31 +00 +0f +00 +36 +00 +14 +00 +35 +00 +18 +00 +33 +00 +10 +00 +2d +00 +17 +00 +2d +00 +12 +00 +26 +00 +12 +00 +2d +00 +0e +00 +2a +00 +13 +00 +27 +00 +14 +00 +30 +00 +0e +00 +31 +00 +14 +00 +31 +00 +17 +00 +2e +00 +12 +00 +34 +00 +13 +00 +31 +00 +00 +00 +00 +00 +82 +00 +68 +00 +9b +00 +65 +00 +8d +00 +70 +00 +9e +00 +66 +00 +93 +00 +64 +00 +96 +00 +6d +00 +9d +00 +74 +00 +9d +00 +67 +00 +9f +00 +65 +00 +95 +00 +70 +00 +9e +00 +76 +00 +aa +00 +6f +00 +9f +00 +6d +00 +98 +00 +7d +00 +ad +00 +6b +00 +9f +00 +73 +00 +a3 +00 +7e +00 +93 +00 +6d +00 +89 +00 +50 +00 +7a +00 +3e +00 +60 +00 +34 +00 +5f +00 +40 +00 +6c +00 +43 +00 +67 +00 +42 +00 +6d +00 +45 +00 +75 +00 +4e +00 +80 +00 +40 +00 +76 +00 +3c +00 +6a +00 +42 +00 +7f +00 +78 +00 +dd +00 +b5 +00 +78 +10 +80 +10 +37 +30 +18 +20 +d2 +30 +a6 +10 +82 +10 +98 +00 +a5 +00 +57 +00 +7b +00 +4d +00 +6f +00 +4e +00 +6e +00 +51 +00 +86 +00 +54 +00 +97 +00 +5a +00 +93 +00 +7c +00 +b8 +00 +9a +00 +02 +10 +e6 +00 +19 +10 +f6 +00 +19 +10 +fc +00 +28 +10 +df +00 +f1 +00 +a3 +00 +ca +00 +72 +00 +ae +00 +61 +00 +aa +00 +6d +00 +b5 +00 +92 +00 +ae +00 +7f +00 +c2 +00 +76 +00 +af +00 +c4 +00 +ca +00 +d3 +00 +d8 +00 +87 +00 +af +00 +81 +00 +ab +00 +c6 +00 +c7 +00 +e7 +00 +08 +10 +f5 +00 +14 +10 +b4 +00 +d8 +00 +7f +00 +b6 +00 +70 +00 +c5 +00 +b6 +00 +36 +10 +b0 +20 +aa +70 +78 +50 +08 +80 +45 +50 +7e +80 +00 +50 +80 +60 +cb +50 +07 +90 +c7 +60 +46 +a0 +2e +70 +67 +a0 +fe +60 +49 +a0 +9f +40 +6c +40 +3c +40 +f5 +70 +5d +50 +cd +50 +07 +50 +4f +90 +8b +60 +68 +a0 +f3 +60 +18 +a0 +0b +70 +17 +a0 +13 +70 +53 +a0 +70 +60 +e1 +80 +08 +60 +ce +80 +57 +50 +07 +60 +9b +30 +4d +60 +96 +40 +53 +60 +d3 +30 +cd +30 +ed +20 +67 +30 +26 +30 +a2 +40 +34 +40 +49 +60 +ad +30 +5e +50 +a8 +10 +29 +10 +b8 +00 +c1 +00 +7c +00 +af +00 +7f +00 +d3 +00 +f0 +00 +b0 +10 +51 +10 +85 +10 +c4 +00 +de +00 +a0 +00 +d9 +00 +a3 +00 +a3 +00 +99 +00 +bd +00 +4b +10 +dd +20 +67 +10 +54 +20 +f3 +00 +e4 +00 +06 +10 +eb +10 +ef +00 +2b +10 +33 +10 +65 +20 +dc +10 +6e +20 +0a +10 +c4 +00 +5c +00 +68 +00 +3c +00 +53 +00 +2b +00 +55 +00 +28 +00 +62 +00 +2f +00 +55 +00 +84 +00 +48 +10 +79 +00 +0d +10 +7e +00 +5a +00 +2b +00 +42 +00 +26 +00 +47 +00 +2b +00 +45 +00 +21 +00 +47 +00 +29 +00 +41 +00 +27 +00 +44 +00 +23 +00 +4f +00 +1e +00 +3c +00 +28 +00 +45 +00 +2c +00 +53 +00 +23 +00 +53 +00 +26 +00 +4b +00 +29 +00 +4a +00 +20 +00 +30 +00 +1d +00 +31 +00 +1a +00 +33 +00 +21 +00 +37 +00 +15 +00 +33 +00 +23 +00 +31 +00 +1f +00 +31 +00 +1e +00 +40 +00 +24 +00 +35 +00 +1e +00 +3c +00 +1c +00 +36 +00 +25 +00 +3c +00 +21 +00 +3f +00 +29 +00 +41 +00 +2a +00 +71 +00 +27 +00 +41 +00 +25 +00 +42 +00 +25 +00 +3d +00 +25 +00 +3a +00 +21 +00 +32 +00 +23 +00 +47 +00 +23 +00 +3d +00 +22 +00 +3d +00 +26 +00 +38 +00 +31 +00 +8a +00 +2e +00 +41 +00 +21 +00 +3e +00 +1f +00 +40 +00 +1c +00 +3e +00 +22 +00 +35 +00 +18 +00 +37 +00 +19 +00 +31 +00 +21 +00 +29 +00 +1e +00 +31 +00 +24 +00 +58 +00 +1e +00 +3c +00 +26 +00 +3b +00 +20 +00 +3f +00 +23 +00 +2d +00 +1f +00 +32 +00 +1d +00 +30 +00 +24 +00 +38 +00 +1b +00 +49 +00 +1f +00 +50 +00 +21 +00 +41 +00 +22 +00 +4d +00 +2c +00 +47 +00 +23 +00 +52 +00 +1e +00 +3b +00 +1f +00 +3f +00 +1a +00 +34 +00 +22 +00 +36 +00 +27 +00 +66 +00 +33 +00 +4d +00 +34 +00 +4f +00 +57 +00 +54 +00 +35 +00 +5d +00 +28 +00 +56 +00 +34 +00 +49 +00 +30 +00 +4e +00 +2b +00 +38 +00 +25 +00 +39 +00 +25 +00 +3d +00 +1e +00 +3f +00 +21 +00 +35 +00 +21 +00 +34 +00 +19 +00 +34 +00 +1d +00 +41 +00 +1c +00 +35 +00 +1f +00 +3c +00 +20 +00 +39 +00 +1f +00 +35 +00 +1c +00 +38 +00 +37 +00 +78 +00 +39 +00 +64 +00 +39 +00 +54 +00 +2f +00 +3e +00 +2c +00 +42 +00 +2f +00 +4f +00 +41 +00 +4e +00 +6c +00 +c7 +00 +f2 +00 +08 +40 +6d +10 +bb +10 +b9 +00 +9b +00 +50 +00 +5f +00 +2d +00 +77 +00 +3b +00 +50 +00 +2a +00 +4a +00 +27 +00 +44 +00 +23 +00 +35 +00 +21 +00 +35 +00 +1a +00 +30 +00 +1e +00 +33 +00 +22 +00 +31 +00 +20 +00 +33 +00 +21 +00 +59 +00 +29 +00 +5b +00 +2c +00 +48 +00 +40 +00 +5e +00 +59 +00 +c0 +00 +7b +00 +dc +10 +b8 +00 +bb +00 +67 +00 +62 +00 +4b +00 +52 +00 +32 +00 +4e +00 +30 +00 +40 +00 +2b +00 +35 +00 +28 +00 +41 +00 +1f +00 +2a +00 +1d +00 +2b +00 +25 +00 +39 +00 +1b +00 +36 +00 +1a +00 +2d +00 +1c +00 +2b +00 +20 +00 +34 +00 +1f +00 +29 +00 +17 +00 +2a +00 +16 +00 +2b +00 +17 +00 +25 +00 +19 +00 +2f +00 +20 +00 +2c +00 +1e +00 +28 +00 +21 +00 +26 +00 +20 +00 +2a +00 +18 +00 +00 +00 +00 +00 +31 +00 +8f +00 +37 +00 +93 +00 +31 +00 +8e +00 +33 +00 +94 +00 +37 +00 +9a +00 +3c +00 +9c +00 +36 +00 +8c +00 +33 +00 +a5 +00 +3c +00 +a5 +00 +3a +00 +9f +00 +3d +00 +96 +00 +3a +00 +b4 +00 +35 +00 +9d +00 +3b +00 +a4 +00 +3a +00 +b2 +00 +42 +00 +9a +00 +45 +00 +b4 +00 +3d +00 +9e +00 +3b +00 +89 +00 +2c +00 +6c +00 +1f +00 +5e +00 +25 +00 +5a +00 +27 +00 +5e +00 +2e +00 +73 +00 +25 +00 +77 +00 +2b +00 +74 +00 +21 +00 +6d +00 +27 +00 +72 +00 +25 +00 +71 +00 +2f +00 +86 +00 +3d +00 +e8 +00 +58 +00 +09 +10 +72 +00 +c0 +10 +85 +00 +94 +10 +4a +00 +b1 +00 +31 +00 +86 +00 +2d +00 +6c +00 +30 +00 +6c +00 +2e +00 +77 +00 +2c +00 +7d +00 +2f +00 +88 +00 +32 +00 +88 +00 +34 +00 +d0 +00 +3a +00 +d0 +00 +3d +00 +e0 +00 +4f +00 +e4 +00 +46 +00 +ea +00 +45 +00 +a8 +00 +3f +00 +99 +00 +3b +00 +a0 +00 +42 +00 +b6 +00 +52 +00 +e5 +00 +4c +00 +bd +00 +43 +00 +ae +00 +55 +00 +11 +10 +c4 +00 +75 +20 +7c +00 +dc +00 +45 +00 +c7 +00 +46 +00 +f1 +00 +44 +00 +e3 +00 +4c +00 +ea +00 +55 +00 +d2 +00 +47 +00 +b3 +00 +42 +00 +c1 +00 +4e +00 +d2 +00 +6c +00 +7f +20 +ba +20 +18 +90 +5a +20 +2e +70 +14 +30 +21 +70 +77 +20 +1c +80 +8e +30 +45 +a0 +a0 +30 +79 +a0 +a8 +30 +87 +a0 +4a +30 +35 +70 +50 +10 +12 +50 +2f +30 +0d +90 +e8 +10 +7e +50 +12 +30 +ff +90 +97 +30 +62 +a0 +ae +30 +6d +a0 +bd +30 +62 +a0 +64 +30 +6f +90 +f1 +20 +30 +80 +49 +30 +8d +80 +73 +10 +90 +30 +c2 +10 +e8 +60 +1c +20 +83 +50 +a7 +10 +5b +50 +35 +10 +4f +30 +53 +20 +3e +70 +88 +20 +43 +60 +89 +10 +d6 +20 +55 +00 +db +00 +3c +00 +ae +00 +39 +00 +ad +00 +78 +00 +d8 +10 +c9 +00 +c7 +20 +13 +10 +7d +10 +55 +00 +fd +00 +67 +00 +0c +10 +63 +00 +ea +00 +7d +00 +28 +30 +cb +00 +d5 +10 +72 +00 +03 +10 +50 +00 +35 +10 +7a +00 +2f +10 +4a +00 +02 +10 +20 +10 +68 +40 +b4 +00 +85 +10 +43 +00 +7f +00 +22 +00 +65 +00 +1f +00 +55 +00 +22 +00 +4b +00 +1d +00 +4a +00 +1e +00 +81 +00 +3c +00 +bc +00 +3a +00 +9a +00 +15 +00 +3c +00 +15 +00 +42 +00 +1a +00 +47 +00 +1b +00 +48 +00 +1b +00 +46 +00 +19 +00 +39 +00 +1b +00 +43 +00 +1a +00 +40 +00 +1d +00 +42 +00 +21 +00 +48 +00 +1b +00 +4e +00 +16 +00 +3a +00 +1d +00 +41 +00 +12 +00 +36 +00 +10 +00 +31 +00 +17 +00 +2d +00 +10 +00 +2f +00 +15 +00 +2b +00 +18 +00 +2f +00 +12 +00 +36 +00 +0d +00 +39 +00 +17 +00 +3c +00 +17 +00 +40 +00 +11 +00 +39 +00 +1b +00 +3b +00 +1f +00 +35 +00 +13 +00 +38 +00 +14 +00 +45 +00 +20 +00 +47 +00 +13 +00 +46 +00 +1b +00 +37 +00 +16 +00 +40 +00 +1c +00 +3f +00 +18 +00 +3d +00 +1a +00 +3a +00 +1a +00 +39 +00 +18 +00 +43 +00 +18 +00 +4a +00 +1f +00 +4f +00 +13 +00 +3c +00 +18 +00 +31 +00 +1a +00 +39 +00 +14 +00 +34 +00 +13 +00 +2f +00 +14 +00 +35 +00 +19 +00 +39 +00 +13 +00 +39 +00 +1d +00 +3c +00 +17 +00 +47 +00 +18 +00 +43 +00 +19 +00 +3d +00 +14 +00 +36 +00 +15 +00 +33 +00 +12 +00 +32 +00 +1a +00 +3c +00 +1a +00 +51 +00 +22 +00 +4c +00 +18 +00 +4e +00 +1e +00 +40 +00 +14 +00 +47 +00 +1d +00 +41 +00 +24 +00 +48 +00 +1a +00 +3d +00 +18 +00 +3b +00 +1e +00 +41 +00 +19 +00 +43 +00 +27 +00 +5d +00 +21 +00 +4a +00 +5c +00 +5e +10 +36 +00 +6b +00 +18 +00 +49 +00 +19 +00 +46 +00 +11 +00 +4d +00 +16 +00 +42 +00 +1a +00 +33 +00 +16 +00 +35 +00 +1c +00 +3a +00 +1d +00 +3e +00 +11 +00 +3c +00 +13 +00 +31 +00 +14 +00 +39 +00 +1a +00 +3b +00 +1c +00 +3b +00 +15 +00 +35 +00 +18 +00 +35 +00 +17 +00 +33 +00 +1c +00 +68 +00 +49 +00 +73 +00 +2d +00 +6d +00 +20 +00 +48 +00 +24 +00 +5a +00 +19 +00 +49 +00 +22 +00 +63 +00 +1e +00 +70 +00 +2d +00 +d2 +00 +72 +00 +4f +10 +63 +00 +df +00 +2b +00 +61 +00 +1a +00 +51 +00 +19 +00 +48 +00 +1f +00 +51 +00 +17 +00 +47 +00 +13 +00 +2d +00 +18 +00 +31 +00 +17 +00 +34 +00 +12 +00 +23 +00 +15 +00 +37 +00 +1a +00 +39 +00 +1b +00 +41 +00 +27 +00 +5d +00 +21 +00 +53 +00 +16 +00 +4c +00 +1f +00 +67 +00 +2b +00 +c2 +00 +3e +00 +00 +10 +5e +00 +b2 +00 +2a +00 +5f +00 +1a +00 +44 +00 +2b +00 +5e +00 +16 +00 +44 +00 +16 +00 +3c +00 +18 +00 +35 +00 +14 +00 +2f +00 +14 +00 +3a +00 +17 +00 +31 +00 +12 +00 +3d +00 +15 +00 +2e +00 +0c +00 +2c +00 +15 +00 +27 +00 +0f +00 +2e +00 +12 +00 +2c +00 +10 +00 +22 +00 +0f +00 +2a +00 +12 +00 +2e +00 +14 +00 +28 +00 +0d +00 +26 +00 +11 +00 +2e +00 +13 +00 +34 +00 +00 +00 +00 +00 +8a +00 +6b +00 +96 +00 +64 +00 +94 +00 +70 +00 +9f +00 +6a +00 +96 +00 +6b +00 +99 +00 +68 +00 +94 +00 +72 +00 +96 +00 +65 +00 +9b +00 +6a +00 +9b +00 +66 +00 +a5 +00 +6e +00 +ab +00 +6c +00 +98 +00 +7d +00 +a1 +00 +6f +00 +b5 +00 +71 +00 +a0 +00 +71 +00 +99 +00 +6f +00 +a5 +00 +62 +00 +9c +00 +55 +00 +7b +00 +50 +00 +5f +00 +3b +00 +61 +00 +3b +00 +68 +00 +38 +00 +68 +00 +4a +00 +60 +00 +3b +00 +69 +00 +40 +00 +6b +00 +41 +00 +6a +00 +39 +00 +70 +00 +4a +00 +60 +00 +65 +00 +94 +00 +98 +00 +d7 +00 +c9 +00 +0e +10 +f4 +00 +2b +10 +a7 +00 +a7 +00 +51 +00 +8d +00 +4f +00 +81 +00 +3e +00 +6a +00 +45 +00 +70 +00 +43 +00 +6d +00 +4b +00 +6f +00 +4c +00 +7b +00 +63 +00 +95 +00 +70 +00 +a1 +00 +8a +00 +ad +00 +84 +00 +98 +00 +90 +00 +ba +00 +84 +00 +e3 +00 +7b +00 +a0 +00 +59 +00 +98 +00 +7f +00 +a4 +00 +45 +10 +f8 +10 +7b +10 +d1 +10 +94 +00 +c5 +00 +aa +00 +ce +10 +2a +20 +43 +50 +fd +10 +58 +20 +f6 +00 +cf +00 +b3 +10 +4c +20 +27 +20 +62 +10 +63 +10 +12 +10 +df +00 +df +00 +99 +00 +bf +00 +82 +00 +ba +00 +8c +00 +bf +00 +b5 +00 +0f +10 +17 +20 +42 +60 +75 +40 +c4 +60 +9a +30 +8a +50 +bd +30 +dc +60 +ba +50 +bb +90 +ca +60 +41 +a0 +17 +70 +93 +a0 +f4 +60 +dd +90 +65 +40 +54 +40 +fd +30 +f6 +70 +b7 +50 +a2 +70 +95 +40 +ff +60 +79 +60 +48 +a0 +1d +70 +48 +a0 +15 +70 +7b +a0 +0e +70 +07 +a0 +25 +60 +a1 +80 +80 +50 +94 +80 +7a +40 +c6 +40 +a9 +20 +dc +20 +86 +30 +96 +50 +af +30 +f0 +50 +76 +30 +6e +40 +aa +20 +24 +40 +26 +40 +f4 +70 +ee +30 +b2 +40 +a7 +10 +53 +10 +7f +00 +ae +00 +67 +00 +a5 +00 +6b +00 +cc +00 +9c +00 +32 +10 +84 +10 +70 +30 +3d +10 +33 +10 +cc +00 +23 +10 +62 +10 +65 +30 +10 +10 +86 +10 +c1 +10 +d8 +20 +fe +00 +01 +10 +c3 +00 +e3 +00 +73 +10 +53 +10 +fa +00 +c4 +00 +dd +00 +c8 +10 +5a +10 +6e +20 +dd +00 +ad +00 +5c +00 +65 +00 +2a +00 +57 +00 +31 +00 +63 +00 +38 +00 +44 +00 +2c +00 +4c +00 +4b +00 +7b +00 +4a +00 +90 +00 +41 +00 +54 +00 +2a +00 +3f +00 +25 +00 +48 +00 +1a +00 +4a +00 +2c +00 +49 +00 +28 +00 +43 +00 +27 +00 +41 +00 +2a +00 +46 +00 +28 +00 +43 +00 +1e +00 +41 +00 +24 +00 +4a +00 +25 +00 +43 +00 +1d +00 +3c +00 +24 +00 +38 +00 +25 +00 +37 +00 +1f +00 +24 +00 +18 +00 +2a +00 +20 +00 +27 +00 +1c +00 +2a +00 +23 +00 +2f +00 +25 +00 +40 +00 +25 +00 +3c +00 +27 +00 +3c +00 +1d +00 +37 +00 +1b +00 +4b +00 +21 +00 +33 +00 +20 +00 +41 +00 +20 +00 +3b +00 +26 +00 +46 +00 +22 +00 +3f +00 +26 +00 +42 +00 +2b +00 +6f +00 +3a +00 +49 +00 +23 +00 +3a +00 +25 +00 +41 +00 +1f +00 +4a +00 +2a +00 +3a +00 +20 +00 +3f +00 +2a +00 +44 +00 +23 +00 +3f +00 +23 +00 +36 +00 +21 +00 +33 +00 +1c +00 +39 +00 +1c +00 +3b +00 +20 +00 +2d +00 +1b +00 +31 +00 +1f +00 +39 +00 +1c +00 +3b +00 +24 +00 +31 +00 +1f +00 +32 +00 +21 +00 +3c +00 +2b +00 +39 +00 +24 +00 +37 +00 +21 +00 +39 +00 +24 +00 +48 +00 +26 +00 +46 +00 +28 +00 +3e +00 +2e +00 +48 +00 +39 +00 +65 +00 +2e +00 +3c +00 +22 +00 +3e +00 +21 +00 +51 +00 +22 +00 +47 +00 +21 +00 +38 +00 +2e +00 +91 +00 +36 +00 +42 +00 +2e +00 +67 +00 +27 +00 +55 +00 +37 +00 +74 +00 +50 +00 +99 +00 +39 +00 +55 +00 +34 +00 +40 +00 +24 +00 +35 +00 +2a +00 +3a +00 +20 +00 +3f +00 +1d +00 +33 +00 +23 +00 +38 +00 +1e +00 +3d +00 +1e +00 +3e +00 +1e +00 +32 +00 +2a +00 +3e +00 +20 +00 +4a +00 +20 +00 +38 +00 +27 +00 +37 +00 +25 +00 +3b +00 +1d +00 +38 +00 +23 +00 +43 +00 +23 +00 +59 +00 +2e +00 +57 +00 +30 +00 +58 +00 +41 +00 +5f +10 +1b +10 +b4 +00 +01 +10 +10 +10 +73 +00 +63 +00 +62 +00 +7d +00 +6d +00 +cf +00 +7e +00 +c9 +00 +76 +00 +6c +00 +3f +00 +4e +00 +2d +00 +45 +00 +2b +00 +4c +00 +24 +00 +45 +00 +21 +00 +3d +00 +22 +00 +34 +00 +13 +00 +31 +00 +20 +00 +2e +00 +18 +00 +3a +00 +22 +00 +31 +00 +1d +00 +3a +00 +24 +00 +4f +00 +30 +00 +65 +00 +32 +00 +52 +00 +2c +00 +4f +00 +43 +00 +6f +00 +76 +00 +b5 +00 +f4 +00 +d7 +20 +fc +00 +b8 +00 +4d +00 +4c +00 +3c +00 +03 +10 +64 +00 +53 +00 +24 +00 +3b +00 +1d +00 +31 +00 +1f +00 +33 +00 +23 +00 +2e +00 +2e +00 +4a +00 +29 +00 +43 +00 +20 +00 +2e +00 +21 +00 +28 +00 +24 +00 +24 +00 +1b +00 +2a +00 +15 +00 +27 +00 +19 +00 +21 +00 +19 +00 +27 +00 +1b +00 +27 +00 +18 +00 +2e +00 +1c +00 +31 +00 +23 +00 +30 +00 +1e +00 +44 +00 +26 +00 +00 +00 +00 +00 +2e +00 +97 +00 +30 +00 +90 +00 +38 +00 +8a +00 +35 +00 +91 +00 +35 +00 +a1 +00 +31 +00 +92 +00 +38 +00 +a3 +00 +3c +00 +a3 +00 +37 +00 +9f +00 +37 +00 +a4 +00 +32 +00 +9d +00 +3c +00 +a1 +00 +3b +00 +9c +00 +4b +00 +a4 +00 +3b +00 +a4 +00 +38 +00 +a1 +00 +39 +00 +a4 +00 +3a +00 +9f +00 +36 +00 +7e +00 +28 +00 +69 +00 +27 +00 +68 +00 +2d +00 +64 +00 +23 +00 +5e +00 +27 +00 +5e +00 +26 +00 +56 +00 +21 +00 +5e +00 +21 +00 +5f +00 +1b +00 +58 +00 +23 +00 +5c +00 +28 +00 +60 +00 +21 +00 +88 +00 +33 +00 +c9 +00 +40 +00 +d9 +00 +3e +00 +98 +00 +2f +00 +7f +00 +29 +00 +69 +00 +27 +00 +75 +00 +24 +00 +60 +00 +27 +00 +7b +00 +25 +00 +6d +00 +2a +00 +6e +00 +2d +00 +87 +00 +2c +00 +87 +00 +2e +00 +8a +00 +2e +00 +7b +00 +3d +00 +8e +00 +3b +00 +ab +00 +42 +00 +b9 +00 +3a +00 +a3 +00 +3f +00 +ab +00 +71 +00 +f1 +20 +df +10 +b6 +30 +ae +00 +1f +10 +43 +00 +bb +00 +8b +00 +41 +20 +b6 +10 +94 +30 +a4 +00 +4e +10 +c2 +00 +67 +30 +35 +20 +4f +60 +43 +10 +b1 +20 +c2 +00 +60 +10 +62 +00 +d4 +00 +4b +00 +cd +00 +46 +00 +c7 +00 +4e +00 +dc +00 +61 +00 +bf +10 +ca +10 +cf +50 +6d +10 +59 +30 +f5 +00 +65 +30 +33 +20 +bd +80 +77 +30 +1c +a0 +b3 +30 +43 +a0 +ab +30 +82 +a0 +56 +30 +d3 +60 +51 +10 +79 +40 +fb +20 +6f +90 +ca +20 +83 +50 +5e +20 +08 +90 +84 +30 +2d +a0 +9a +30 +29 +a0 +90 +30 +55 +a0 +64 +30 +66 +80 +bc +20 +d2 +60 +c8 +20 +59 +70 +41 +10 +59 +20 +e9 +00 +10 +40 +bb +10 +fa +50 +ee +10 +07 +40 +18 +10 +1b +30 +84 +10 +49 +40 +70 +20 +99 +60 +ff +00 +dc +10 +54 +00 +bd +00 +44 +00 +a0 +00 +3a +00 +95 +00 +3a +00 +97 +00 +4f +00 +4e +10 +a4 +00 +9e +10 +cf +00 +7b +20 +7b +00 +59 +10 +b0 +00 +ac +10 +6f +00 +e2 +10 +9d +00 +84 +10 +49 +00 +d4 +00 +a9 +00 +43 +30 +ef +00 +6a +10 +3e +00 +ca +00 +52 +00 +1d +10 +69 +00 +01 +10 +2f +00 +6e +00 +27 +00 +52 +00 +1d +00 +5e +00 +23 +00 +59 +00 +19 +00 +49 +00 +22 +00 +5b +00 +23 +00 +71 +00 +25 +00 +4f +00 +1b +00 +46 +00 +15 +00 +40 +00 +18 +00 +45 +00 +18 +00 +3f +00 +1e +00 +44 +00 +17 +00 +4c +00 +1e +00 +40 +00 +1e +00 +3b +00 +1d +00 +45 +00 +19 +00 +45 +00 +18 +00 +41 +00 +15 +00 +3c +00 +17 +00 +37 +00 +18 +00 +33 +00 +10 +00 +2b +00 +13 +00 +2e +00 +10 +00 +27 +00 +10 +00 +30 +00 +13 +00 +37 +00 +14 +00 +48 +00 +1a +00 +44 +00 +13 +00 +3c +00 +16 +00 +41 +00 +16 +00 +35 +00 +15 +00 +4b +00 +17 +00 +3d +00 +17 +00 +3b +00 +1e +00 +44 +00 +15 +00 +44 +00 +1d +00 +42 +00 +19 +00 +4a +00 +57 +00 +ae +00 +19 +00 +3e +00 +14 +00 +3e +00 +1a +00 +3a +00 +1d +00 +47 +00 +16 +00 +3a +00 +18 +00 +4d +00 +1f +00 +38 +00 +1a +00 +37 +00 +1c +00 +38 +00 +16 +00 +3a +00 +18 +00 +3a +00 +1a +00 +36 +00 +14 +00 +31 +00 +10 +00 +36 +00 +18 +00 +36 +00 +1c +00 +41 +00 +17 +00 +35 +00 +0c +00 +36 +00 +1b +00 +37 +00 +1b +00 +43 +00 +11 +00 +33 +00 +1d +00 +39 +00 +18 +00 +42 +00 +1b +00 +4e +00 +23 +00 +97 +00 +59 +00 +90 +00 +1d +00 +4f +00 +22 +00 +3e +00 +1b +00 +48 +00 +20 +00 +47 +00 +16 +00 +41 +00 +19 +00 +57 +00 +47 +00 +8f +00 +1e +00 +46 +00 +19 +00 +51 +00 +20 +00 +9d +00 +40 +00 +77 +00 +32 +00 +6b +00 +24 +00 +49 +00 +19 +00 +4c +00 +16 +00 +3b +00 +0f +00 +2f +00 +19 +00 +35 +00 +1c +00 +35 +00 +12 +00 +3a +00 +1a +00 +3d +00 +18 +00 +3b +00 +16 +00 +3f +00 +1a +00 +4f +00 +1d +00 +3d +00 +18 +00 +39 +00 +11 +00 +38 +00 +16 +00 +33 +00 +11 +00 +37 +00 +1f +00 +47 +00 +26 +00 +5f +00 +22 +00 +51 +00 +1e +00 +66 +00 +75 +00 +f5 +10 +3b +10 +6c +30 +c4 +00 +d6 +00 +2a +00 +6e +00 +23 +00 +8b +00 +29 +00 +8b +00 +28 +00 +76 +00 +2d +00 +59 +00 +27 +00 +5b +00 +23 +00 +57 +00 +1f +00 +3c +00 +18 +00 +4b +00 +16 +00 +3b +00 +14 +00 +2f +00 +0d +00 +29 +00 +10 +00 +30 +00 +1a +00 +38 +00 +17 +00 +30 +00 +18 +00 +41 +00 +1b +00 +5a +00 +25 +00 +7c +00 +1e +00 +42 +00 +1e +00 +5b +00 +3c +00 +1a +10 +54 +00 +5d +10 +e6 +00 +3d +10 +37 +00 +62 +00 +1f +00 +58 +00 +2d +00 +a9 +00 +25 +00 +3d +00 +19 +00 +36 +00 +12 +00 +35 +00 +17 +00 +39 +00 +25 +00 +81 +00 +42 +00 +6f +00 +14 +00 +2e +00 +14 +00 +29 +00 +0e +00 +27 +00 +10 +00 +24 +00 +0f +00 +28 +00 +0c +00 +1d +00 +13 +00 +29 +00 +11 +00 +28 +00 +0e +00 +26 +00 +13 +00 +2b +00 +11 +00 +2c +00 +14 +00 +3a +00 +2c +00 +68 +00 +00 +00 +00 +00 +8c +00 +5c +00 +96 +00 +67 +00 +a5 +00 +6e +00 +95 +00 +6a +00 +99 +00 +64 +00 +91 +00 +61 +00 +9f +00 +67 +00 +a8 +00 +67 +00 +9b +00 +6a +00 +a9 +00 +63 +00 +96 +00 +64 +00 +a4 +00 +61 +00 +bb +00 +6c +00 +a6 +00 +73 +00 +ae +00 +6e +00 +a6 +00 +6e +00 +98 +00 +6e +00 +af +00 +6f +00 +8d +00 +9b +00 +88 +00 +af +00 +9f +00 +63 +00 +69 +00 +35 +00 +6e +00 +2e +00 +74 +00 +36 +00 +5e +00 +37 +00 +60 +00 +36 +00 +5b +00 +38 +00 +53 +00 +43 +00 +53 +00 +39 +00 +55 +00 +59 +00 +72 +00 +ad +00 +ce +00 +c1 +00 +ba +00 +9b +00 +a3 +00 +5f +00 +6d +00 +40 +00 +73 +00 +40 +00 +68 +00 +45 +00 +67 +00 +42 +00 +67 +00 +3d +00 +6f +00 +48 +00 +69 +00 +3b +00 +76 +00 +55 +00 +69 +00 +57 +00 +76 +00 +57 +00 +82 +00 +57 +00 +84 +00 +61 +00 +92 +00 +69 +00 +9b +00 +61 +00 +ad +00 +62 +00 +9a +00 +b5 +00 +de +10 +f8 +10 +28 +40 +86 +10 +b8 +10 +b9 +00 +d3 +00 +a8 +00 +e4 +00 +c3 +00 +a0 +10 +5a +10 +ad +10 +5a +20 +56 +40 +5c +40 +86 +70 +7c +40 +6f +70 +60 +30 +3e +40 +83 +20 +f0 +10 +bf +00 +cf +00 +80 +00 +c2 +00 +7c +00 +c3 +00 +a2 +00 +f2 +00 +6a +10 +b6 +20 +c1 +20 +52 +30 +34 +20 +53 +20 +3e +30 +71 +60 +e3 +50 +73 +90 +08 +70 +ed +90 +49 +70 +96 +a0 +00 +70 +db +90 +a2 +40 +3e +40 +06 +40 +8f +70 +c6 +50 +0e +90 +1a +50 +7c +50 +a7 +50 +d9 +90 +f3 +60 +5d +a0 +cc +60 +1d +a0 +3d +60 +cd +80 +27 +40 +72 +50 +47 +30 +01 +40 +b5 +20 +b3 +30 +ea +10 +00 +20 +5b +20 +e1 +40 +2d +30 +1c +40 +f0 +10 +44 +20 +09 +30 +eb +50 +3f +30 +89 +30 +11 +20 +b8 +20 +08 +10 +f1 +00 +76 +00 +a2 +00 +60 +00 +9a +00 +5e +00 +b1 +00 +7e +00 +b8 +00 +8b +00 +df +00 +cb +00 +64 +10 +25 +10 +dc +10 +fc +00 +0b +10 +ea +00 +14 +10 +b8 +00 +01 +10 +d6 +00 +e8 +00 +ff +00 +0c +30 +96 +20 +88 +30 +78 +10 +f4 +00 +c0 +00 +bd +00 +75 +00 +c4 +00 +75 +00 +7e +00 +41 +00 +64 +00 +37 +00 +52 +00 +38 +00 +60 +00 +38 +00 +52 +00 +2c +00 +50 +00 +30 +00 +50 +00 +42 +00 +4f +00 +33 +00 +46 +00 +25 +00 +43 +00 +1e +00 +42 +00 +22 +00 +4c +00 +2b +00 +49 +00 +42 +00 +7b +00 +2d +00 +3d +00 +1f +00 +51 +00 +25 +00 +47 +00 +27 +00 +45 +00 +24 +00 +3e +00 +26 +00 +43 +00 +1b +00 +37 +00 +2b +00 +3c +00 +1a +00 +33 +00 +15 +00 +2d +00 +19 +00 +2c +00 +26 +00 +2f +00 +20 +00 +34 +00 +20 +00 +43 +00 +1e +00 +48 +00 +20 +00 +42 +00 +26 +00 +41 +00 +21 +00 +49 +00 +26 +00 +3d +00 +2b +00 +45 +00 +27 +00 +43 +00 +24 +00 +53 +00 +24 +00 +3d +00 +27 +00 +41 +00 +20 +00 +3f +00 +28 +00 +69 +00 +28 +00 +60 +00 +25 +00 +36 +00 +22 +00 +43 +00 +25 +00 +3c +00 +26 +00 +3a +00 +21 +00 +45 +00 +20 +00 +3b +00 +1f +00 +3e +00 +25 +00 +3f +00 +28 +00 +3c +00 +2b +00 +42 +00 +24 +00 +42 +00 +21 +00 +35 +00 +1c +00 +2e +00 +1e +00 +3a +00 +1e +00 +46 +00 +23 +00 +35 +00 +1e +00 +2a +00 +18 +00 +34 +00 +23 +00 +3d +00 +1d +00 +38 +00 +1e +00 +2a +00 +28 +00 +40 +00 +20 +00 +41 +00 +33 +00 +49 +00 +78 +00 +6e +10 +4a +00 +59 +00 +2d +00 +49 +00 +29 +00 +3f +00 +1c +00 +40 +00 +22 +00 +49 +00 +38 +00 +48 +00 +39 +00 +88 +00 +37 +00 +66 +00 +44 +00 +4a +00 +4a +00 +61 +00 +4e +00 +94 +00 +47 +00 +a9 +00 +3f +00 +5e +00 +2f +00 +40 +00 +22 +00 +33 +00 +1f +00 +49 +00 +25 +00 +3e +00 +22 +00 +47 +00 +21 +00 +37 +00 +24 +00 +33 +00 +18 +00 +36 +00 +21 +00 +2f +00 +1c +00 +43 +00 +25 +00 +38 +00 +27 +00 +3b +00 +24 +00 +42 +00 +20 +00 +38 +00 +20 +00 +3f +00 +28 +00 +3d +00 +2d +00 +52 +00 +44 +00 +67 +00 +4b +00 +59 +00 +6b +00 +9c +00 +87 +00 +b3 +10 +b7 +00 +33 +10 +8d +00 +a2 +00 +8a +00 +7b +00 +5c +00 +6b +00 +5b +00 +6b +00 +4b +00 +5f +00 +31 +00 +4c +00 +2b +00 +41 +00 +26 +00 +3f +00 +25 +00 +40 +00 +1d +00 +41 +00 +1c +00 +35 +00 +19 +00 +36 +00 +17 +00 +36 +00 +1a +00 +2f +00 +21 +00 +33 +00 +25 +00 +3d +00 +21 +00 +44 +00 +25 +00 +46 +00 +2a +00 +50 +00 +36 +00 +5c +00 +56 +00 +ae +00 +a4 +00 +3c +10 +81 +00 +b4 +00 +67 +00 +9f +00 +62 +00 +59 +00 +41 +00 +55 +00 +2c +00 +59 +00 +31 +00 +38 +00 +1f +00 +3c +00 +1f +00 +32 +00 +2e +00 +6d +00 +87 +00 +0d +10 +3f +00 +4a +00 +2d +00 +36 +00 +1e +00 +29 +00 +1d +00 +33 +00 +18 +00 +28 +00 +1b +00 +2a +00 +14 +00 +20 +00 +15 +00 +23 +00 +22 +00 +27 +00 +16 +00 +25 +00 +1d +00 +28 +00 +15 +00 +34 +00 +24 +00 +53 +00 +2e +00 +00 +00 +00 +00 +30 +00 +9c +00 +39 +00 +a2 +00 +2f +00 +8c +00 +37 +00 +90 +00 +36 +00 +a3 +00 +36 +00 +a0 +00 +35 +00 +8d +00 +33 +00 +8d +00 +34 +00 +94 +00 +3f +00 +97 +00 +39 +00 +9e +00 +2f +00 +b0 +00 +38 +00 +b1 +00 +44 +00 +b2 +00 +35 +00 +b4 +00 +3a +00 +a5 +00 +3d +00 +b1 +00 +38 +00 +b3 +00 +42 +00 +de +00 +69 +00 +55 +10 +49 +00 +89 +00 +2c +00 +6a +00 +1b +00 +68 +00 +22 +00 +61 +00 +19 +00 +4f +00 +1c +00 +54 +00 +21 +00 +5e +00 +22 +00 +55 +00 +1e +00 +5c +00 +20 +00 +5a +00 +5b +00 +cc +10 +8e +00 +48 +10 +5a +00 +cc +00 +2f +00 +84 +00 +30 +00 +70 +00 +2a +00 +64 +00 +25 +00 +67 +00 +22 +00 +5f +00 +22 +00 +6b +00 +21 +00 +6d +00 +26 +00 +6f +00 +2c +00 +78 +00 +2b +00 +6e +00 +2c +00 +7c +00 +2d +00 +84 +00 +33 +00 +91 +00 +35 +00 +9a +00 +30 +00 +a2 +00 +33 +00 +b1 +00 +3b +00 +d1 +00 +bb +00 +a3 +20 +e2 +00 +12 +20 +ac +00 +2b +10 +4c +00 +c1 +00 +4e +00 +10 +10 +84 +00 +19 +20 +34 +10 +df +40 +a1 +20 +1a +80 +70 +30 +ba +80 +79 +20 +d4 +50 +77 +20 +ab +50 +da +00 +47 +10 +4a +00 +da +00 +4a +00 +c8 +00 +4f +00 +d6 +00 +51 +00 +2d +10 +cf +00 +34 +40 +2b +10 +91 +20 +e1 +00 +8f +30 +a3 +20 +dd +80 +a8 +30 +28 +a0 +b0 +30 +27 +a0 +c2 +30 +7a +a0 +6e +30 +e2 +60 +76 +10 +d0 +40 +f4 +20 +59 +90 +76 +30 +eb +70 +a9 +10 +53 +70 +83 +30 +17 +a0 +9a +30 +07 +a0 +6f +30 +54 +90 +21 +20 +eb +40 +1e +10 +f8 +20 +d2 +00 +80 +20 +0e +10 +73 +20 +a5 +00 +06 +30 +1f +20 +c1 +40 +0d +10 +88 +20 +0b +10 +ea +40 +5d +20 +8d +50 +e7 +00 +dc +10 +73 +00 +25 +10 +44 +00 +b3 +00 +38 +00 +a0 +00 +3d +00 +a0 +00 +41 +00 +bd +00 +49 +00 +c9 +00 +4c +00 +e6 +00 +77 +00 +8a +10 +b6 +00 +0e +20 +6b +00 +f8 +00 +4b +00 +d4 +00 +45 +00 +d4 +00 +48 +00 +df +00 +ca +00 +b0 +40 +a6 +10 +c7 +20 +69 +00 +d4 +00 +41 +00 +be +00 +3c +00 +96 +00 +30 +00 +84 +00 +27 +00 +6b +00 +1e +00 +54 +00 +24 +00 +6c +00 +21 +00 +53 +00 +1f +00 +52 +00 +20 +00 +47 +00 +19 +00 +46 +00 +16 +00 +43 +00 +16 +00 +47 +00 +19 +00 +3e +00 +1a +00 +48 +00 +1d +00 +5e +00 +22 +00 +5b +00 +1b +00 +50 +00 +18 +00 +41 +00 +23 +00 +4b +00 +1d +00 +4a +00 +1c +00 +3e +00 +14 +00 +41 +00 +10 +00 +40 +00 +16 +00 +35 +00 +0d +00 +27 +00 +0d +00 +28 +00 +10 +00 +30 +00 +10 +00 +34 +00 +1b +00 +3c +00 +16 +00 +40 +00 +12 +00 +3f +00 +14 +00 +43 +00 +12 +00 +44 +00 +1f +00 +3c +00 +10 +00 +47 +00 +1c +00 +48 +00 +16 +00 +3a +00 +1e +00 +3d +00 +16 +00 +43 +00 +1e +00 +4d +00 +29 +00 +4a +00 +19 +00 +41 +00 +25 +00 +52 +00 +18 +00 +43 +00 +1f +00 +3d +00 +15 +00 +47 +00 +1b +00 +3f +00 +1b +00 +3b +00 +15 +00 +3f +00 +1b +00 +3f +00 +1e +00 +3e +00 +15 +00 +44 +00 +16 +00 +43 +00 +19 +00 +35 +00 +14 +00 +3c +00 +11 +00 +2d +00 +12 +00 +46 +00 +1b +00 +45 +00 +0f +00 +40 +00 +12 +00 +2c +00 +13 +00 +3a +00 +0f +00 +37 +00 +17 +00 +35 +00 +0e +00 +32 +00 +18 +00 +44 +00 +1e +00 +4b +00 +1a +00 +99 +00 +4b +00 +99 +00 +2c +00 +52 +00 +18 +00 +3d +00 +12 +00 +47 +00 +17 +00 +40 +00 +1b +00 +5a +00 +45 +00 +83 +00 +3d +00 +75 +00 +23 +00 +76 +00 +53 +00 +88 +00 +2c +00 +63 +00 +1f +00 +56 +00 +3d +00 +9c +00 +1d +00 +44 +00 +13 +00 +3c +00 +17 +00 +42 +00 +18 +00 +38 +00 +13 +00 +3c +00 +17 +00 +34 +00 +19 +00 +3c +00 +13 +00 +3a +00 +15 +00 +35 +00 +0e +00 +3a +00 +1e +00 +3d +00 +15 +00 +43 +00 +11 +00 +44 +00 +15 +00 +32 +00 +15 +00 +3e +00 +1e +00 +43 +00 +17 +00 +45 +00 +33 +00 +ab +00 +2c +00 +5f +00 +25 +00 +d7 +00 +78 +00 +a0 +00 +45 +00 +de +00 +4e +00 +c0 +00 +42 +00 +38 +10 +3a +00 +74 +00 +21 +00 +59 +00 +17 +00 +60 +00 +23 +00 +4d +00 +1f +00 +49 +00 +1c +00 +50 +00 +16 +00 +45 +00 +19 +00 +43 +00 +1d +00 +38 +00 +17 +00 +2d +00 +14 +00 +2f +00 +10 +00 +2e +00 +14 +00 +35 +00 +19 +00 +33 +00 +18 +00 +39 +00 +1a +00 +41 +00 +1e +00 +4f +00 +1d +00 +51 +00 +38 +00 +94 +00 +39 +00 +91 +00 +41 +00 +9b +00 +36 +00 +a0 +00 +43 +00 +aa +00 +1c +00 +5b +00 +1d +00 +4b +00 +11 +00 +41 +00 +1a +00 +3d +00 +19 +00 +3c +00 +15 +00 +2f +00 +24 +00 +7a +00 +3a +00 +74 +00 +21 +00 +3f +00 +0f +00 +2c +00 +12 +00 +32 +00 +0f +00 +26 +00 +0b +00 +2a +00 +0e +00 +22 +00 +15 +00 +27 +00 +0d +00 +2a +00 +11 +00 +2d +00 +10 +00 +2c +00 +16 +00 +2a +00 +18 +00 +47 +00 +31 +00 +86 +00 +00 +00 +00 +00 +84 +00 +67 +00 +88 +00 +66 +00 +a3 +00 +5b +00 +99 +00 +57 +00 +95 +00 +6a +00 +9e +00 +74 +00 +a0 +00 +69 +00 +9a +00 +5a +00 +b0 +00 +72 +00 +9c +00 +76 +00 +b1 +00 +5a +00 +9e +00 +6b +00 +ad +00 +6e +00 +9d +00 +6a +00 +a3 +00 +74 +00 +af +00 +76 +00 +ae +00 +71 +00 +ae +00 +de +00 +a0 +10 +4b +20 +22 +40 +eb +10 +fd +10 +74 +00 +71 +00 +3d +00 +5d +00 +35 +00 +62 +00 +30 +00 +4a +00 +2c +00 +62 +00 +36 +00 +58 +00 +3b +00 +59 +00 +36 +00 +5b +00 +42 +00 +61 +00 +55 +00 +7a +00 +7c +00 +19 +10 +95 +10 +4a +30 +d0 +00 +99 +00 +53 +00 +76 +00 +49 +00 +6c +00 +44 +00 +71 +00 +46 +00 +68 +00 +41 +00 +66 +00 +50 +00 +7e +00 +4d +00 +6e +00 +43 +00 +73 +00 +3f +00 +67 +00 +40 +00 +74 +00 +47 +00 +90 +00 +62 +00 +ae +00 +89 +00 +9c +00 +60 +00 +a1 +00 +52 +00 +a5 +00 +63 +00 +b0 +00 +86 +00 +24 +10 +b1 +00 +9f +10 +17 +10 +72 +20 +3e +10 +1e +10 +a1 +00 +e2 +00 +c0 +00 +9c +10 +0b +20 +6f +50 +aa +30 +f3 +70 +0b +50 +3b +90 +26 +40 +26 +50 +c6 +20 +74 +50 +33 +20 +a5 +20 +f4 +00 +f7 +00 +86 +00 +c3 +00 +84 +00 +da +00 +92 +00 +df +00 +ee +00 +95 +10 +c0 +10 +b6 +30 +c2 +20 +18 +30 +fd +30 +e5 +50 +bc +50 +96 +90 +bd +60 +10 +a0 +f8 +60 +14 +a0 +ce +60 +1d +a0 +75 +40 +31 +40 +c1 +30 +d5 +70 +e6 +50 +e5 +90 +b0 +50 +ff +50 +62 +40 +a4 +80 +dd +50 +cd +90 +4d +60 +af +90 +eb +40 +28 +50 +a8 +40 +7f +50 +05 +30 +93 +20 +ee +10 +3e +20 +0b +20 +d5 +20 +5f +30 +e8 +50 +69 +20 +ea +20 +28 +20 +87 +30 +a0 +20 +e2 +40 +fe +10 +a7 +20 +28 +10 +12 +10 +92 +00 +ce +00 +72 +00 +b2 +00 +7f +00 +a8 +00 +92 +00 +c0 +00 +7b +00 +bd +00 +8c +00 +f2 +00 +90 +10 +f6 +20 +f5 +20 +21 +40 +0a +30 +d7 +20 +2b +10 +c9 +00 +fa +00 +d6 +00 +2f +10 +db +00 +25 +10 +2f +10 +a6 +10 +07 +50 +8c +20 +bb +20 +04 +10 +e0 +00 +80 +00 +9e +00 +5a +00 +76 +00 +45 +00 +6e +00 +37 +00 +5a +00 +31 +00 +4d +00 +4a +00 +99 +00 +3f +00 +5e +00 +2f +00 +45 +00 +28 +00 +49 +00 +29 +00 +35 +00 +2e +00 +40 +00 +24 +00 +41 +00 +21 +00 +3e +00 +21 +00 +4b +00 +29 +00 +44 +00 +25 +00 +40 +00 +23 +00 +43 +00 +28 +00 +4f +00 +27 +00 +52 +00 +24 +00 +4f +00 +22 +00 +3d +00 +21 +00 +3e +00 +1c +00 +34 +00 +1a +00 +29 +00 +16 +00 +2a +00 +1e +00 +2f +00 +1b +00 +2f +00 +22 +00 +3c +00 +22 +00 +40 +00 +21 +00 +3e +00 +1f +00 +3e +00 +27 +00 +3b +00 +28 +00 +39 +00 +23 +00 +38 +00 +29 +00 +4e +00 +1e +00 +4f +00 +24 +00 +4b +00 +1e +00 +38 +00 +22 +00 +38 +00 +23 +00 +41 +00 +27 +00 +47 +00 +25 +00 +43 +00 +21 +00 +47 +00 +27 +00 +42 +00 +25 +00 +43 +00 +21 +00 +39 +00 +23 +00 +44 +00 +32 +00 +36 +00 +28 +00 +48 +00 +20 +00 +50 +00 +62 +00 +6b +00 +31 +00 +4e +00 +1e +00 +47 +00 +1f +00 +36 +00 +38 +00 +41 +00 +2d +00 +39 +00 +27 +00 +43 +00 +29 +00 +46 +00 +23 +00 +44 +00 +28 +00 +2a +00 +1e +00 +31 +00 +1d +00 +2e +00 +1f +00 +35 +00 +21 +00 +2e +00 +1e +00 +38 +00 +31 +00 +4d +00 +29 +00 +66 +00 +38 +00 +7b +00 +3f +00 +6f +00 +28 +00 +46 +00 +29 +00 +43 +00 +2b +00 +57 +00 +66 +00 +ea +00 +40 +00 +77 +00 +47 +00 +95 +00 +e7 +00 +0a +20 +a0 +00 +7d +00 +5c +00 +4f +00 +51 +00 +66 +00 +3f +00 +56 +00 +34 +00 +44 +00 +2f +00 +3a +00 +24 +00 +3d +00 +23 +00 +3b +00 +2b +00 +3e +00 +1c +00 +35 +00 +18 +00 +45 +00 +28 +00 +3f +00 +1c +00 +39 +00 +1c +00 +31 +00 +21 +00 +40 +00 +21 +00 +40 +00 +24 +00 +3b +00 +20 +00 +3b +00 +21 +00 +43 +00 +32 +00 +54 +00 +69 +00 +43 +10 +26 +10 +dc +00 +67 +00 +74 +00 +5c +00 +a8 +00 +66 +00 +a1 +00 +61 +00 +a1 +00 +6e +00 +fe +00 +11 +10 +d8 +00 +4f +00 +62 +00 +38 +00 +54 +00 +30 +00 +48 +00 +34 +00 +53 +00 +2d +00 +50 +00 +25 +00 +53 +00 +23 +00 +3b +00 +20 +00 +43 +00 +1b +00 +2d +00 +16 +00 +2a +00 +23 +00 +30 +00 +1f +00 +2c +00 +1c +00 +31 +00 +19 +00 +40 +00 +22 +00 +3e +00 +25 +00 +4a +00 +2c +00 +4b +00 +55 +00 +4c +10 +96 +00 +93 +00 +60 +00 +7f +00 +bc +00 +c2 +00 +33 +10 +c5 +10 +b8 +00 +84 +00 +3f +00 +4d +00 +25 +00 +3f +00 +23 +00 +3c +00 +1d +00 +37 +00 +20 +00 +4e +00 +26 +00 +46 +00 +33 +00 +62 +00 +2f +00 +53 +00 +20 +00 +30 +00 +1b +00 +26 +00 +17 +00 +25 +00 +1b +00 +2a +00 +18 +00 +29 +00 +17 +00 +28 +00 +1e +00 +26 +00 +1f +00 +28 +00 +1d +00 +37 +00 +1f +00 +29 +00 +23 +00 +34 +00 +2f +00 +63 +00 +2e +00 +00 +00 +00 +00 +32 +00 +8d +00 +30 +00 +94 +00 +33 +00 +9c +00 +30 +00 +88 +00 +36 +00 +98 +00 +36 +00 +aa +00 +3d +00 +94 +00 +37 +00 +99 +00 +35 +00 +aa +00 +38 +00 +a7 +00 +3e +00 +9e +00 +3f +00 +9f +00 +3c +00 +a9 +00 +32 +00 +a4 +00 +39 +00 +ad +00 +40 +00 +b9 +00 +35 +00 +a7 +00 +3e +00 +14 +10 +39 +10 +96 +40 +2c +20 +1d +50 +b6 +00 +f5 +00 +26 +00 +67 +00 +1f +00 +50 +00 +20 +00 +59 +00 +15 +00 +52 +00 +19 +00 +57 +00 +21 +00 +54 +00 +26 +00 +61 +00 +2b +00 +74 +00 +2b +00 +5e +00 +2e +00 +86 +00 +58 +00 +43 +20 +31 +10 +f6 +10 +44 +00 +7e +00 +34 +00 +6d +00 +28 +00 +66 +00 +2e +00 +66 +00 +27 +00 +65 +00 +24 +00 +70 +00 +25 +00 +79 +00 +23 +00 +68 +00 +2e +00 +79 +00 +2c +00 +77 +00 +2f +00 +7b +00 +32 +00 +95 +00 +5a +00 +4e +10 +4f +00 +98 +00 +34 +00 +90 +00 +3f +00 +a2 +00 +40 +00 +a7 +00 +4f +00 +2b +10 +6a +00 +3f +10 +a1 +00 +f5 +20 +88 +00 +03 +10 +4b +00 +ee +00 +6e +00 +a6 +10 +ed +00 +14 +40 +5c +20 +70 +80 +ff +20 +58 +60 +15 +10 +3c +30 +9e +10 +2b +30 +9c +00 +4f +10 +54 +00 +d8 +00 +49 +00 +cf +00 +55 +00 +d3 +00 +55 +00 +00 +10 +69 +00 +a8 +10 +52 +10 +e3 +30 +9e +10 +b7 +60 +5d +20 +69 +70 +66 +30 +de +90 +72 +30 +47 +a0 +ac +30 +4c +a0 +7b +30 +b1 +70 +3e +10 +0f +40 +e8 +20 +4e +90 +8f +30 +61 +90 +0c +20 +81 +40 +41 +20 +4c +70 +db +20 +0f +90 +39 +30 +c5 +60 +e8 +10 +db +60 +c5 +20 +ba +60 +75 +10 +e0 +20 +bd +00 +a2 +20 +72 +10 +58 +50 +0a +20 +ec +30 +bf +00 +54 +20 +60 +10 +ad +30 +eb +00 +58 +20 +7d +00 +39 +10 +52 +00 +ae +00 +39 +00 +9c +00 +4b +00 +cd +00 +53 +00 +1a +10 +67 +00 +d6 +00 +3c +00 +b6 +00 +5f +00 +0e +20 +fa +10 +a9 +60 +9a +20 +61 +60 +1f +10 +d1 +10 +a9 +00 +7a +10 +83 +00 +2d +20 +19 +10 +6e +20 +70 +00 +03 +20 +6f +10 +bb +30 +ba +00 +76 +10 +4a +00 +a9 +00 +33 +00 +8f +00 +2e +00 +73 +00 +2c +00 +69 +00 +1e +00 +65 +00 +1f +00 +67 +00 +35 +00 +8e +00 +25 +00 +53 +00 +22 +00 +48 +00 +1d +00 +47 +00 +18 +00 +40 +00 +1a +00 +44 +00 +1d +00 +41 +00 +19 +00 +41 +00 +15 +00 +45 +00 +15 +00 +40 +00 +1e +00 +46 +00 +15 +00 +46 +00 +1b +00 +49 +00 +20 +00 +4a +00 +1d +00 +45 +00 +19 +00 +39 +00 +15 +00 +35 +00 +16 +00 +2b +00 +11 +00 +2c +00 +11 +00 +2b +00 +12 +00 +2b +00 +13 +00 +2f +00 +11 +00 +3e +00 +12 +00 +44 +00 +1a +00 +43 +00 +1a +00 +3e +00 +16 +00 +35 +00 +21 +00 +45 +00 +17 +00 +47 +00 +18 +00 +4d +00 +15 +00 +50 +00 +1c +00 +3e +00 +1b +00 +3e +00 +1b +00 +3f +00 +1a +00 +53 +00 +18 +00 +3a +00 +15 +00 +3f +00 +19 +00 +36 +00 +1c +00 +3a +00 +1b +00 +47 +00 +16 +00 +45 +00 +19 +00 +65 +00 +57 +00 +53 +00 +1b +00 +3b +00 +2f +00 +46 +10 +57 +00 +64 +00 +1b +00 +51 +00 +1a +00 +2e +00 +13 +00 +71 +00 +4d +00 +5c +00 +1a +00 +42 +00 +21 +00 +49 +00 +1b +00 +3d +00 +1a +00 +39 +00 +11 +00 +2b +00 +13 +00 +35 +00 +0e +00 +29 +00 +12 +00 +34 +00 +17 +00 +3c +00 +1d +00 +3e +00 +1c +00 +53 +00 +1c +00 +5e +00 +19 +00 +6b +00 +2f +00 +5f +00 +18 +00 +3b +00 +1e +00 +4b +00 +21 +00 +92 +00 +33 +00 +84 +00 +49 +00 +a8 +00 +4f +00 +db +10 +b4 +00 +44 +10 +97 +00 +c4 +00 +26 +00 +6e +00 +2d +00 +63 +00 +19 +00 +44 +00 +1c +00 +3d +00 +1c +00 +3f +00 +1f +00 +34 +00 +13 +00 +3e +00 +1c +00 +47 +00 +18 +00 +3c +00 +1d +00 +42 +00 +17 +00 +2e +00 +13 +00 +35 +00 +10 +00 +35 +00 +1d +00 +36 +00 +17 +00 +39 +00 +1b +00 +3d +00 +1a +00 +3f +00 +19 +00 +56 +00 +2f +00 +da +00 +88 +00 +8b +10 +4f +00 +c0 +00 +3a +00 +7f +00 +32 +00 +73 +00 +22 +00 +86 +00 +27 +00 +70 +00 +53 +00 +b5 +10 +51 +00 +9b +00 +2c +00 +67 +00 +24 +00 +64 +00 +32 +00 +4d +00 +1c +00 +4c +00 +16 +00 +40 +00 +1d +00 +3b +00 +1b +00 +43 +00 +16 +00 +37 +00 +15 +00 +36 +00 +16 +00 +34 +00 +15 +00 +2f +00 +15 +00 +2e +00 +12 +00 +31 +00 +17 +00 +36 +00 +1b +00 +38 +00 +1f +00 +49 +00 +1f +00 +7f +00 +87 +00 +bc +10 +46 +00 +97 +00 +51 +00 +ba +10 +13 +10 +8c +30 +e4 +00 +4a +10 +2b +00 +5e +00 +1e +00 +3f +00 +1b +00 +37 +00 +18 +00 +3c +00 +15 +00 +3d +00 +1f +00 +48 +00 +19 +00 +4f +00 +22 +00 +49 +00 +18 +00 +33 +00 +15 +00 +2a +00 +11 +00 +2b +00 +14 +00 +24 +00 +11 +00 +28 +00 +0f +00 +2d +00 +11 +00 +2b +00 +0e +00 +23 +00 +13 +00 +2e +00 +11 +00 +2e +00 +28 +00 +63 +00 +17 +00 +50 +00 +20 +00 +56 +00 +00 +00 +00 +00 +8c +00 +63 +00 +91 +00 +5e +00 +91 +00 +6e +00 +84 +00 +65 +00 +9b +00 +76 +00 +a6 +00 +60 +00 +99 +00 +72 +00 +99 +00 +77 +00 +9d +00 +74 +00 +a9 +00 +74 +00 +a4 +00 +77 +00 +a0 +00 +71 +00 +a2 +00 +6b +00 +ae +00 +63 +00 +aa +00 +74 +00 +af +00 +74 +00 +af +00 +73 +00 +bd +00 +21 +10 +30 +30 +0c +20 +b8 +40 +9f +10 +20 +20 +a3 +00 +8c +00 +43 +00 +4f +00 +40 +00 +55 +00 +2e +00 +3f +00 +37 +00 +50 +00 +40 +00 +51 +00 +30 +00 +6e +00 +48 +00 +83 +00 +47 +00 +7f +00 +43 +00 +76 +00 +70 +00 +b8 +00 +f4 +00 +36 +20 +bf +00 +cb +00 +58 +00 +7d +00 +4d +00 +68 +00 +4e +00 +7b +00 +56 +00 +68 +00 +63 +00 +73 +00 +6b +00 +8b +00 +48 +00 +7d +00 +41 +00 +6b +00 +44 +00 +7f +00 +4a +00 +71 +00 +49 +00 +84 +00 +91 +00 +f6 +10 +1a +10 +5b +10 +71 +00 +95 +00 +6b +00 +a1 +00 +61 +00 +91 +00 +76 +00 +ce +00 +be +00 +0a +10 +cc +00 +3d +10 +89 +10 +40 +20 +f0 +00 +d9 +00 +a9 +00 +f9 +00 +e3 +00 +8a +10 +c7 +10 +2c +30 +84 +30 +1b +60 +b7 +20 +e8 +20 +c0 +10 +a0 +20 +9b +10 +c0 +10 +d3 +00 +f7 +00 +8b +00 +cb +00 +80 +00 +be +00 +83 +00 +d1 +00 +bf +00 +0a +10 +04 +10 +42 +20 +5a +20 +ff +40 +dd +40 +a3 +80 +8e +50 +e4 +70 +7a +60 +cc +90 +22 +70 +3b +a0 +02 +70 +2a +a0 +17 +50 +59 +40 +ea +30 +6c +70 +e2 +50 +cd +90 +b5 +50 +f6 +50 +3c +30 +f8 +20 +dd +20 +11 +40 +2c +40 +7c +80 +79 +40 +a4 +60 +60 +50 +48 +80 +d5 +40 +83 +60 +65 +30 +6c +30 +d9 +20 +bc +40 +d6 +30 +eb +50 +84 +20 +45 +20 +7e +10 +e5 +10 +47 +10 +2b +20 +37 +10 +4e +10 +cc +00 +cc +00 +76 +00 +a1 +00 +98 +00 +c5 +00 +47 +10 +d5 +10 +3f +10 +f3 +20 +b9 +00 +c3 +00 +bd +00 +ee +00 +39 +30 +1f +60 +6f +40 +7b +70 +e2 +20 +0f +30 +16 +30 +d2 +40 +cb +30 +36 +40 +28 +40 +3a +60 +a8 +20 +3f +20 +18 +20 +ec +30 +36 +10 +a8 +10 +ca +00 +b7 +00 +70 +00 +a0 +00 +4e +00 +8e +00 +4d +00 +76 +00 +4b +00 +65 +00 +37 +00 +52 +00 +4d +00 +8b +00 +4e +00 +77 +00 +2a +00 +4c +00 +37 +00 +b6 +00 +52 +00 +4e +00 +2b +00 +46 +00 +27 +00 +3f +00 +2d +00 +4e +00 +2a +00 +45 +00 +24 +00 +3f +00 +2d +00 +4c +00 +2e +00 +47 +00 +23 +00 +44 +00 +23 +00 +60 +00 +27 +00 +40 +00 +28 +00 +41 +00 +24 +00 +39 +00 +20 +00 +2b +00 +1b +00 +29 +00 +19 +00 +2f +00 +1d +00 +2e +00 +24 +00 +3f +00 +21 +00 +32 +00 +22 +00 +41 +00 +27 +00 +50 +00 +29 +00 +4b +00 +28 +00 +40 +00 +48 +00 +41 +00 +32 +00 +46 +00 +25 +00 +46 +00 +26 +00 +41 +00 +26 +00 +43 +00 +2d +00 +3d +00 +21 +00 +38 +00 +27 +00 +39 +00 +2d +00 +3d +00 +24 +00 +38 +00 +21 +00 +3a +00 +23 +00 +3d +00 +19 +00 +40 +00 +2e +00 +42 +00 +23 +00 +3f +00 +2e +00 +0d +10 +49 +00 +5e +00 +2c +00 +62 +00 +32 +00 +84 +00 +33 +00 +48 +00 +20 +00 +3c +00 +22 +00 +3d +00 +30 +00 +8a +00 +39 +00 +4c +00 +2b +00 +54 +00 +33 +00 +49 +00 +52 +00 +4a +00 +32 +00 +32 +00 +20 +00 +39 +00 +1b +00 +31 +00 +22 +00 +2f +00 +2c +00 +39 +00 +2e +00 +3c +00 +2b +00 +3d +00 +29 +00 +4c +00 +30 +00 +4d +00 +2d +00 +58 +00 +32 +00 +51 +00 +1d +00 +3e +00 +29 +00 +44 +00 +2b +00 +5e +00 +55 +00 +b8 +10 +b2 +00 +f0 +00 +0f +10 +19 +30 +cb +00 +56 +10 +ba +00 +c3 +00 +8e +10 +08 +20 +7a +00 +50 +00 +40 +00 +55 +00 +55 +00 +51 +00 +29 +00 +3e +00 +1f +00 +35 +00 +21 +00 +46 +00 +23 +00 +3f +00 +23 +00 +51 +00 +24 +00 +39 +00 +1f +00 +31 +00 +1e +00 +3e +00 +1b +00 +3e +00 +23 +00 +3c +00 +27 +00 +42 +00 +32 +00 +46 +00 +43 +00 +4e +00 +72 +00 +91 +10 +73 +10 +b3 +20 +d7 +00 +e7 +00 +29 +10 +9c +10 +c9 +00 +76 +00 +55 +00 +58 +00 +48 +00 +50 +00 +57 +00 +88 +00 +4d +00 +a1 +00 +4f +00 +88 +00 +45 +00 +75 +00 +7a +00 +c9 +00 +47 +00 +52 +00 +30 +00 +57 +00 +2a +00 +4c +00 +22 +00 +42 +00 +23 +00 +3e +00 +21 +00 +34 +00 +1f +00 +31 +00 +21 +00 +29 +00 +1f +00 +39 +00 +1a +00 +2e +00 +25 +00 +3e +00 +25 +00 +31 +00 +29 +00 +3b +00 +28 +00 +4e +00 +54 +00 +44 +10 +8a +00 +2f +10 +e5 +00 +65 +20 +42 +20 +4d +40 +79 +10 +6b +10 +a0 +00 +92 +00 +48 +00 +38 +00 +26 +00 +3c +00 +2a +00 +40 +00 +21 +00 +3c +00 +27 +00 +50 +00 +2f +00 +42 +00 +2c +00 +3f +00 +24 +00 +34 +00 +20 +00 +2e +00 +1d +00 +2f +00 +18 +00 +26 +00 +18 +00 +2a +00 +1b +00 +2a +00 +1c +00 +2d +00 +1e +00 +30 +00 +1e +00 +33 +00 +23 +00 +36 +00 +46 +00 +04 +10 +09 +10 +9c +00 +40 +00 +4f +00 +33 +00 +00 +00 +00 +00 +34 +00 +9b +00 +34 +00 +9c +00 +35 +00 +96 +00 +39 +00 +9e +00 +38 +00 +97 +00 +3f +00 +aa +00 +37 +00 +a5 +00 +3c +00 +99 +00 +37 +00 +9f +00 +39 +00 +ad +00 +3e +00 +9c +00 +3e +00 +b1 +00 +37 +00 +98 +00 +37 +00 +a9 +00 +3e +00 +a8 +00 +3a +00 +9f +00 +3f +00 +a0 +00 +3f +00 +15 +10 +96 +00 +ea +10 +c8 +00 +e4 +10 +53 +00 +c9 +00 +20 +00 +56 +00 +20 +00 +4d +00 +1e +00 +55 +00 +1f +00 +5f +00 +20 +00 +6b +00 +25 +00 +66 +00 +22 +00 +73 +00 +2f +00 +81 +00 +2a +00 +6d +00 +2a +00 +7c +00 +35 +00 +12 +10 +70 +00 +29 +10 +3a +00 +83 +00 +2e +00 +6f +00 +27 +00 +7d +00 +2a +00 +6e +00 +30 +00 +80 +00 +47 +00 +b4 +00 +32 +00 +73 +00 +26 +00 +67 +00 +31 +00 +73 +00 +2e +00 +7d +00 +2a +00 +85 +00 +2d +00 +b8 +00 +b6 +00 +ee +10 +69 +00 +d0 +00 +41 +00 +b5 +00 +41 +00 +94 +00 +34 +00 +b3 +00 +4a +00 +cd +00 +4d +00 +db +00 +9f +00 +26 +30 +d6 +00 +6a +10 +53 +00 +c0 +00 +54 +00 +1c +10 +80 +00 +bc +10 +22 +10 +7e +40 +77 +10 +40 +30 +91 +00 +bf +10 +9a +00 +f7 +10 +7d +00 +14 +10 +59 +00 +dd +00 +46 +00 +be +00 +4e +00 +d5 +00 +4e +00 +c2 +00 +4d +00 +15 +10 +a3 +00 +e3 +20 +68 +20 +2f +80 +79 +30 +5f +90 +76 +20 +ac +80 +a0 +30 +54 +a0 +92 +30 +35 +a0 +97 +30 +9c +80 +49 +10 +05 +40 +b4 +20 +4f +90 +62 +30 +f2 +80 +bc +10 +86 +30 +c4 +00 +84 +20 +f5 +00 +7b +40 +0b +20 +9f +50 +b0 +20 +55 +80 +0f +30 +19 +80 +1e +20 +66 +40 +7f +10 +9d +40 +89 +10 +7b +60 +42 +20 +7f +40 +b9 +00 +b4 +10 +78 +00 +7e +10 +86 +00 +74 +10 +5f +00 +cd +00 +3f +00 +aa +00 +4c +00 +08 +10 +88 +00 +dd +10 +c3 +00 +d4 +10 +b5 +00 +82 +10 +45 +00 +ae +00 +e8 +00 +4a +50 +92 +20 +48 +80 +1d +20 +00 +40 +1f +10 +f1 +40 +85 +20 +c3 +70 +c9 +20 +98 +70 +8a +20 +cb +40 +c1 +00 +59 +20 +e6 +00 +f3 +10 +66 +00 +ea +00 +3b +00 +9b +00 +39 +00 +9a +00 +3a +00 +91 +00 +2f +00 +7d +00 +22 +00 +59 +00 +24 +00 +92 +00 +31 +00 +8d +00 +2a +00 +58 +00 +16 +00 +5a +00 +54 +00 +b6 +00 +2d +00 +53 +00 +16 +00 +39 +00 +1a +00 +4b +00 +1c +00 +45 +00 +17 +00 +3b +00 +19 +00 +4a +00 +23 +00 +57 +00 +1b +00 +4e +00 +20 +00 +52 +00 +17 +00 +45 +00 +17 +00 +41 +00 +20 +00 +38 +00 +12 +00 +2c +00 +0f +00 +30 +00 +11 +00 +29 +00 +13 +00 +30 +00 +0f +00 +4a +00 +1c +00 +3a +00 +15 +00 +3f +00 +11 +00 +43 +00 +1d +00 +56 +00 +28 +00 +4b +00 +2c +00 +b6 +00 +52 +00 +75 +00 +1b +00 +46 +00 +18 +00 +43 +00 +18 +00 +50 +00 +1c +00 +39 +00 +1b +00 +41 +00 +14 +00 +3f +00 +17 +00 +41 +00 +1b +00 +40 +00 +19 +00 +3d +00 +16 +00 +35 +00 +18 +00 +42 +00 +14 +00 +4a +00 +25 +00 +5f +00 +1a +00 +4e +00 +33 +00 +a5 +00 +1e +00 +45 +00 +13 +00 +4f +00 +17 +00 +5f +00 +1b +00 +3b +00 +16 +00 +30 +00 +16 +00 +42 +00 +19 +00 +46 +00 +1a +00 +4f +00 +2e +00 +68 +00 +2c +00 +e7 +00 +31 +00 +44 +00 +17 +00 +39 +00 +15 +00 +39 +00 +13 +00 +3c +00 +22 +00 +43 +00 +1a +00 +46 +00 +12 +00 +3c +00 +17 +00 +3e +00 +0f +00 +39 +00 +1e +00 +51 +00 +24 +00 +5c +00 +1e +00 +45 +00 +13 +00 +3b +00 +1a +00 +50 +00 +20 +00 +65 +00 +7c +00 +3f +10 +48 +00 +ff +00 +67 +00 +fd +00 +59 +00 +c2 +00 +45 +10 +a7 +40 +05 +10 +00 +10 +20 +00 +54 +00 +84 +00 +9b +10 +24 +00 +41 +00 +1a +00 +31 +00 +13 +00 +32 +00 +14 +00 +31 +00 +15 +00 +3e +00 +23 +00 +41 +00 +15 +00 +2e +00 +17 +00 +47 +00 +16 +00 +34 +00 +1c +00 +41 +00 +19 +00 +49 +00 +21 +00 +62 +00 +5e +00 +8f +00 +28 +00 +7d +00 +52 +00 +43 +10 +d3 +00 +ea +10 +ab +00 +c4 +20 +2c +10 +9a +10 +34 +00 +67 +00 +21 +00 +4d +00 +1b +00 +46 +00 +25 +00 +77 +00 +2b +00 +83 +00 +31 +00 +6f +00 +5e +00 +82 +10 +56 +00 +79 +00 +1c +00 +4f +00 +1e +00 +52 +00 +21 +00 +42 +00 +16 +00 +3f +00 +1b +00 +33 +00 +15 +00 +34 +00 +17 +00 +2c +00 +10 +00 +30 +00 +1b +00 +36 +00 +18 +00 +3e +00 +1b +00 +44 +00 +17 +00 +40 +00 +19 +00 +48 +00 +1f +00 +6e +00 +35 +00 +97 +00 +37 +00 +f8 +00 +e0 +00 +b4 +30 +c5 +10 +1b +30 +6c +00 +c4 +00 +29 +00 +4f +00 +1a +00 +40 +00 +18 +00 +4c +00 +19 +00 +41 +00 +11 +00 +48 +00 +1c +00 +53 +00 +1f +00 +43 +00 +15 +00 +3a +00 +11 +00 +2e +00 +11 +00 +30 +00 +0f +00 +28 +00 +0f +00 +2b +00 +1a +00 +3c +00 +17 +00 +31 +00 +16 +00 +29 +00 +1a +00 +2c +00 +14 +00 +35 +00 +12 +00 +56 +00 +a0 +00 +11 +20 +41 +00 +58 +00 +57 +00 +79 +00 +00 +00 +00 +00 +8e +00 +60 +00 +89 +00 +6e +00 +8e +00 +64 +00 +97 +00 +6a +00 +97 +00 +78 +00 +a5 +00 +63 +00 +ab +00 +6c +00 +a2 +00 +62 +00 +9c +00 +6a +00 +ab +00 +75 +00 +9c +00 +77 +00 +8c +00 +70 +00 +a6 +00 +75 +00 +a5 +00 +6e +00 +a0 +00 +66 +00 +a8 +00 +6e +00 +a3 +00 +7a +00 +b3 +00 +af +00 +1f +10 +bf +00 +4c +10 +a5 +00 +b0 +00 +61 +00 +6d +00 +35 +00 +66 +00 +32 +00 +44 +00 +39 +00 +6e +00 +3b +00 +61 +00 +34 +00 +65 +00 +36 +00 +6d +00 +52 +00 +db +00 +4b +00 +72 +00 +48 +00 +6d +00 +5a +00 +8d +00 +8b +00 +c8 +00 +8a +00 +94 +00 +53 +00 +80 +00 +46 +00 +6f +00 +6a +00 +81 +00 +c2 +00 +b0 +00 +09 +10 +64 +20 +df +00 +c6 +00 +4c +00 +70 +00 +4e +00 +66 +00 +50 +00 +75 +00 +4e +00 +7b +00 +57 +00 +80 +00 +63 +00 +c9 +00 +88 +00 +0b +10 +be +00 +72 +10 +a3 +00 +ad +00 +73 +00 +ab +00 +76 +00 +bc +00 +83 +00 +c8 +00 +d0 +00 +80 +10 +53 +20 +98 +30 +48 +10 +09 +10 +b5 +00 +db +00 +07 +10 +54 +10 +90 +20 +f6 +30 +6f +30 +a6 +40 +53 +20 +c6 +10 +ab +20 +66 +30 +8f +20 +e1 +10 +14 +10 +fe +00 +cb +00 +d9 +00 +98 +00 +e1 +00 +7d +00 +c2 +00 +97 +00 +d6 +00 +c9 +00 +48 +10 +d5 +20 +bd +60 +80 +50 +8e +90 +79 +60 +33 +80 +2a +60 +80 +90 +fc +60 +63 +a0 +f2 +60 +51 +a0 +5d +50 +f2 +40 +8f +40 +5a +70 +50 +60 +b0 +90 +32 +60 +7f +80 +0a +40 +b6 +20 +2e +20 +d6 +20 +e8 +20 +1c +50 +fb +40 +c2 +70 +53 +50 +e4 +80 +15 +40 +d4 +40 +01 +20 +71 +20 +8a +10 +88 +20 +eb +20 +c7 +60 +17 +40 +4f +50 +a2 +10 +77 +10 +dc +00 +37 +10 +f6 +00 +46 +10 +91 +00 +c0 +00 +e8 +00 +7f +10 +d7 +10 +c0 +20 +5a +10 +66 +10 +dd +00 +ec +00 +a0 +00 +f8 +00 +de +10 +5d +30 +ba +30 +94 +70 +b7 +30 +f0 +40 +10 +30 +91 +40 +c8 +40 +91 +70 +5e +50 +8a +80 +ff +40 +c7 +70 +d4 +20 +6a +20 +36 +10 +30 +10 +c5 +00 +05 +10 +87 +00 +9d +00 +5a +00 +8a +00 +5d +00 +84 +00 +43 +00 +7d +00 +39 +00 +76 +00 +38 +00 +66 +00 +3c +00 +6d +00 +36 +00 +63 +00 +2f +00 +48 +00 +39 +00 +7c +00 +34 +00 +76 +00 +3b +00 +4a +00 +2f +00 +54 +00 +25 +00 +43 +00 +2b +00 +41 +00 +25 +00 +3d +00 +2a +00 +4d +00 +26 +00 +4d +00 +2b +00 +4e +00 +28 +00 +48 +00 +23 +00 +37 +00 +24 +00 +32 +00 +17 +00 +2e +00 +1e +00 +30 +00 +25 +00 +32 +00 +23 +00 +33 +00 +1b +00 +35 +00 +23 +00 +51 +00 +1d +00 +46 +00 +27 +00 +37 +00 +24 +00 +4a +00 +32 +00 +7b +00 +5c +00 +2e +10 +8d +00 +49 +10 +4d +00 +54 +00 +2b +00 +37 +00 +22 +00 +47 +00 +26 +00 +3f +00 +22 +00 +41 +00 +20 +00 +42 +00 +25 +00 +50 +00 +27 +00 +46 +00 +1f +00 +34 +00 +22 +00 +37 +00 +1d +00 +37 +00 +1b +00 +3e +00 +23 +00 +5b +00 +2b +00 +48 +00 +2e +00 +61 +00 +2d +00 +5b +00 +2b +00 +3f +00 +25 +00 +44 +00 +21 +00 +38 +00 +1a +00 +3a +00 +1c +00 +37 +00 +28 +00 +42 +00 +2c +00 +46 +00 +4d +00 +9d +00 +f5 +00 +98 +10 +88 +00 +89 +00 +45 +00 +41 +00 +2b +00 +3d +00 +3d +00 +42 +00 +4c +00 +f9 +00 +17 +10 +b1 +00 +58 +00 +51 +00 +36 +00 +39 +00 +24 +00 +2a +00 +2b +00 +3d +00 +27 +00 +48 +00 +2b +00 +41 +00 +23 +00 +3a +00 +1c +00 +3b +00 +2b +00 +3b +00 +36 +00 +72 +00 +4d +00 +95 +00 +59 +00 +9d +00 +6b +00 +28 +10 +8d +00 +7c +10 +cd +00 +c2 +10 +81 +00 +91 +00 +57 +00 +a2 +00 +4e +00 +85 +00 +2b +00 +36 +00 +1e +00 +2d +00 +18 +00 +2b +00 +1d +00 +32 +00 +21 +00 +33 +00 +20 +00 +39 +00 +1d +00 +35 +00 +22 +00 +40 +00 +24 +00 +35 +00 +21 +00 +47 +00 +2b +00 +4b +00 +7a +00 +3b +20 +30 +10 +ac +00 +97 +00 +91 +00 +7e +00 +d3 +00 +f4 +00 +79 +10 +eb +00 +23 +20 +af +00 +a7 +00 +62 +00 +50 +00 +2c +00 +44 +00 +37 +00 +50 +00 +3b +00 +4f +00 +48 +00 +5c +00 +4e +00 +7d +00 +8c +00 +d4 +10 +60 +00 +6c +00 +43 +00 +56 +00 +2d +00 +50 +00 +2e +00 +3e +00 +1f +00 +32 +00 +21 +00 +36 +00 +1c +00 +36 +00 +1c +00 +34 +00 +1d +00 +32 +00 +1b +00 +32 +00 +21 +00 +41 +00 +22 +00 +4a +00 +23 +00 +4a +00 +29 +00 +37 +00 +31 +00 +75 +00 +56 +00 +77 +00 +6d +00 +de +00 +01 +10 +34 +30 +39 +10 +56 +10 +9b +00 +75 +00 +51 +00 +50 +00 +3c +00 +3e +00 +2e +00 +3b +00 +2b +00 +3a +00 +24 +00 +47 +00 +2a +00 +4d +00 +27 +00 +3c +00 +20 +00 +30 +00 +1d +00 +2c +00 +1a +00 +29 +00 +19 +00 +28 +00 +1c +00 +61 +00 +1e +00 +33 +00 +1e +00 +27 +00 +1c +00 +3f +00 +1e +00 +38 +00 +21 +00 +40 +00 +3a +00 +9d +00 +3d +00 +a8 +00 +48 +00 +68 +00 +31 +00 +00 +00 +00 +00 +34 +00 +b5 +00 +32 +00 +97 +00 +3e +00 +9c +00 +38 +00 +ac +00 +38 +00 +9a +00 +34 +00 +90 +00 +3e +00 +93 +00 +36 +00 +9a +00 +34 +00 +a4 +00 +3f +00 +9c +00 +38 +00 +a4 +00 +3a +00 +9b +00 +36 +00 +ac +00 +3b +00 +ab +00 +30 +00 +93 +00 +3a +00 +ad +00 +36 +00 +9d +00 +34 +00 +aa +00 +43 +00 +f1 +00 +3c +00 +bb +00 +27 +00 +6f +00 +20 +00 +5e +00 +1a +00 +59 +00 +2b +00 +71 +00 +28 +00 +69 +00 +22 +00 +6b +00 +20 +00 +65 +00 +27 +00 +69 +00 +3a +00 +98 +00 +2d +00 +70 +00 +26 +00 +78 +00 +30 +00 +b1 +00 +46 +00 +cc +00 +3a +00 +85 +00 +38 +00 +7b +00 +2d +00 +b5 +00 +6d +00 +20 +20 +a5 +00 +f2 +10 +3a +10 +da +10 +49 +00 +7c +00 +29 +00 +69 +00 +32 +00 +6a +00 +2e +00 +83 +00 +30 +00 +80 +00 +39 +00 +97 +00 +47 +00 +29 +10 +65 +00 +62 +10 +a2 +00 +1f +10 +45 +00 +a4 +00 +40 +00 +b3 +00 +46 +00 +c0 +00 +4c +00 +d9 +00 +c4 +00 +4e +40 +5f +10 +1f +20 +5f +00 +01 +10 +5e +00 +2b +10 +f3 +00 +93 +40 +82 +20 +68 +60 +6a +10 +a6 +20 +dc +00 +48 +40 +a1 +20 +78 +60 +f1 +00 +90 +10 +6e +00 +d6 +10 +78 +00 +e7 +00 +45 +00 +b1 +00 +4e +00 +c8 +00 +50 +00 +e4 +00 +70 +00 +c3 +20 +95 +20 +0b +90 +8a +30 +a1 +90 +fe +20 +a7 +70 +68 +30 +2a +a0 +c0 +30 +af +a0 +a3 +30 +32 +90 +88 +10 +2a +50 +15 +30 +6e +90 +56 +30 +d8 +90 +26 +30 +3a +70 +0a +10 +24 +20 +b9 +00 +1d +30 +27 +20 +7b +70 +ec +20 +7c +80 +79 +20 +fe +40 +fb +00 +5c +20 +91 +00 +95 +10 +99 +00 +c4 +20 +17 +20 +72 +60 +87 +10 +e5 +20 +8a +00 +2e +10 +5f +00 +21 +10 +85 +00 +24 +10 +4e +00 +a0 +10 +36 +10 +97 +30 +1b +10 +41 +20 +62 +00 +e4 +00 +49 +00 +bd +00 +c9 +00 +8b +30 +08 +20 +0d +60 +0f +20 +fa +40 +16 +10 +e0 +30 +63 +20 +95 +70 +17 +30 +ad +80 +3a +30 +07 +90 +ec +10 +73 +30 +82 +00 +29 +10 +49 +00 +f1 +00 +41 +00 +af +00 +38 +00 +8e +00 +31 +00 +90 +00 +37 +00 +83 +00 +29 +00 +6a +00 +27 +00 +5d +00 +21 +00 +63 +00 +26 +00 +6d +00 +26 +00 +50 +00 +1c +00 +47 +00 +26 +00 +6a +00 +26 +00 +64 +00 +23 +00 +4a +00 +1f +00 +46 +00 +1b +00 +3e +00 +19 +00 +4c +00 +1a +00 +40 +00 +1d +00 +54 +00 +1d +00 +57 +00 +1c +00 +4c +00 +18 +00 +38 +00 +16 +00 +39 +00 +11 +00 +34 +00 +18 +00 +2e +00 +0c +00 +2d +00 +11 +00 +2f +00 +17 +00 +3b +00 +15 +00 +40 +00 +18 +00 +4b +00 +17 +00 +43 +00 +17 +00 +4c +00 +1d +00 +52 +00 +36 +00 +99 +00 +66 +00 +d6 +00 +39 +00 +93 +00 +22 +00 +48 +00 +16 +00 +43 +00 +29 +00 +5e +00 +19 +00 +4e +00 +1b +00 +41 +00 +1a +00 +3e +00 +1a +00 +48 +00 +19 +00 +3b +00 +1b +00 +3e +00 +16 +00 +42 +00 +14 +00 +37 +00 +17 +00 +45 +00 +34 +00 +6e +00 +19 +00 +4e +00 +17 +00 +50 +00 +1e +00 +49 +00 +17 +00 +3c +00 +13 +00 +3d +00 +18 +00 +39 +00 +11 +00 +38 +00 +15 +00 +3c +00 +1b +00 +57 +00 +24 +00 +69 +00 +bc +00 +4b +20 +f2 +00 +7a +10 +36 +00 +77 +00 +1e +00 +3a +00 +2d +00 +b6 +00 +24 +00 +72 +00 +a0 +00 +33 +30 +88 +00 +ae +00 +1f +00 +49 +00 +17 +00 +3d +00 +16 +00 +3f +00 +18 +00 +3b +00 +1e +00 +4a +00 +1b +00 +3a +00 +16 +00 +35 +00 +17 +00 +3d +00 +1b +00 +35 +00 +1f +00 +5c +00 +24 +00 +71 +00 +24 +00 +7d +00 +26 +00 +79 +00 +38 +00 +c5 +00 +45 +00 +b8 +00 +22 +00 +54 +00 +1d +00 +50 +00 +21 +00 +43 +00 +0f +00 +28 +00 +17 +00 +2d +00 +0d +00 +2b +00 +10 +00 +29 +00 +14 +00 +2b +00 +18 +00 +31 +00 +12 +00 +43 +00 +1a +00 +3f +00 +19 +00 +40 +00 +16 +00 +39 +00 +1a +00 +7d +00 +21 +10 +8f +30 +55 +00 +9e +00 +27 +00 +a6 +00 +6d +00 +3e +20 +98 +00 +33 +10 +52 +00 +d6 +00 +2f +00 +66 +00 +1e +00 +46 +00 +19 +00 +48 +00 +20 +00 +56 +00 +1b +00 +61 +00 +2a +00 +72 +00 +2b +00 +91 +00 +67 +00 +cb +00 +4b +00 +99 +00 +1e +00 +57 +00 +1f +00 +3f +00 +1e +00 +3c +00 +11 +00 +33 +00 +0f +00 +2e +00 +11 +00 +35 +00 +13 +00 +2e +00 +14 +00 +2f +00 +16 +00 +32 +00 +19 +00 +3f +00 +20 +00 +3e +00 +18 +00 +48 +00 +18 +00 +43 +00 +19 +00 +77 +00 +25 +00 +81 +00 +3e +00 +12 +10 +8a +00 +41 +10 +4f +00 +a9 +00 +3b +00 +6d +00 +2a +00 +5e +00 +1f +00 +4b +00 +16 +00 +40 +00 +1b +00 +47 +00 +1c +00 +44 +00 +1f +00 +36 +00 +19 +00 +34 +00 +14 +00 +29 +00 +13 +00 +2c +00 +12 +00 +2f +00 +13 +00 +32 +00 +16 +00 +4c +00 +1f +00 +41 +00 +11 +00 +39 +00 +17 +00 +2e +00 +10 +00 +39 +00 +19 +00 +55 +00 +26 +00 +8a +00 +27 +00 +74 +00 +21 +00 +54 +00 +00 +00 +00 +00 +93 +00 +6b +00 +95 +00 +66 +00 +86 +00 +70 +00 +99 +00 +6c +00 +a5 +00 +5e +00 +93 +00 +6c +00 +a2 +00 +6d +00 +9b +00 +68 +00 +ad +00 +72 +00 +af +00 +6d +00 +aa +00 +68 +00 +a4 +00 +6f +00 +a7 +00 +63 +00 +a6 +00 +6e +00 +8c +00 +73 +00 +a8 +00 +68 +00 +9c +00 +73 +00 +92 +00 +82 +00 +ad +00 +8f +00 +96 +00 +52 +00 +6f +00 +46 +00 +55 +00 +3d +00 +68 +00 +39 +00 +56 +00 +3e +00 +65 +00 +3b +00 +60 +00 +3d +00 +67 +00 +3c +00 +6e +00 +49 +00 +70 +00 +49 +00 +6e +00 +54 +00 +75 +00 +82 +00 +9d +00 +32 +10 +91 +10 +1e +10 +ee +00 +5e +00 +81 +00 +5e +00 +b9 +00 +c3 +00 +b6 +10 +c9 +00 +b9 +10 +5a +10 +6b +20 +cb +00 +ce +00 +63 +00 +6a +00 +55 +00 +9e +00 +76 +00 +b6 +00 +51 +00 +7b +00 +51 +00 +7c +00 +67 +00 +b3 +00 +7f +00 +03 +10 +a8 +00 +42 +10 +85 +00 +b4 +00 +67 +00 +a6 +00 +6f +00 +b7 +00 +82 +00 +cc +00 +cd +00 +3b +10 +27 +20 +43 +50 +8d +20 +d3 +10 +37 +10 +e5 +10 +62 +20 +e9 +40 +44 +40 +d6 +70 +f7 +30 +7e +40 +5e +30 +b7 +40 +90 +40 +43 +80 +b6 +40 +d6 +50 +23 +20 +75 +10 +8f +10 +69 +20 +1b +10 +eb +00 +ac +00 +db +00 +84 +00 +bf +00 +b1 +00 +1a +10 +1c +20 +ad +50 +c2 +50 +0f +a0 +a4 +60 +b8 +90 +e6 +50 +71 +80 +be +60 +51 +a0 +13 +70 +59 +a0 +c0 +50 +7f +50 +8a +40 +06 +80 +76 +60 +08 +a0 +7a +60 +12 +90 +54 +40 +cc +30 +f9 +10 +cb +10 +97 +20 +70 +50 +0a +40 +a5 +60 +79 +30 +db +40 +55 +20 +b0 +20 +91 +10 +8e +10 +b6 +10 +b5 +10 +45 +20 +ca +30 +fc +10 +bd +20 +3a +10 +5d +10 +b6 +00 +ed +00 +dc +00 +71 +20 +d2 +00 +02 +10 +fe +00 +3f +20 +c9 +20 +88 +50 +e3 +10 +85 +10 +ae +00 +ac +00 +9b +00 +30 +10 +2e +20 +57 +50 +0a +20 +2b +30 +4c +20 +e7 +20 +6e +40 +3a +70 +88 +50 +e8 +80 +0c +60 +82 +90 +7d +40 +06 +50 +3f +20 +92 +10 +d0 +00 +cb +00 +ad +00 +75 +10 +79 +00 +98 +00 +60 +00 +85 +00 +5e +00 +87 +00 +54 +00 +70 +00 +42 +00 +5f +00 +38 +00 +59 +00 +2f +00 +62 +00 +39 +00 +54 +00 +35 +00 +5e +00 +35 +00 +64 +00 +3e +00 +64 +00 +82 +00 +c8 +00 +5a +00 +5b +00 +2f +00 +4c +00 +25 +00 +48 +00 +2c +00 +45 +00 +27 +00 +4e +00 +25 +00 +60 +00 +24 +00 +53 +00 +32 +00 +3c +00 +24 +00 +3c +00 +23 +00 +38 +00 +1e +00 +2f +00 +20 +00 +34 +00 +14 +00 +2d +00 +20 +00 +36 +00 +17 +00 +3b +00 +1b +00 +3d +00 +23 +00 +51 +00 +2a +00 +46 +00 +1f +00 +44 +00 +2d +00 +50 +00 +5c +00 +b2 +00 +4d +00 +8d +00 +39 +00 +55 +00 +28 +00 +3d +00 +3d +00 +fa +00 +5f +00 +62 +00 +30 +00 +41 +00 +20 +00 +40 +00 +22 +00 +4d +00 +1f +00 +47 +00 +24 +00 +45 +00 +19 +00 +34 +00 +21 +00 +37 +00 +1c +00 +3b +00 +2f +00 +81 +00 +4c +00 +61 +00 +33 +00 +43 +00 +2a +00 +39 +00 +20 +00 +35 +00 +22 +00 +33 +00 +23 +00 +35 +00 +23 +00 +42 +00 +4b +00 +49 +00 +4b +00 +89 +00 +8c +00 +71 +00 +51 +00 +ba +00 +84 +00 +80 +10 +88 +00 +9d +00 +3f +00 +52 +00 +32 +00 +73 +00 +73 +00 +8e +00 +51 +00 +97 +00 +59 +00 +00 +10 +6f +00 +5c +00 +33 +00 +3e +00 +2e +00 +3e +00 +29 +00 +3a +00 +2d +00 +3d +00 +28 +00 +3e +00 +1f +00 +46 +00 +21 +00 +42 +00 +26 +00 +39 +00 +27 +00 +49 +00 +40 +00 +54 +00 +4d +00 +4f +00 +43 +00 +60 +00 +4b +00 +7e +00 +56 +00 +89 +00 +53 +00 +4c +00 +38 +00 +4b +00 +2a +00 +42 +00 +22 +00 +36 +00 +19 +00 +2c +00 +20 +00 +2a +00 +1f +00 +2c +00 +18 +00 +2f +00 +18 +00 +31 +00 +22 +00 +37 +00 +25 +00 +3d +00 +1c +00 +3d +00 +1e +00 +31 +00 +24 +00 +38 +00 +60 +00 +6d +10 +bf +00 +68 +10 +80 +00 +82 +00 +81 +00 +0b +10 +44 +10 +9f +10 +93 +00 +b9 +00 +68 +00 +8e +00 +49 +00 +40 +00 +26 +00 +43 +00 +29 +00 +43 +00 +4e +00 +74 +00 +be +00 +f8 +00 +a4 +00 +75 +00 +4b +00 +76 +00 +44 +00 +87 +00 +63 +00 +71 +00 +29 +00 +51 +00 +1a +00 +35 +00 +21 +00 +35 +00 +1c +00 +35 +00 +1d +00 +2e +00 +19 +00 +33 +00 +1d +00 +35 +00 +20 +00 +3c +00 +27 +00 +3e +00 +24 +00 +41 +00 +28 +00 +3d +00 +29 +00 +48 +00 +35 +00 +56 +00 +39 +00 +66 +00 +d6 +00 +5b +10 +29 +10 +45 +10 +af +00 +a3 +00 +f1 +00 +33 +20 +90 +10 +e2 +00 +2d +10 +b5 +00 +77 +00 +61 +00 +4f +00 +53 +00 +29 +00 +39 +00 +29 +00 +39 +00 +29 +00 +33 +00 +1b +00 +2d +00 +20 +00 +2d +00 +1e +00 +31 +00 +20 +00 +32 +00 +1d +00 +3a +00 +22 +00 +65 +00 +3e +00 +39 +00 +1c +00 +31 +00 +1b +00 +37 +00 +22 +00 +39 +00 +32 +00 +6d +00 +42 +00 +8a +00 +36 +00 +4f +00 +23 +00 +00 +00 +00 +00 +32 +00 +94 +00 +30 +00 +93 +00 +36 +00 +92 +00 +33 +00 +a4 +00 +32 +00 +99 +00 +40 +00 +a3 +00 +3e +00 +a1 +00 +30 +00 +9d +00 +3a +00 +99 +00 +3b +00 +9c +00 +36 +00 +9c +00 +39 +00 +a6 +00 +33 +00 +ad +00 +33 +00 +a5 +00 +39 +00 +a8 +00 +37 +00 +9b +00 +33 +00 +94 +00 +37 +00 +89 +00 +2f +00 +73 +00 +26 +00 +6f +00 +25 +00 +6a +00 +21 +00 +68 +00 +27 +00 +60 +00 +2b +00 +63 +00 +23 +00 +75 +00 +23 +00 +64 +00 +23 +00 +5c +00 +2a +00 +75 +00 +28 +00 +74 +00 +2c +00 +7a +00 +29 +00 +7b +00 +bf +00 +03 +30 +58 +10 +9a +20 +61 +00 +94 +00 +2c +00 +86 +00 +45 +00 +b3 +00 +56 +00 +e6 +00 +4a +00 +5a +10 +88 +00 +27 +10 +3c +00 +86 +00 +2a +00 +7b +00 +3c +00 +e4 +00 +48 +00 +af +00 +32 +00 +85 +00 +33 +00 +84 +00 +37 +00 +b0 +00 +4a +00 +c7 +00 +49 +00 +ca +00 +39 +00 +9a +00 +39 +00 +bc +00 +43 +00 +cb +00 +50 +00 +c8 +00 +7e +00 +80 +20 +71 +20 +bf +60 +55 +10 +fa +10 +fd +00 +bd +30 +4e +20 +9e +70 +dc +20 +52 +60 +b4 +10 +f6 +50 +b3 +20 +22 +80 +5a +30 +e3 +80 +ab +20 +51 +40 +dd +00 +23 +20 +aa +00 +c7 +10 +5a +00 +dc +00 +51 +00 +d7 +00 +47 +00 +d7 +00 +6a +00 +b9 +10 +2e +20 +b5 +80 +8b +30 +17 +a0 +74 +30 +31 +90 +eb +20 +94 +90 +a3 +30 +b3 +a0 +c4 +30 +2c +90 +b1 +10 +59 +50 +03 +30 +bb +90 +96 +30 +15 +a0 +16 +30 +b2 +70 +70 +10 +48 +20 +cd +00 +c0 +30 +b7 +10 +32 +50 +61 +10 +b2 +30 +d4 +00 +93 +20 +a3 +00 +c8 +10 +c9 +00 +5c +20 +0c +10 +94 +30 +80 +10 +02 +30 +7f +00 +58 +10 +5c +00 +fd +00 +65 +00 +50 +10 +88 +00 +51 +10 +53 +00 +08 +10 +35 +10 +93 +50 +e6 +10 +13 +30 +57 +00 +d6 +00 +32 +00 +9e +00 +69 +00 +46 +20 +53 +10 +1b +30 +9d +00 +ff +10 +94 +10 +7b +60 +1c +30 +c4 +80 +5a +30 +7d +90 +f3 +20 +45 +60 +f5 +00 +3e +20 +59 +00 +cf +00 +3c +00 +b0 +00 +52 +00 +cc +00 +31 +00 +7e +00 +40 +00 +7f +00 +32 +00 +9b +00 +22 +00 +6d +00 +20 +00 +55 +00 +1e +00 +50 +00 +26 +00 +5a +00 +1e +00 +4d +00 +27 +00 +6d +00 +26 +00 +7a +00 +4e +00 +72 +10 +a8 +00 +e3 +00 +24 +00 +64 +00 +21 +00 +4f +00 +1b +00 +50 +00 +1c +00 +4d +00 +1a +00 +58 +00 +22 +00 +52 +00 +1a +00 +3f +00 +18 +00 +39 +00 +15 +00 +2c +00 +0f +00 +30 +00 +14 +00 +2a +00 +0d +00 +28 +00 +12 +00 +2c +00 +0d +00 +35 +00 +16 +00 +3a +00 +21 +00 +4d +00 +19 +00 +42 +00 +1c +00 +4a +00 +1b +00 +41 +00 +1b +00 +70 +00 +8f +00 +d0 +00 +25 +00 +5d +00 +20 +00 +42 +00 +13 +00 +48 +00 +39 +00 +8f +00 +23 +00 +47 +00 +16 +00 +3c +00 +1c +00 +3c +00 +1c +00 +42 +00 +1c +00 +37 +00 +15 +00 +39 +00 +12 +00 +3b +00 +13 +00 +39 +00 +13 +00 +43 +00 +23 +00 +4e +00 +29 +00 +47 +00 +1b +00 +46 +00 +1e +00 +3a +00 +16 +00 +38 +00 +16 +00 +33 +00 +12 +00 +45 +00 +31 +00 +16 +10 +45 +00 +67 +00 +9b +00 +1b +20 +3b +00 +79 +00 +28 +00 +75 +00 +3f +00 +b4 +00 +2a +00 +5d +00 +1d +00 +4f +00 +32 +00 +ae +00 +2f +00 +74 +00 +2f +00 +92 +00 +3a +00 +a7 +00 +29 +00 +4e +00 +1b +00 +42 +00 +1b +00 +4d +00 +19 +00 +44 +00 +1a +00 +49 +00 +1b +00 +45 +00 +16 +00 +43 +00 +1f +00 +3f +00 +18 +00 +3d +00 +19 +00 +3d +00 +13 +00 +44 +00 +15 +00 +4b +00 +20 +00 +4c +00 +15 +00 +66 +00 +22 +00 +63 +00 +13 +00 +3a +00 +18 +00 +3e +00 +16 +00 +2f +00 +14 +00 +35 +00 +12 +00 +2a +00 +15 +00 +29 +00 +11 +00 +32 +00 +13 +00 +3b +00 +11 +00 +36 +00 +15 +00 +3c +00 +12 +00 +3a +00 +14 +00 +39 +00 +18 +00 +32 +00 +1c +00 +63 +00 +39 +00 +a4 +00 +4b +00 +b1 +00 +26 +00 +6f +00 +53 +00 +9a +10 +54 +00 +cd +00 +25 +00 +7a +00 +22 +00 +5b +00 +1f +00 +3c +00 +17 +00 +3d +00 +1b +00 +6f +00 +a9 +00 +b9 +20 +e2 +00 +b7 +10 +37 +00 +81 +00 +21 +00 +6b +00 +22 +00 +5c +00 +1e +00 +52 +00 +1f +00 +3b +00 +15 +00 +33 +00 +17 +00 +31 +00 +0f +00 +30 +00 +14 +00 +39 +00 +10 +00 +3c +00 +17 +00 +39 +00 +18 +00 +44 +00 +14 +00 +3d +00 +1a +00 +48 +00 +1c +00 +50 +00 +29 +00 +85 +00 +27 +00 +4c +00 +27 +00 +85 +10 +81 +10 +b1 +20 +48 +00 +b0 +00 +34 +00 +62 +10 +d4 +10 +96 +40 +13 +10 +7d +30 +6c +00 +99 +00 +a6 +00 +e5 +00 +26 +00 +52 +00 +20 +00 +3f +00 +1a +00 +35 +00 +13 +00 +2f +00 +12 +00 +30 +00 +0e +00 +25 +00 +1b +00 +3e +00 +17 +00 +31 +00 +12 +00 +46 +00 +1d +00 +47 +00 +18 +00 +44 +00 +16 +00 +42 +00 +15 +00 +35 +00 +19 +00 +4c +00 +38 +00 +7a +00 +37 +00 +62 +00 +13 +00 +37 +00 +00 +00 +00 +00 +94 +00 +68 +00 +92 +00 +6a +00 +a0 +00 +5e +00 +9d +00 +65 +00 +9d +00 +66 +00 +b3 +00 +6e +00 +a3 +00 +68 +00 +a3 +00 +6e +00 +97 +00 +6f +00 +ac +00 +6f +00 +aa +00 +6a +00 +a0 +00 +72 +00 +ab +00 +71 +00 +a1 +00 +6b +00 +98 +00 +7b +00 +9f +00 +6c +00 +a0 +00 +6b +00 +8d +00 +5d +00 +6e +00 +42 +00 +6c +00 +42 +00 +64 +00 +2c +00 +5d +00 +39 +00 +64 +00 +4c +00 +99 +00 +7b +00 +7c +00 +45 +00 +6d +00 +43 +00 +58 +00 +3b +00 +61 +00 +62 +00 +85 +00 +8b +00 +8b +00 +a1 +00 +98 +00 +f9 +00 +18 +20 +a9 +10 +f4 +20 +df +00 +24 +10 +6c +00 +7c +00 +4f +00 +8d +00 +64 +00 +9f +00 +77 +00 +b1 +00 +83 +00 +f8 +00 +86 +00 +a5 +00 +68 +00 +96 +00 +4d +00 +80 +00 +52 +00 +c2 +00 +5b +00 +80 +00 +4a +00 +81 +00 +58 +00 +8d +00 +6e +00 +a5 +00 +69 +00 +ad +00 +6f +00 +9c +00 +67 +00 +ad +00 +7d +00 +c6 +00 +df +00 +e9 +00 +81 +10 +9b +10 +60 +30 +5f +60 +6d +20 +28 +30 +53 +10 +7d +10 +87 +10 +01 +30 +a3 +30 +20 +70 +0e +40 +45 +60 +16 +40 +02 +70 +b7 +30 +dc +70 +99 +40 +ac +70 +79 +30 +64 +50 +16 +40 +1d +50 +1f +20 +59 +10 +d3 +00 +d5 +00 +85 +00 +db +00 +b0 +00 +f2 +00 +90 +10 +a6 +30 +f1 +40 +aa +90 +9f +60 +34 +a0 +9a +60 +29 +80 +12 +60 +35 +a0 +eb +60 +97 +a0 +91 +50 +b6 +50 +65 +40 +fe +70 +2a +60 +2f +a0 +07 +50 +9c +60 +00 +30 +ef +30 +77 +20 +a8 +30 +9b +30 +d5 +50 +a2 +20 +16 +30 +dc +10 +0d +20 +7b +10 +a2 +10 +a8 +20 +0f +50 +0c +40 +13 +60 +0c +30 +df +30 +77 +10 +bf +10 +e3 +00 +dc +00 +af +00 +bc +10 +ab +00 +04 +10 +8d +00 +e3 +00 +10 +10 +22 +30 +ce +20 +bc +40 +a4 +10 +56 +10 +a1 +00 +9f +00 +7b +00 +c4 +00 +d9 +00 +c6 +10 +44 +10 +b5 +10 +19 +20 +d6 +40 +f9 +30 +82 +70 +07 +50 +e5 +70 +c5 +40 +da +60 +1e +30 +f1 +20 +c5 +10 +a6 +10 +b8 +00 +ac +00 +70 +00 +8a +00 +56 +00 +92 +00 +70 +00 +99 +00 +ff +00 +0d +10 +7e +00 +83 +00 +44 +00 +5a +00 +3c +00 +55 +00 +43 +00 +98 +00 +5d +00 +5e +00 +39 +00 +65 +00 +64 +00 +9a +00 +61 +00 +37 +10 +a9 +00 +44 +10 +7d +00 +87 +00 +43 +00 +45 +00 +2c +00 +45 +00 +2b +00 +49 +00 +2e +00 +50 +00 +2d +00 +53 +00 +2c +00 +3f +00 +25 +00 +40 +00 +23 +00 +33 +00 +1f +00 +2d +00 +1b +00 +2d +00 +23 +00 +32 +00 +1d +00 +2f +00 +24 +00 +32 +00 +25 +00 +2f +00 +24 +00 +41 +00 +29 +00 +4a +00 +2d +00 +3b +00 +1f +00 +41 +00 +28 +00 +56 +00 +47 +00 +90 +00 +51 +00 +82 +00 +3b +00 +49 +00 +24 +00 +3e +00 +2e +00 +54 +00 +26 +00 +59 +00 +30 +00 +4e +00 +29 +00 +39 +00 +1f +00 +38 +00 +23 +00 +3f +00 +27 +00 +3a +00 +1f +00 +31 +00 +1f +00 +30 +00 +1a +00 +32 +00 +29 +00 +44 +00 +2a +00 +4b +00 +2a +00 +44 +00 +26 +00 +39 +00 +23 +00 +41 +00 +1d +00 +2d +00 +1b +00 +34 +00 +2d +00 +5c +00 +a3 +00 +59 +20 +7b +00 +cc +00 +78 +00 +b8 +00 +5a +00 +6c +00 +42 +00 +78 +00 +47 +00 +6b +00 +34 +00 +47 +00 +2a +00 +65 +00 +62 +00 +76 +00 +82 +00 +89 +00 +5f +00 +83 +00 +58 +00 +71 +00 +3d +00 +41 +00 +45 +00 +50 +00 +54 +00 +67 +00 +2f +00 +4d +00 +2a +00 +3e +00 +26 +00 +43 +00 +28 +00 +3e +00 +25 +00 +3b +00 +26 +00 +33 +00 +2b +00 +3c +00 +29 +00 +45 +00 +38 +00 +4b +00 +4e +00 +5d +00 +60 +00 +74 +00 +4d +00 +46 +00 +2b +00 +33 +00 +29 +00 +3b +00 +31 +00 +3e +00 +24 +00 +38 +00 +20 +00 +37 +00 +23 +00 +2d +00 +27 +00 +25 +00 +24 +00 +30 +00 +25 +00 +3b +00 +19 +00 +35 +00 +26 +00 +30 +00 +20 +00 +41 +00 +32 +00 +3f +00 +47 +00 +6b +00 +4f +00 +89 +00 +53 +00 +58 +00 +56 +00 +80 +00 +50 +00 +95 +00 +5a +00 +69 +00 +46 +00 +48 +00 +36 +00 +44 +00 +27 +00 +3c +00 +33 +00 +46 +00 +76 +00 +ab +20 +99 +10 +26 +20 +b4 +00 +b8 +00 +53 +00 +54 +00 +36 +00 +58 +00 +2c +00 +4d +00 +26 +00 +4e +00 +25 +00 +3c +00 +25 +00 +2b +00 +18 +00 +2b +00 +21 +00 +2a +00 +1d +00 +2a +00 +19 +00 +35 +00 +1e +00 +3e +00 +20 +00 +4b +00 +27 +00 +46 +00 +2d +00 +47 +00 +2f +00 +5b +00 +35 +00 +61 +00 +61 +00 +96 +00 +b9 +00 +54 +20 +e3 +00 +e0 +00 +8f +00 +bb +00 +65 +10 +af +40 +79 +20 +d1 +30 +99 +10 +8e +10 +d6 +00 +26 +20 +8f +00 +7f +00 +36 +00 +41 +00 +24 +00 +39 +00 +22 +00 +31 +00 +1a +00 +30 +00 +20 +00 +34 +00 +17 +00 +2f +00 +1d +00 +39 +00 +1c +00 +2a +00 +1e +00 +40 +00 +2e +00 +4d +00 +3c +00 +45 +00 +29 +00 +3c +00 +1c +00 +42 +00 +2b +00 +6e +00 +3f +00 +92 +00 +4f +00 +5f +00 +2e +00 +00 +00 +00 +00 +2f +00 +92 +00 +2a +00 +9f +00 +3b +00 +9c +00 +38 +00 +a0 +00 +3c +00 +92 +00 +3c +00 +96 +00 +37 +00 +a1 +00 +30 +00 +a7 +00 +37 +00 +9c +00 +3a +00 +aa +00 +37 +00 +ad +00 +32 +00 +a9 +00 +35 +00 +99 +00 +43 +00 +9e +00 +3a +00 +96 +00 +3a +00 +a9 +00 +31 +00 +94 +00 +2a +00 +5e +00 +25 +00 +63 +00 +1d +00 +53 +00 +1b +00 +52 +00 +1f +00 +52 +00 +1d +00 +69 +00 +71 +00 +a6 +10 +3a +00 +7c +00 +27 +00 +5f +00 +27 +00 +63 +00 +27 +00 +7a +00 +55 +00 +94 +10 +65 +00 +0b +10 +a5 +00 +d4 +10 +12 +10 +6a +20 +9a +00 +5d +10 +38 +00 +98 +00 +28 +00 +7b +00 +28 +00 +82 +00 +30 +00 +b7 +00 +32 +00 +a6 +00 +3c +00 +93 +00 +48 +00 +45 +10 +39 +00 +84 +00 +36 +00 +8d +00 +3f +00 +99 +00 +2b +00 +84 +00 +2e +00 +8b +00 +2f +00 +9a +00 +2f +00 +9f +00 +37 +00 +84 +00 +40 +00 +a6 +00 +4c +00 +d0 +00 +69 +00 +cb +10 +bf +00 +87 +20 +b6 +10 +89 +60 +f7 +10 +ac +30 +9a +00 +75 +10 +60 +00 +6c +10 +16 +10 +e0 +40 +24 +20 +36 +60 +81 +20 +0e +50 +50 +10 +e0 +30 +49 +10 +25 +50 +c3 +10 +14 +50 +a0 +20 +c0 +70 +d2 +20 +0d +50 +9e +00 +14 +10 +58 +00 +d7 +00 +45 +00 +ce +00 +56 +00 +3a +10 +17 +10 +a0 +60 +6d +30 +09 +a0 +8c +30 +bd +90 +0e +30 +ed +70 +73 +30 +56 +a0 +bc +30 +ff +80 +a4 +10 +8f +40 +ee +20 +af +90 +b2 +20 +d8 +50 +42 +10 +3a +30 +dd +00 +7c +20 +cf +10 +46 +60 +a5 +10 +9d +30 +bf +00 +00 +20 +88 +00 +99 +10 +ab +00 +0b +40 +8e +20 +51 +70 +51 +20 +52 +50 +eb +00 +05 +20 +6d +00 +f6 +00 +43 +00 +ef +00 +7d +00 +3a +10 +4a +00 +dd +00 +40 +00 +f5 +00 +3a +10 +5c +50 +54 +10 +52 +20 +55 +00 +ae +00 +2c +00 +a5 +00 +3a +00 +ed +00 +5b +00 +2d +10 +65 +00 +7d +10 +7c +10 +c1 +50 +9f +20 +d0 +70 +ad +20 +6f +60 +ae +10 +3a +40 +e7 +00 +65 +20 +e2 +00 +83 +10 +36 +00 +8b +00 +29 +00 +80 +00 +2f +00 +9b +00 +75 +00 +8d +20 +a6 +00 +ff +00 +2d +00 +70 +00 +2b +00 +70 +00 +24 +00 +64 +00 +54 +00 +ce +00 +28 +00 +66 +00 +29 +00 +9a +00 +57 +00 +a5 +00 +3f +00 +bc +00 +4c +00 +a7 +00 +28 +00 +60 +00 +22 +00 +4b +00 +17 +00 +50 +00 +1d +00 +5a +00 +28 +00 +4e +00 +18 +00 +46 +00 +18 +00 +3a +00 +16 +00 +3d +00 +10 +00 +36 +00 +12 +00 +31 +00 +13 +00 +2d +00 +0f +00 +28 +00 +15 +00 +35 +00 +1f +00 +37 +00 +1a +00 +3e +00 +17 +00 +45 +00 +1b +00 +48 +00 +13 +00 +47 +00 +1d +00 +49 +00 +20 +00 +69 +00 +3a +00 +83 +00 +2f +00 +61 +00 +1e +00 +3f +00 +21 +00 +3b +00 +20 +00 +49 +00 +1b +00 +4b +00 +18 +00 +45 +00 +1f +00 +46 +00 +16 +00 +44 +00 +15 +00 +3b +00 +15 +00 +33 +00 +11 +00 +3f +00 +13 +00 +34 +00 +17 +00 +3b +00 +17 +00 +47 +00 +1c +00 +51 +00 +1e +00 +50 +00 +17 +00 +3d +00 +0f +00 +32 +00 +15 +00 +31 +00 +18 +00 +3d +00 +1a +00 +7f +00 +52 +00 +d5 +00 +23 +00 +7e +00 +34 +00 +77 +00 +1f +00 +61 +00 +22 +00 +69 +00 +20 +00 +49 +00 +20 +00 +47 +00 +23 +00 +8f +00 +a5 +00 +8c +10 +40 +00 +8f +00 +35 +00 +92 +00 +23 +00 +56 +00 +25 +00 +75 +00 +29 +00 +5c +00 +1a +00 +4f +00 +1f +00 +52 +00 +1c +00 +42 +00 +17 +00 +46 +00 +1e +00 +3d +00 +15 +00 +38 +00 +18 +00 +3b +00 +1f +00 +4c +00 +25 +00 +59 +00 +31 +00 +98 +00 +44 +00 +82 +00 +2d +00 +56 +00 +13 +00 +3d +00 +11 +00 +31 +00 +22 +00 +90 +00 +1a +00 +38 +00 +1c +00 +48 +00 +16 +00 +46 +00 +1a +00 +3c +00 +0e +00 +38 +00 +15 +00 +31 +00 +14 +00 +3a +00 +17 +00 +3d +00 +19 +00 +34 +00 +1a +00 +3a +00 +16 +00 +4a +00 +1c +00 +62 +00 +22 +00 +55 +00 +18 +00 +45 +00 +19 +00 +6f +00 +26 +00 +7e +00 +20 +00 +4c +00 +18 +00 +5a +00 +1e +00 +48 +00 +18 +00 +4b +00 +1d +00 +88 +00 +e8 +00 +82 +20 +81 +00 +fb +00 +3e +00 +6d +00 +1b +00 +45 +00 +1a +00 +45 +00 +20 +00 +4e +00 +16 +00 +44 +00 +13 +00 +2d +00 +14 +00 +2d +00 +14 +00 +2a +00 +13 +00 +35 +00 +1a +00 +2e +00 +13 +00 +35 +00 +17 +00 +46 +00 +1d +00 +3e +00 +1b +00 +4a +00 +23 +00 +57 +00 +1c +00 +58 +00 +22 +00 +8d +00 +bf +00 +d2 +10 +53 +00 +d2 +00 +34 +00 +7e +00 +3a +00 +21 +10 +e8 +00 +28 +30 +f8 +00 +be +20 +75 +00 +1a +10 +53 +00 +b9 +00 +29 +00 +55 +00 +1b +00 +31 +00 +14 +00 +34 +00 +12 +00 +2b +00 +0f +00 +29 +00 +11 +00 +27 +00 +0e +00 +3a +00 +17 +00 +32 +00 +14 +00 +39 +00 +28 +00 +5b +00 +26 +00 +97 +00 +26 +00 +43 +00 +16 +00 +3b +00 +19 +00 +4b +00 +35 +00 +97 +00 +4d +00 +2b +10 +26 +00 +4d +00 +00 +00 +00 +00 +91 +00 +62 +00 +93 +00 +6e +00 +97 +00 +66 +00 +9a +00 +69 +00 +a4 +00 +6b +00 +95 +00 +67 +00 +88 +00 +6a +00 +9b +00 +68 +00 +9d +00 +6c +00 +90 +00 +77 +00 +a6 +00 +77 +00 +a5 +00 +71 +00 +a5 +00 +72 +00 +a9 +00 +74 +00 +a1 +00 +6d +00 +ac +00 +71 +00 +9c +00 +57 +00 +7d +00 +43 +00 +5c +00 +3e +00 +68 +00 +3a +00 +5a +00 +36 +00 +59 +00 +38 +00 +5d +00 +6f +00 +24 +10 +82 +00 +cf +00 +49 +00 +63 +00 +3c +00 +61 +00 +3b +00 +6c +00 +6f +00 +19 +10 +ae +10 +7a +30 +3b +10 +3a +20 +01 +10 +e1 +10 +d9 +00 +52 +10 +a6 +00 +a2 +00 +56 +00 +8f +00 +43 +00 +7f +00 +50 +00 +8f +00 +71 +00 +80 +00 +6d +00 +8d +00 +64 +00 +9f +00 +6c +00 +ce +00 +55 +00 +7c +00 +4a +00 +86 +00 +53 +00 +75 +00 +48 +00 +83 +00 +50 +00 +8a +00 +55 +00 +88 +00 +67 +00 +8c +00 +76 +00 +a3 +00 +93 +00 +e8 +00 +42 +10 +44 +20 +65 +30 +09 +60 +a7 +40 +85 +70 +ee +30 +b4 +40 +14 +20 +cc +10 +3c +10 +1c +10 +13 +10 +dc +10 +91 +20 +00 +50 +66 +40 +e2 +70 +6a +30 +2e +30 +f8 +10 +9c +20 +e7 +10 +14 +30 +2d +40 +c3 +70 +30 +50 +d3 +80 +19 +40 +03 +30 +34 +10 +f1 +00 +ad +00 +d8 +00 +94 +00 +d5 +00 +26 +10 +e3 +10 +e5 +30 +c3 +80 +52 +60 +3f +a0 +1b +70 +aa +90 +01 +60 +45 +80 +79 +60 +09 +a0 +d4 +40 +a8 +40 +24 +40 +68 +70 +f1 +40 +76 +60 +8c +30 +a7 +30 +d2 +30 +f4 +40 +af +20 +76 +30 +56 +20 +fc +30 +ed +10 +08 +20 +89 +10 +fc +10 +d1 +10 +d1 +20 +0e +40 +59 +70 +1c +40 +0d +60 +2b +20 +6e +20 +25 +10 +34 +10 +a5 +00 +bd +00 +7e +00 +d2 +00 +a0 +00 +d9 +00 +8f +00 +d2 +00 +c9 +00 +5d +10 +4d +10 +17 +30 +5e +10 +ef +00 +78 +00 +93 +00 +68 +00 +9b +00 +8f +00 +d3 +00 +ce +00 +d7 +00 +a9 +10 +eb +30 +84 +30 +a8 +50 +1d +40 +a6 +60 +33 +30 +9d +40 +05 +30 +e0 +30 +a8 +10 +33 +20 +ef +00 +ea +00 +6a +00 +98 +00 +6c +00 +88 +00 +dc +00 +59 +20 +a1 +10 +22 +20 +c2 +00 +9b +00 +4b +00 +6e +00 +43 +00 +67 +00 +49 +00 +80 +00 +3f +00 +79 +00 +3f +00 +78 +00 +57 +00 +ed +00 +6b +00 +a5 +00 +53 +00 +ba +00 +55 +00 +77 +00 +3e +00 +71 +00 +2c +00 +52 +00 +2d +00 +57 +00 +27 +00 +47 +00 +24 +00 +41 +00 +2a +00 +37 +00 +22 +00 +31 +00 +1e +00 +31 +00 +22 +00 +2c +00 +20 +00 +2f +00 +20 +00 +2d +00 +26 +00 +3b +00 +1f +00 +3b +00 +27 +00 +4e +00 +20 +00 +48 +00 +24 +00 +4f +00 +2a +00 +4e +00 +29 +00 +52 +00 +24 +00 +55 +00 +40 +00 +ba +00 +5f +00 +88 +00 +3d +00 +42 +00 +24 +00 +3a +00 +21 +00 +46 +00 +25 +00 +3b +00 +27 +00 +40 +00 +2b +00 +40 +00 +24 +00 +43 +00 +1e +00 +3d +00 +1e +00 +3a +00 +1b +00 +38 +00 +1f +00 +35 +00 +1b +00 +34 +00 +22 +00 +44 +00 +24 +00 +46 +00 +23 +00 +43 +00 +22 +00 +45 +00 +23 +00 +44 +00 +25 +00 +3c +00 +1f +00 +32 +00 +2f +00 +54 +00 +3d +00 +6d +00 +43 +00 +84 +00 +35 +00 +68 +00 +50 +00 +96 +00 +4d +00 +48 +00 +32 +00 +41 +00 +2d +00 +44 +00 +34 +00 +69 +00 +ba +00 +8e +20 +e8 +00 +11 +10 +b0 +00 +60 +10 +6a +00 +8a +00 +9f +00 +db +00 +60 +10 +f0 +00 +70 +00 +63 +00 +87 +00 +ea +00 +48 +00 +4f +00 +34 +00 +48 +00 +32 +00 +49 +00 +2a +00 +3c +00 +26 +00 +4c +00 +2b +00 +48 +00 +38 +00 +57 +00 +3e +00 +74 +00 +62 +00 +27 +10 +8f +00 +8b +00 +52 +00 +51 +00 +2f +00 +3c +00 +2d +00 +97 +00 +5a +00 +54 +00 +29 +00 +40 +00 +96 +00 +f7 +00 +63 +00 +72 +00 +44 +00 +42 +00 +28 +00 +35 +00 +21 +00 +36 +00 +25 +00 +46 +00 +20 +00 +41 +00 +43 +00 +6c +00 +83 +00 +5e +00 +4e +00 +4f +00 +53 +00 +54 +00 +4d +00 +41 +00 +47 +00 +4c +00 +3d +00 +56 +00 +3b +00 +4f +00 +2c +00 +46 +00 +30 +00 +6c +00 +59 +00 +52 +00 +36 +00 +51 +00 +71 +00 +3e +10 +1e +10 +ca +10 +9b +00 +91 +00 +3f +00 +54 +00 +2e +00 +41 +00 +25 +00 +4e +00 +2b +00 +41 +00 +22 +00 +32 +00 +22 +00 +25 +00 +1d +00 +33 +00 +20 +00 +31 +00 +22 +00 +2e +00 +20 +00 +43 +00 +20 +00 +40 +00 +22 +00 +3b +00 +20 +00 +45 +00 +52 +00 +70 +00 +36 +00 +4b +00 +30 +00 +4d +00 +5b +00 +46 +10 +a0 +00 +07 +10 +6a +00 +8a +00 +60 +00 +7e +00 +82 +00 +1f +10 +bb +00 +ac +10 +ec +00 +2c +10 +92 +00 +96 +00 +3e +00 +66 +00 +2e +00 +3a +00 +23 +00 +32 +00 +1d +00 +2e +00 +1e +00 +2a +00 +23 +00 +39 +00 +1a +00 +38 +00 +1d +00 +30 +00 +1a +00 +2d +00 +2a +00 +cf +00 +6b +00 +88 +00 +4e +00 +98 +00 +2f +00 +6f +00 +31 +00 +39 +00 +22 +00 +56 +00 +60 +00 +ab +00 +5b +00 +98 +00 +36 +00 +00 +00 +00 +00 +3a +00 +9c +00 +35 +00 +a0 +00 +2f +00 +ad +00 +32 +00 +9a +00 +34 +00 +a4 +00 +36 +00 +88 +00 +34 +00 +aa +00 +42 +00 +a5 +00 +41 +00 +ae +00 +39 +00 +a3 +00 +3a +00 +a7 +00 +3f +00 +a5 +00 +42 +00 +a7 +00 +34 +00 +b6 +00 +3b +00 +ac +00 +36 +00 +a5 +00 +37 +00 +80 +00 +28 +00 +7b +00 +21 +00 +5f +00 +23 +00 +5f +00 +1d +00 +55 +00 +1b +00 +67 +00 +24 +00 +8d +00 +8f +00 +28 +10 +33 +00 +82 +00 +19 +00 +5f +00 +1b +00 +67 +00 +2a +00 +92 +00 +5d +00 +0d +20 +19 +10 +2c +20 +62 +00 +14 +10 +5f +00 +1f +10 +42 +00 +ba +00 +30 +00 +87 +00 +27 +00 +76 +00 +2a +00 +71 +00 +34 +00 +75 +00 +2e +00 +80 +00 +2f +00 +7b +00 +30 +00 +8f +00 +31 +00 +93 +00 +37 +00 +94 +00 +35 +00 +7d +00 +2d +00 +81 +00 +29 +00 +85 +00 +29 +00 +86 +00 +32 +00 +8c +00 +36 +00 +a4 +00 +3a +00 +db +00 +ae +00 +f7 +10 +fe +10 +bd +60 +34 +30 +c7 +80 +2d +30 +bd +60 +50 +10 +bc +20 +92 +00 +6c +10 +60 +00 +2a +10 +81 +00 +73 +20 +cd +10 +9f +60 +ea +20 +e5 +60 +da +00 +01 +20 +b7 +00 +ff +10 +35 +10 +4f +60 +1a +30 +15 +90 +43 +30 +95 +70 +0f +10 +a2 +10 +4d +00 +eb +00 +51 +00 +c1 +00 +44 +00 +e9 +00 +8d +00 +06 +40 +f6 +20 +a3 +90 +a1 +30 +2f +a0 +5d +30 +3e +90 +8c +20 +f9 +80 +14 +30 +08 +70 +5c +10 +44 +40 +de +20 +d4 +70 +98 +10 +e8 +30 +c7 +10 +92 +60 +70 +20 +b2 +40 +e4 +00 +d0 +20 +eb +00 +50 +20 +73 +00 +71 +10 +c7 +00 +80 +30 +dd +10 +7e +60 +de +20 +19 +70 +58 +10 +dd +20 +89 +00 +39 +10 +64 +00 +f5 +00 +4f +00 +e6 +00 +56 +00 +f8 +00 +49 +00 +cb +00 +40 +00 +c0 +00 +53 +00 +74 +10 +8a +00 +8d +10 +3f +00 +91 +00 +34 +00 +87 +00 +32 +00 +8e +00 +3e +00 +a6 +00 +38 +00 +4f +10 +a3 +10 +7e +60 +7a +20 +ac +50 +31 +10 +af +30 +31 +10 +14 +40 +42 +10 +1f +20 +73 +00 +05 +10 +47 +00 +ae +00 +5a +00 +d4 +00 +4e +00 +4f +10 +c7 +00 +63 +20 +a4 +00 +36 +10 +31 +00 +67 +00 +20 +00 +76 +00 +3d +00 +ab +00 +3a +00 +6b +00 +1e +00 +4d +00 +2c +00 +87 +00 +4a +00 +cb +00 +3c +00 +94 +00 +33 +00 +85 +00 +23 +00 +6d +00 +2b +00 +65 +00 +20 +00 +59 +00 +27 +00 +59 +00 +1d +00 +45 +00 +1a +00 +43 +00 +14 +00 +36 +00 +0f +00 +34 +00 +12 +00 +2d +00 +11 +00 +2f +00 +12 +00 +2d +00 +14 +00 +36 +00 +1a +00 +41 +00 +1c +00 +4a +00 +19 +00 +58 +00 +20 +00 +45 +00 +19 +00 +47 +00 +21 +00 +53 +00 +23 +00 +50 +00 +21 +00 +59 +00 +2c +00 +7c +00 +2b +00 +5d +00 +1b +00 +3d +00 +16 +00 +31 +00 +18 +00 +39 +00 +1e +00 +3a +00 +0f +00 +39 +00 +1f +00 +43 +00 +1a +00 +42 +00 +1a +00 +49 +00 +16 +00 +35 +00 +13 +00 +35 +00 +1c +00 +3e +00 +14 +00 +40 +00 +13 +00 +3a +00 +1e +00 +41 +00 +1a +00 +40 +00 +17 +00 +3b +00 +13 +00 +3c +00 +20 +00 +49 +00 +1b +00 +3b +00 +38 +00 +9a +00 +23 +00 +70 +00 +21 +00 +54 +00 +23 +00 +5c +00 +1e +00 +50 +00 +17 +00 +40 +00 +13 +00 +42 +00 +1c +00 +4b +00 +21 +00 +8e +00 +62 +00 +c3 +10 +69 +00 +4f +10 +a5 +00 +d6 +00 +51 +00 +81 +10 +37 +10 +f9 +30 +8c +00 +b6 +00 +35 +00 +f1 +00 +74 +00 +a7 +00 +25 +00 +54 +00 +1d +00 +53 +00 +1f +00 +4e +00 +1a +00 +4a +00 +1e +00 +42 +00 +1e +00 +78 +00 +2d +00 +70 +00 +21 +00 +8b +00 +83 +00 +ed +10 +a1 +00 +a1 +00 +22 +00 +4c +00 +19 +00 +41 +00 +2e +00 +81 +00 +20 +00 +51 +00 +36 +00 +70 +10 +b0 +00 +30 +10 +4f +00 +73 +00 +1f +00 +36 +00 +13 +00 +32 +00 +14 +00 +3f +00 +16 +00 +4b +00 +1e +00 +5a +00 +c1 +00 +a2 +20 +38 +00 +7c +00 +57 +00 +d9 +00 +24 +00 +57 +00 +19 +00 +40 +00 +14 +00 +46 +00 +1a +00 +3c +00 +17 +00 +38 +00 +19 +00 +4b +00 +24 +00 +6b +00 +20 +00 +51 +00 +21 +00 +75 +00 +57 +00 +4a +10 +5e +00 +d3 +00 +2c +00 +4d +00 +17 +00 +46 +00 +17 +00 +40 +00 +20 +00 +44 +00 +16 +00 +3a +00 +11 +00 +2b +00 +10 +00 +28 +00 +0f +00 +2f +00 +14 +00 +30 +00 +13 +00 +3d +00 +15 +00 +32 +00 +18 +00 +3e +00 +15 +00 +51 +00 +23 +00 +24 +10 +47 +00 +66 +00 +21 +00 +45 +00 +1e +00 +71 +00 +42 +00 +a1 +00 +35 +00 +85 +00 +1e +00 +56 +00 +21 +00 +82 +00 +35 +00 +d3 +00 +3d +00 +e3 +00 +35 +00 +91 +00 +21 +00 +64 +00 +1d +00 +43 +00 +15 +00 +3c +00 +13 +00 +31 +00 +14 +00 +29 +00 +11 +00 +3e +00 +17 +00 +3f +00 +1a +00 +36 +00 +10 +00 +35 +00 +0e +00 +43 +00 +2c +00 +65 +00 +2f +00 +94 +00 +24 +00 +7c +00 +23 +00 +65 +00 +16 +00 +37 +00 +19 +00 +8d +00 +81 +00 +97 +00 +36 +00 +68 +00 +00 +00 +00 +00 +82 +00 +69 +00 +8f +00 +62 +00 +8a +00 +68 +00 +91 +00 +66 +00 +8f +00 +71 +00 +a7 +00 +61 +00 +96 +00 +70 +00 +a5 +00 +73 +00 +aa +00 +64 +00 +a8 +00 +7b +00 +9c +00 +69 +00 +aa +00 +6c +00 +a9 +00 +72 +00 +97 +00 +6a +00 +9f +00 +6d +00 +9e +00 +7a +00 +a4 +00 +69 +00 +83 +00 +73 +00 +82 +00 +4d +00 +60 +00 +3c +00 +4f +00 +38 +00 +57 +00 +41 +00 +5f +00 +4c +00 +ba +00 +65 +00 +a1 +00 +4f +00 +69 +00 +36 +00 +68 +00 +43 +00 +72 +00 +68 +00 +b7 +00 +86 +00 +2b +10 +c1 +00 +f8 +00 +8e +00 +d9 +00 +99 +00 +ad +00 +75 +00 +8d +00 +5b +00 +77 +00 +4e +00 +75 +00 +48 +00 +72 +00 +49 +00 +79 +00 +57 +00 +71 +00 +4b +00 +86 +00 +5c +00 +7e +00 +58 +00 +87 +00 +a7 +00 +fe +00 +5b +00 +79 +00 +41 +00 +78 +00 +58 +00 +75 +00 +5a +00 +84 +00 +8b +00 +a0 +00 +a2 +00 +cf +00 +d3 +00 +59 +20 +a1 +10 +3b +40 +bf +30 +94 +80 +52 +50 +41 +90 +24 +50 +93 +70 +fe +30 +b3 +30 +a6 +10 +55 +10 +07 +10 +58 +10 +df +20 +16 +60 +06 +40 +10 +60 +25 +30 +ec +30 +8b +10 +a8 +10 +b7 +10 +8e +20 +b9 +30 +a8 +70 +4a +50 +bc +80 +8c +40 +3d +50 +9e +10 +0b +10 +a0 +00 +d0 +00 +8b +00 +b3 +00 +dd +00 +49 +10 +3c +20 +2b +60 +cb +50 +e9 +90 +4a +60 +2a +a0 +22 +60 +74 +80 +f4 +40 +eb +70 +59 +40 +a8 +40 +b1 +30 +fc +60 +7e +40 +e8 +50 +f3 +40 +ff +60 +1a +40 +c7 +50 +4c +20 +86 +20 +e3 +10 +61 +20 +81 +10 +4f +10 +17 +10 +e0 +10 +da +20 +93 +50 +6d +30 +e8 +50 +a1 +20 +71 +30 +85 +10 +46 +10 +d9 +00 +f9 +00 +a0 +00 +0b +10 +ba +10 +d0 +20 +d4 +00 +e5 +00 +8c +00 +cf +00 +c1 +00 +0a +10 +f3 +10 +22 +30 +13 +10 +c7 +00 +73 +00 +81 +00 +59 +00 +80 +00 +5c +00 +93 +00 +7d +00 +96 +00 +d0 +00 +a1 +10 +11 +20 +31 +60 +db +20 +b1 +30 +0f +20 +fd +30 +c2 +10 +f4 +10 +fc +00 +12 +10 +82 +00 +97 +00 +78 +00 +0e +10 +f6 +00 +88 +10 +24 +10 +7e +10 +cd +00 +d4 +10 +de +00 +b0 +00 +57 +00 +68 +00 +56 +00 +16 +10 +62 +00 +ca +00 +3c +00 +64 +00 +3a +00 +65 +00 +42 +00 +ac +00 +60 +00 +b8 +00 +42 +00 +94 +00 +45 +00 +77 +00 +34 +00 +89 +00 +32 +00 +57 +00 +2e +00 +4f +00 +2a +00 +44 +00 +28 +00 +54 +00 +22 +00 +3b +00 +1f +00 +35 +00 +1d +00 +35 +00 +21 +00 +31 +00 +22 +00 +27 +00 +20 +00 +30 +00 +26 +00 +47 +00 +2a +00 +44 +00 +25 +00 +4c +00 +23 +00 +44 +00 +2d +00 +4f +00 +29 +00 +53 +00 +2c +00 +4f +00 +2b +00 +53 +00 +32 +00 +5a +00 +27 +00 +52 +00 +2c +00 +4e +00 +2a +00 +3b +00 +22 +00 +38 +00 +2d +00 +36 +00 +24 +00 +3c +00 +29 +00 +4b +00 +26 +00 +3c +00 +20 +00 +43 +00 +22 +00 +39 +00 +1f +00 +31 +00 +1f +00 +3b +00 +20 +00 +3e +00 +25 +00 +3d +00 +1c +00 +3f +00 +21 +00 +4d +00 +23 +00 +3a +00 +1e +00 +3a +00 +1f +00 +36 +00 +25 +00 +3d +00 +35 +00 +82 +00 +3b +00 +65 +00 +35 +00 +46 +00 +38 +00 +4a +00 +2c +00 +4c +00 +24 +00 +42 +00 +28 +00 +3e +00 +28 +00 +3c +00 +37 +00 +4c +00 +4b +00 +8e +00 +59 +00 +d7 +00 +65 +00 +b3 +00 +ab +00 +5d +10 +d1 +20 +88 +40 +fa +10 +50 +20 +ef +00 +bd +00 +ab +00 +91 +10 +60 +00 +63 +00 +30 +00 +45 +00 +2f +00 +58 +00 +2c +00 +4e +00 +26 +00 +51 +00 +57 +00 +7e +00 +5b +00 +85 +00 +41 +00 +6c +00 +4b +00 +b9 +00 +89 +00 +c7 +10 +79 +00 +7a +00 +3f +00 +49 +00 +29 +00 +48 +00 +68 +00 +9d +00 +3c +00 +86 +00 +6c +00 +e1 +00 +7f +00 +71 +10 +fb +00 +8a +00 +42 +00 +35 +00 +21 +00 +2c +00 +23 +00 +3c +00 +25 +00 +5c +00 +96 +00 +de +00 +80 +00 +f5 +00 +7e +00 +68 +10 +22 +10 +77 +10 +b6 +00 +7e +00 +6a +00 +3d +00 +3f +00 +38 +00 +32 +00 +36 +00 +1e +00 +3d +00 +2a +00 +66 +00 +5c +00 +62 +00 +70 +00 +82 +00 +f9 +00 +d2 +00 +92 +00 +b0 +00 +73 +00 +7d +00 +3a +00 +4e +00 +27 +00 +41 +00 +23 +00 +49 +00 +26 +00 +3a +00 +21 +00 +29 +00 +21 +00 +32 +00 +1a +00 +2d +00 +1f +00 +30 +00 +1b +00 +33 +00 +1d +00 +43 +00 +1f +00 +3f +00 +22 +00 +42 +00 +28 +00 +4f +00 +2a +00 +89 +00 +3a +00 +4d +00 +31 +00 +53 +00 +3f +00 +71 +00 +46 +00 +6c +00 +47 +00 +50 +00 +3a +00 +44 +00 +5e +00 +70 +00 +69 +00 +8e +00 +6c +00 +76 +00 +48 +00 +4f +00 +31 +00 +48 +00 +22 +00 +32 +00 +1a +00 +33 +00 +22 +00 +2c +00 +1c +00 +2c +00 +17 +00 +37 +00 +1f +00 +45 +00 +1e +00 +3c +00 +1d +00 +2d +00 +24 +00 +3c +00 +2d +00 +67 +00 +36 +00 +52 +00 +31 +00 +62 +00 +30 +00 +43 +00 +22 +00 +45 +00 +43 +00 +1d +10 +5b +00 +b0 +00 +61 +00 +00 +00 +00 +00 +35 +00 +9c +00 +31 +00 +ab +00 +39 +00 +a0 +00 +41 +00 +9c +00 +39 +00 +a8 +00 +38 +00 +aa +00 +2d +00 +aa +00 +38 +00 +9f +00 +40 +00 +a4 +00 +3d +00 +9b +00 +40 +00 +9f +00 +3e +00 +af +00 +3c +00 +a3 +00 +3b +00 +9c +00 +3f +00 +a3 +00 +38 +00 +9e +00 +39 +00 +b2 +00 +3a +00 +1a +10 +57 +00 +91 +00 +28 +00 +56 +00 +22 +00 +57 +00 +23 +00 +62 +00 +24 +00 +64 +00 +36 +00 +83 +00 +25 +00 +75 +00 +1d +00 +63 +00 +1f +00 +5d +00 +25 +00 +75 +00 +36 +00 +da +00 +4c +00 +ad +00 +3f +00 +a2 +00 +32 +00 +b6 +00 +3e +00 +b3 +00 +2f +00 +8a +00 +2d +00 +83 +00 +25 +00 +73 +00 +26 +00 +7b +00 +30 +00 +75 +00 +2f +00 +70 +00 +2a +00 +7a +00 +30 +00 +78 +00 +38 +00 +dc +00 +5b +00 +c5 +00 +2c +00 +77 +00 +35 +00 +80 +00 +2f +00 +a2 +00 +48 +00 +dd +00 +9c +00 +6a +10 +7c +00 +f4 +00 +79 +00 +81 +10 +bd +00 +37 +30 +96 +20 +b7 +70 +22 +30 +27 +90 +38 +30 +5b +80 +80 +20 +9f +30 +89 +00 +1a +10 +b4 +00 +7e +40 +93 +20 +12 +70 +6e +10 +fc +20 +da +00 +bd +10 +74 +00 +80 +10 +e3 +00 +de +30 +ee +10 +e2 +50 +29 +20 +86 +60 +8a +10 +87 +20 +5f +00 +f7 +00 +4b +00 +c6 +00 +47 +00 +c8 +00 +61 +00 +a0 +10 +97 +10 +c3 +70 +2c +30 +3b +90 +87 +20 +3d +80 +09 +30 +52 +70 +0c +20 +9a +60 +72 +10 +80 +30 +74 +20 +55 +70 +cc +20 +ee +70 +ba +20 +5c +60 +41 +10 +9f +20 +d9 +00 +b3 +30 +d7 +00 +a7 +10 +6f +00 +2f +10 +cd +00 +07 +40 +a7 +10 +68 +40 +0a +10 +da +20 +a4 +00 +82 +10 +53 +00 +ec +00 +56 +00 +ed +00 +9e +00 +47 +30 +ee +00 +a4 +10 +5f +00 +df +00 +52 +00 +e4 +00 +d2 +00 +e6 +30 +4d +10 +19 +20 +3a +00 +98 +00 +31 +00 +86 +00 +28 +00 +8a +00 +2c +00 +87 +00 +3e +00 +b4 +00 +62 +00 +b6 +10 +0a +10 +e9 +30 +b3 +00 +c5 +10 +8d +00 +c6 +10 +74 +00 +17 +10 +39 +00 +a0 +00 +39 +00 +bf +00 +ad +00 +25 +20 +b1 +00 +a8 +10 +6d +00 +41 +10 +6f +00 +14 +10 +40 +00 +6f +00 +23 +00 +76 +00 +37 +00 +a8 +00 +44 +00 +87 +00 +2c +00 +56 +00 +1f +00 +68 +00 +3a +00 +bd +00 +3f +00 +8d +00 +29 +00 +6e +00 +33 +00 +6e +00 +40 +00 +7c +00 +1c +00 +48 +00 +1e +00 +4f +00 +21 +00 +4c +00 +1c +00 +2f +00 +14 +00 +34 +00 +17 +00 +2c +00 +15 +00 +2a +00 +0f +00 +28 +00 +17 +00 +38 +00 +16 +00 +45 +00 +16 +00 +47 +00 +1e +00 +46 +00 +18 +00 +3f +00 +1d +00 +61 +00 +1e +00 +59 +00 +23 +00 +53 +00 +1f +00 +44 +00 +17 +00 +4e +00 +1e +00 +54 +00 +16 +00 +4c +00 +20 +00 +3a +00 +19 +00 +46 +00 +1d +00 +39 +00 +15 +00 +43 +00 +1d +00 +48 +00 +48 +00 +7e +00 +1e +00 +40 +00 +1b +00 +30 +00 +1b +00 +3f +00 +16 +00 +40 +00 +1b +00 +48 +00 +1a +00 +3a +00 +17 +00 +3f +00 +16 +00 +45 +00 +16 +00 +37 +00 +19 +00 +3d +00 +18 +00 +4d +00 +18 +00 +37 +00 +1b +00 +4f +00 +2e +00 +66 +00 +1e +00 +53 +00 +1c +00 +3b +00 +10 +00 +3d +00 +1c +00 +40 +00 +16 +00 +43 +00 +13 +00 +45 +00 +18 +00 +37 +00 +1a +00 +4e +00 +2e +00 +7f +00 +31 +00 +9a +00 +35 +00 +bc +00 +5b +10 +88 +50 +4d +20 +ec +30 +e2 +00 +85 +10 +74 +00 +10 +10 +53 +00 +9f +00 +26 +00 +66 +00 +18 +00 +43 +00 +1d +00 +50 +00 +23 +00 +55 +00 +2c +00 +e0 +00 +8f +00 +b5 +00 +36 +00 +79 +00 +27 +00 +80 +00 +3e +00 +b2 +00 +46 +00 +a2 +00 +1d +00 +4e +00 +14 +00 +3d +00 +18 +00 +77 +00 +44 +00 +7d +00 +34 +00 +76 +00 +3c +00 +a1 +00 +94 +00 +75 +10 +45 +00 +63 +00 +1a +00 +36 +00 +17 +00 +3b +00 +1a +00 +3c +00 +44 +00 +9e +10 +3d +00 +96 +00 +3f +00 +c4 +00 +9b +00 +79 +20 +f2 +00 +12 +20 +5e +00 +9e +00 +2b +00 +5a +00 +19 +00 +3e +00 +17 +00 +2e +00 +13 +00 +39 +00 +32 +00 +e1 +00 +2f +00 +a7 +00 +fe +00 +54 +30 +6a +00 +f5 +00 +3a +00 +7b +00 +1f +00 +50 +00 +1a +00 +41 +00 +1e +00 +46 +00 +17 +00 +38 +00 +16 +00 +34 +00 +14 +00 +2d +00 +10 +00 +3a +00 +10 +00 +2d +00 +0e +00 +35 +00 +18 +00 +39 +00 +1e +00 +32 +00 +19 +00 +3f +00 +18 +00 +4d +00 +27 +00 +6f +00 +30 +00 +5a +00 +1c +00 +4d +00 +18 +00 +55 +00 +28 +00 +5b +00 +18 +00 +4c +00 +19 +00 +31 +00 +19 +00 +35 +00 +1c +00 +49 +00 +18 +00 +55 +00 +17 +00 +45 +00 +16 +00 +3c +00 +19 +00 +3c +00 +13 +00 +33 +00 +12 +00 +2c +00 +13 +00 +31 +00 +17 +00 +39 +00 +1c +00 +3a +00 +19 +00 +2b +00 +16 +00 +39 +00 +16 +00 +35 +00 +18 +00 +5e +00 +29 +00 +60 +00 +24 +00 +50 +00 +19 +00 +48 +00 +16 +00 +40 +00 +16 +00 +5a +00 +33 +00 +8d +00 +35 +00 +8a +00 +00 +00 +00 +00 +98 +00 +62 +00 +a7 +00 +64 +00 +99 +00 +68 +00 +a0 +00 +6b +00 +a2 +00 +7a +00 +99 +00 +6a +00 +9c +00 +6b +00 +9b +00 +72 +00 +a0 +00 +6b +00 +a7 +00 +68 +00 +a2 +00 +69 +00 +a0 +00 +6a +00 +9a +00 +78 +00 +a3 +00 +74 +00 +a5 +00 +71 +00 +a8 +00 +5f +00 +aa +00 +5a +00 +a1 +00 +60 +00 +b1 +00 +55 +00 +65 +00 +35 +00 +52 +00 +33 +00 +58 +00 +36 +00 +5a +00 +45 +00 +7e +00 +4a +00 +64 +00 +39 +00 +69 +00 +39 +00 +5d +00 +3f +00 +71 +00 +48 +00 +8c +00 +71 +00 +b3 +00 +7f +00 +99 +00 +6e +00 +90 +00 +78 +00 +e1 +00 +ef +00 +0e +10 +72 +00 +7f +00 +52 +00 +6d +00 +53 +00 +6e +00 +49 +00 +71 +00 +53 +00 +6d +00 +53 +00 +74 +00 +47 +00 +8d +00 +4b +00 +7c +00 +6e +00 +a0 +00 +69 +00 +89 +00 +5a +00 +73 +00 +4f +00 +89 +00 +6c +00 +f9 +00 +13 +10 +eb +30 +4b +10 +7e +10 +c0 +00 +cf +00 +ca +00 +76 +10 +6d +10 +8e +20 +3f +20 +2f +40 +fc +30 +e6 +80 +31 +50 +3d +80 +67 +20 +db +10 +19 +10 +6a +10 +ad +20 +16 +50 +f2 +20 +8f +30 +9e +10 +a4 +10 +51 +10 +85 +10 +7c +30 +9a +50 +41 +50 +ec +70 +d0 +50 +05 +70 +66 +40 +25 +40 +df +10 +43 +10 +b6 +00 +d0 +00 +79 +00 +cb +00 +b7 +00 +e9 +00 +51 +10 +51 +20 +69 +30 +f3 +70 +c5 +30 +b1 +40 +b6 +30 +b7 +70 +d4 +40 +94 +50 +17 +40 +8e +40 +0d +30 +a2 +40 +25 +50 +e1 +80 +98 +50 +2d +80 +6a +30 +7b +30 +f3 +10 +42 +20 +b9 +20 +84 +30 +7e +10 +3b +10 +2d +10 +d9 +10 +aa +20 +69 +40 +1a +30 +0e +30 +b5 +10 +a4 +10 +da +00 +f6 +00 +ba +00 +f0 +00 +ba +00 +1a +10 +db +00 +b3 +10 +ef +00 +0b +10 +91 +00 +d9 +00 +56 +10 +38 +30 +3e +20 +2e +30 +4d +10 +1d +10 +71 +00 +91 +00 +48 +00 +79 +00 +59 +00 +85 +00 +4d +00 +8d +00 +98 +00 +f4 +00 +07 +10 +8c +10 +44 +10 +c4 +10 +1d +10 +fe +00 +ab +00 +05 +10 +9c +00 +a7 +00 +64 +00 +75 +00 +63 +00 +e8 +00 +8e +00 +63 +10 +ae +00 +fe +00 +a0 +00 +d0 +00 +65 +00 +8b +00 +4b +00 +5b +00 +3a +00 +5d +00 +3a +00 +70 +00 +31 +00 +6e +00 +2d +00 +4e +00 +3e +00 +70 +00 +41 +00 +83 +00 +3b +00 +6f +00 +35 +00 +74 +00 +35 +00 +80 +00 +2f +00 +52 +00 +23 +00 +42 +00 +2d +00 +50 +00 +24 +00 +44 +00 +1e +00 +34 +00 +23 +00 +30 +00 +23 +00 +3a +00 +1f +00 +2c +00 +25 +00 +3c +00 +22 +00 +41 +00 +24 +00 +4a +00 +22 +00 +48 +00 +29 +00 +4b +00 +28 +00 +55 +00 +37 +00 +4e +00 +2f +00 +63 +00 +20 +00 +53 +00 +25 +00 +45 +00 +2c +00 +48 +00 +2b +00 +45 +00 +24 +00 +43 +00 +21 +00 +38 +00 +21 +00 +3b +00 +27 +00 +37 +00 +24 +00 +40 +00 +2f +00 +68 +00 +30 +00 +51 +00 +26 +00 +42 +00 +23 +00 +43 +00 +20 +00 +3c +00 +25 +00 +3f +00 +1e +00 +3a +00 +24 +00 +35 +00 +24 +00 +43 +00 +1e +00 +38 +00 +26 +00 +44 +00 +47 +00 +46 +00 +3c +00 +40 +00 +27 +00 +3e +00 +2d +00 +4c +00 +2a +00 +54 +00 +28 +00 +49 +00 +25 +00 +35 +00 +23 +00 +46 +00 +2c +00 +43 +00 +1f +00 +40 +00 +24 +00 +43 +00 +2f +00 +49 +00 +3a +00 +62 +00 +4e +00 +82 +00 +91 +00 +ad +00 +fb +00 +5c +30 +d5 +20 +35 +50 +e8 +20 +33 +40 +16 +10 +09 +10 +8e +00 +8b +00 +4a +00 +79 +00 +8c +00 +78 +00 +2b +00 +4d +00 +2a +00 +4a +00 +54 +00 +96 +00 +21 +10 +75 +30 +ac +00 +92 +00 +54 +00 +6d +00 +4e +00 +7e +00 +45 +00 +84 +00 +37 +00 +62 +00 +3a +00 +43 +00 +25 +00 +3e +00 +29 +00 +5a +00 +3b +00 +6c +00 +44 +00 +90 +00 +65 +00 +0d +10 +05 +10 +f0 +00 +64 +00 +55 +00 +27 +00 +3f +00 +23 +00 +3b +00 +28 +00 +6d +00 +4d +00 +a3 +00 +54 +00 +7f +00 +a2 +00 +cd +10 +13 +20 +ee +20 +a5 +10 +d1 +30 +30 +10 +71 +10 +77 +00 +4a +00 +2b +00 +36 +00 +22 +00 +38 +00 +30 +00 +3b +00 +2b +00 +65 +00 +6e +00 +b1 +10 +26 +10 +90 +30 +d6 +00 +df +00 +5c +00 +64 +00 +33 +00 +41 +00 +2a +00 +4f +00 +24 +00 +3e +00 +23 +00 +30 +00 +1e +00 +2b +00 +1e +00 +25 +00 +29 +00 +34 +00 +19 +00 +36 +00 +27 +00 +3b +00 +24 +00 +3f +00 +1e +00 +3a +00 +27 +00 +4a +00 +20 +00 +53 +00 +31 +00 +5b +00 +36 +00 +5b +00 +40 +00 +46 +00 +32 +00 +45 +00 +27 +00 +49 +00 +2f +00 +37 +00 +24 +00 +2f +00 +29 +00 +3f +00 +34 +00 +3d +00 +33 +00 +3e +00 +2d +00 +3f +00 +28 +00 +38 +00 +28 +00 +2f +00 +1c +00 +37 +00 +26 +00 +31 +00 +1f +00 +31 +00 +22 +00 +36 +00 +22 +00 +3a +00 +17 +00 +39 +00 +1e +00 +3b +00 +22 +00 +3a +00 +3b +00 +70 +00 +46 +00 +b1 +00 +49 +00 +54 +00 +36 +00 +40 +00 +2c +00 +32 +00 +35 +00 +7b +00 +45 +00 +7a +00 +34 +00 +00 +00 +00 +00 +32 +00 +96 +00 +2d +00 +9a +00 +30 +00 +97 +00 +3c +00 +a0 +00 +30 +00 +93 +00 +3a +00 +9f +00 +41 +00 +99 +00 +3c +00 +b2 +00 +3c +00 +a6 +00 +3e +00 +a5 +00 +3c +00 +9f +00 +3b +00 +b3 +00 +40 +00 +a8 +00 +3e +00 +a6 +00 +38 +00 +b0 +00 +36 +00 +97 +00 +38 +00 +87 +00 +2c +00 +6b +00 +2c +00 +6f +00 +26 +00 +58 +00 +22 +00 +55 +00 +1c +00 +5a +00 +24 +00 +5a +00 +25 +00 +77 +00 +2a +00 +6b +00 +26 +00 +66 +00 +22 +00 +69 +00 +23 +00 +6b +00 +27 +00 +98 +00 +2f +00 +83 +00 +2a +00 +7d +00 +2d +00 +89 +00 +3f +00 +06 +10 +63 +00 +cb +00 +33 +00 +88 +00 +2b +00 +6f +00 +2f +00 +6c +00 +2b +00 +70 +00 +27 +00 +6e +00 +28 +00 +76 +00 +25 +00 +7c +00 +33 +00 +8a +00 +3f +00 +94 +00 +2d +00 +76 +00 +2e +00 +7d +00 +2e +00 +9f +00 +3a +00 +17 +10 +aa +00 +e0 +10 +70 +00 +18 +10 +50 +00 +eb +00 +61 +00 +7a +10 +9b +00 +06 +20 +d3 +00 +a4 +30 +77 +20 +03 +70 +39 +20 +14 +40 +87 +00 +42 +10 +ae +00 +2c +40 +3a +20 +cc +40 +c5 +00 +b5 +10 +7b +00 +32 +10 +06 +10 +0b +60 +e6 +20 +27 +90 +56 +30 +57 +90 +23 +30 +d1 +80 +26 +20 +17 +30 +6c +00 +f7 +00 +39 +00 +b4 +00 +3e +00 +c2 +00 +49 +00 +38 +10 +8e +00 +dd +20 +b5 +10 +56 +40 +fa +00 +3e +30 +65 +20 +0e +80 +dc +10 +a2 +50 +48 +10 +c5 +30 +6c +10 +b2 +60 +17 +30 +08 +90 +bd +20 +3a +50 +da +00 +e9 +10 +0a +10 +34 +50 +5e +10 +4a +20 +6f +00 +25 +10 +19 +10 +a3 +40 +5d +20 +20 +60 +22 +10 +f9 +10 +6e +00 +f6 +00 +57 +00 +eb +00 +66 +00 +1c +10 +5a +00 +16 +10 +98 +00 +ec +10 +65 +00 +f0 +00 +6f +00 +eb +10 +62 +10 +c0 +40 +ee +00 +cb +10 +41 +00 +a2 +00 +36 +00 +83 +00 +25 +00 +7c +00 +2f +00 +7a +00 +34 +00 +94 +00 +3a +00 +e6 +00 +57 +00 +0b +10 +43 +00 +dc +00 +3f +00 +ac +00 +35 +00 +99 +00 +25 +00 +6c +00 +22 +00 +6b +00 +30 +00 +96 +00 +36 +00 +a6 +00 +39 +00 +a5 +00 +3b +00 +a2 +00 +25 +00 +65 +00 +1a +00 +56 +00 +1e +00 +58 +00 +23 +00 +51 +00 +1e +00 +67 +00 +20 +00 +57 +00 +23 +00 +67 +00 +2d +00 +72 +00 +2a +00 +68 +00 +1d +00 +66 +00 +1f +00 +4a +00 +17 +00 +3d +00 +1d +00 +4d +00 +16 +00 +4c +00 +13 +00 +28 +00 +13 +00 +2e +00 +18 +00 +30 +00 +16 +00 +2d +00 +14 +00 +36 +00 +1d +00 +4d +00 +18 +00 +49 +00 +1c +00 +46 +00 +1f +00 +4c +00 +21 +00 +4c +00 +21 +00 +57 +00 +22 +00 +5c +00 +1e +00 +5b +00 +23 +00 +5a +00 +1a +00 +50 +00 +17 +00 +3e +00 +1a +00 +48 +00 +18 +00 +3c +00 +1a +00 +36 +00 +16 +00 +3b +00 +20 +00 +39 +00 +1f +00 +44 +00 +1e +00 +51 +00 +1f +00 +45 +00 +16 +00 +3a +00 +16 +00 +40 +00 +17 +00 +45 +00 +1b +00 +41 +00 +15 +00 +37 +00 +15 +00 +3b +00 +10 +00 +32 +00 +18 +00 +3f +00 +22 +00 +a0 +00 +6a +00 +71 +00 +1d +00 +49 +00 +14 +00 +58 +00 +1d +00 +51 +00 +1d +00 +41 +00 +17 +00 +44 +00 +16 +00 +3f +00 +19 +00 +37 +00 +17 +00 +39 +00 +13 +00 +44 +00 +1f +00 +4b +00 +20 +00 +51 +00 +1f +00 +59 +00 +31 +00 +ce +00 +3b +00 +04 +10 +47 +10 +63 +40 +8c +10 +bd +40 +f1 +00 +a0 +10 +35 +00 +8e +00 +2a +00 +6e +00 +42 +00 +15 +10 +2b +00 +5c +00 +1d +00 +43 +00 +1c +00 +5e +00 +7b +00 +a7 +10 +a6 +00 +48 +10 +39 +00 +8b +00 +32 +00 +6d +00 +25 +00 +76 +00 +21 +00 +55 +00 +1a +00 +53 +00 +1d +00 +4e +00 +1b +00 +42 +00 +16 +00 +4f +00 +24 +00 +75 +00 +21 +00 +85 +00 +54 +00 +f5 +10 +4f +00 +85 +00 +21 +00 +44 +00 +1a +00 +39 +00 +1a +00 +41 +00 +21 +00 +6e +00 +23 +00 +7c +00 +2b +00 +94 +00 +08 +10 +51 +40 +ce +00 +ed +10 +0b +10 +1e +20 +55 +00 +c2 +00 +20 +00 +3d +00 +18 +00 +2b +00 +10 +00 +2f +00 +12 +00 +40 +00 +1a +00 +69 +00 +3d +00 +fb +00 +8a +00 +67 +10 +36 +00 +88 +00 +21 +00 +48 +00 +18 +00 +3b +00 +1b +00 +40 +00 +19 +00 +3b +00 +18 +00 +37 +00 +0e +00 +33 +00 +15 +00 +2f +00 +15 +00 +30 +00 +17 +00 +32 +00 +1a +00 +3f +00 +17 +00 +37 +00 +0f +00 +3c +00 +13 +00 +4b +00 +1e +00 +4b +00 +1d +00 +50 +00 +2f +00 +50 +00 +19 +00 +3b +00 +15 +00 +3b +00 +0d +00 +31 +00 +14 +00 +39 +00 +18 +00 +2e +00 +11 +00 +34 +00 +14 +00 +37 +00 +13 +00 +2d +00 +13 +00 +31 +00 +12 +00 +32 +00 +18 +00 +33 +00 +16 +00 +3b +00 +11 +00 +2d +00 +1a +00 +3f +00 +14 +00 +35 +00 +22 +00 +2e +00 +1b +00 +39 +00 +16 +00 +4b +00 +20 +00 +a1 +00 +20 +00 +64 +00 +37 +00 +b1 +00 +3f +00 +81 +00 +1e +00 +31 +00 +16 +00 +49 +00 +34 +00 +bd +00 +22 +00 +57 +00 +00 +00 +00 +00 +93 +00 +64 +00 +a8 +00 +6b +00 +9f +00 +6d +00 +9f +00 +67 +00 +94 +00 +65 +00 +9a +00 +74 +00 +98 +00 +62 +00 +9e +00 +69 +00 +9f +00 +6f +00 +9e +00 +69 +00 +a2 +00 +6e +00 +a8 +00 +6c +00 +a8 +00 +79 +00 +ab +00 +65 +00 +ab +00 +6c +00 +9d +00 +64 +00 +89 +00 +50 +00 +85 +00 +45 +00 +68 +00 +4b +00 +5e +00 +45 +00 +4f +00 +35 +00 +57 +00 +33 +00 +51 +00 +37 +00 +6f +00 +42 +00 +65 +00 +43 +00 +6c +00 +42 +00 +60 +00 +3b +00 +61 +00 +46 +00 +77 +00 +57 +00 +81 +00 +4c +00 +77 +00 +56 +00 +7e +00 +7d +00 +aa +00 +f7 +00 +f9 +00 +09 +10 +ca +00 +63 +00 +87 +00 +50 +00 +6f +00 +40 +00 +71 +00 +4c +00 +7d +00 +48 +00 +7d +00 +45 +00 +88 +00 +82 +00 +92 +00 +89 +00 +ac +00 +76 +00 +8b +00 +6a +00 +7e +00 +5f +00 +7f +00 +65 +00 +8d +00 +8a +00 +f3 +00 +7f +10 +79 +20 +0d +10 +03 +10 +ac +00 +ec +00 +0d +10 +4d +10 +64 +10 +c7 +10 +54 +20 +96 +30 +2c +40 +b4 +60 +c7 +20 +dd +10 +bb +10 +fa +10 +f7 +30 +0e +80 +2c +30 +85 +20 +3d +10 +4c +10 +bc +10 +f9 +30 +b4 +40 +f4 +80 +63 +60 +6b +90 +1e +60 +65 +90 +92 +50 +42 +80 +b8 +20 +d2 +10 +d3 +00 +b7 +00 +7a +00 +ab +00 +8f +00 +bd +00 +fc +00 +69 +10 +72 +10 +47 +20 +ec +10 +5d +20 +58 +20 +7e +40 +d5 +30 +9d +50 +07 +30 +2e +30 +b6 +30 +29 +50 +3a +40 +1c +80 +7a +50 +a3 +80 +6b +30 +db +20 +b5 +10 +06 +20 +83 +20 +69 +50 +39 +20 +8f +10 +26 +20 +bc +30 +0e +30 +ee +50 +52 +20 +b8 +20 +51 +10 +0f +10 +d6 +00 +30 +10 +ff +10 +4a +30 +37 +20 +93 +10 +f8 +00 +4b +10 +c1 +00 +4f +10 +09 +10 +8c +10 +c7 +20 +71 +50 +e8 +20 +08 +30 +23 +10 +e3 +00 +66 +00 +93 +00 +4c +00 +74 +00 +41 +00 +76 +00 +49 +00 +75 +00 +5c +00 +84 +00 +9f +00 +c2 +00 +8f +00 +a7 +00 +79 +00 +92 +00 +6d +00 +82 +00 +55 +00 +6c +00 +3e +00 +5d +00 +4c +00 +71 +00 +59 +00 +74 +00 +69 +00 +76 +00 +56 +00 +69 +00 +3f +00 +69 +00 +36 +00 +55 +00 +33 +00 +4f +00 +30 +00 +5b +00 +29 +00 +5b +00 +2b +00 +55 +00 +29 +00 +59 +00 +2f +00 +65 +00 +36 +00 +64 +00 +2f +00 +4f +00 +26 +00 +40 +00 +24 +00 +37 +00 +30 +00 +45 +00 +28 +00 +44 +00 +1d +00 +3a +00 +21 +00 +2d +00 +1e +00 +30 +00 +1d +00 +31 +00 +22 +00 +34 +00 +25 +00 +53 +00 +26 +00 +40 +00 +26 +00 +41 +00 +24 +00 +3d +00 +26 +00 +4d +00 +2a +00 +54 +00 +23 +00 +5b +00 +2c +00 +5e +00 +2c +00 +4f +00 +27 +00 +46 +00 +2b +00 +41 +00 +28 +00 +47 +00 +28 +00 +43 +00 +20 +00 +40 +00 +21 +00 +3d +00 +20 +00 +38 +00 +30 +00 +47 +00 +25 +00 +4e +00 +23 +00 +47 +00 +27 +00 +47 +00 +26 +00 +38 +00 +24 +00 +39 +00 +20 +00 +38 +00 +1c +00 +38 +00 +21 +00 +3d +00 +1c +00 +39 +00 +1f +00 +39 +00 +28 +00 +55 +00 +5f +00 +92 +10 +60 +00 +60 +00 +3d +00 +56 +00 +20 +00 +50 +00 +27 +00 +4c +00 +2a +00 +3a +00 +28 +00 +4e +00 +28 +00 +3a +00 +27 +00 +45 +00 +27 +00 +47 +00 +23 +00 +46 +00 +5a +00 +51 +00 +48 +00 +60 +00 +66 +00 +65 +00 +61 +00 +76 +00 +b1 +00 +af +10 +18 +10 +5b +20 +34 +10 +93 +10 +cb +00 +bf +00 +83 +00 +75 +00 +4b +00 +69 +00 +45 +00 +79 +00 +3e +00 +61 +00 +3b +00 +4c +00 +3a +00 +95 +00 +6a +00 +25 +10 +84 +00 +c3 +00 +5f +00 +83 +00 +40 +00 +6c +00 +33 +00 +4e +00 +30 +00 +44 +00 +1f +00 +49 +00 +26 +00 +3b +00 +25 +00 +45 +00 +2c +00 +4c +00 +2c +00 +56 +00 +4a +00 +8c +00 +54 +00 +97 +00 +46 +00 +62 +00 +3b +00 +3f +00 +24 +00 +3f +00 +2d +00 +49 +00 +2f +00 +5c +00 +44 +00 +5c +00 +65 +00 +e6 +00 +be +00 +78 +10 +dd +00 +27 +10 +85 +00 +d6 +00 +5a +00 +5c +00 +2d +00 +3c +00 +26 +00 +32 +00 +25 +00 +34 +00 +29 +00 +3b +00 +42 +00 +76 +00 +56 +00 +a5 +00 +6d +00 +9d +00 +4e +00 +46 +00 +2a +00 +3c +00 +17 +00 +3a +00 +1f +00 +3e +00 +21 +00 +34 +00 +25 +00 +34 +00 +20 +00 +2c +00 +25 +00 +2f +00 +1b +00 +39 +00 +16 +00 +34 +00 +22 +00 +4a +00 +1a +00 +36 +00 +23 +00 +32 +00 +21 +00 +3a +00 +63 +00 +8c +00 +b6 +00 +fb +00 +66 +00 +42 +00 +28 +00 +31 +00 +1f +00 +35 +00 +1c +00 +2f +00 +22 +00 +2d +00 +23 +00 +31 +00 +22 +00 +38 +00 +23 +00 +36 +00 +21 +00 +2f +00 +21 +00 +39 +00 +23 +00 +36 +00 +20 +00 +38 +00 +18 +00 +36 +00 +1d +00 +41 +00 +1b +00 +39 +00 +26 +00 +37 +00 +1d +00 +40 +00 +1f +00 +36 +00 +21 +00 +3a +00 +22 +00 +4f +00 +30 +00 +62 +00 +35 +00 +f3 +00 +56 +00 +63 +00 +27 +00 +37 +00 +20 +00 +47 +00 +2d +00 +5b +00 +2b +00 +00 +00 +00 +00 +3e +00 +9b +00 +33 +00 +93 +00 +36 +00 +9b +00 +3a +00 +9c +00 +38 +00 +9f +00 +33 +00 +97 +00 +3a +00 +95 +00 +35 +00 +9c +00 +37 +00 +9e +00 +3a +00 +a7 +00 +39 +00 +a6 +00 +35 +00 +99 +00 +37 +00 +a2 +00 +36 +00 +9c +00 +39 +00 +a4 +00 +2d +00 +8b +00 +37 +00 +82 +00 +24 +00 +73 +00 +1f +00 +60 +00 +28 +00 +68 +00 +1f +00 +51 +00 +1d +00 +4c +00 +26 +00 +60 +00 +29 +00 +61 +00 +26 +00 +5d +00 +22 +00 +57 +00 +26 +00 +67 +00 +23 +00 +67 +00 +22 +00 +6a +00 +2b +00 +6a +00 +2c +00 +7f +00 +36 +00 +94 +00 +6e +00 +2e +20 +1c +10 +f3 +20 +62 +00 +aa +00 +3b +00 +8c +00 +20 +00 +69 +00 +25 +00 +74 +00 +29 +00 +78 +00 +38 +00 +72 +00 +2a +00 +8c +00 +a4 +00 +8e +10 +48 +00 +a1 +00 +32 +00 +86 +00 +2f +00 +a4 +00 +2e +00 +95 +00 +3e +00 +a4 +00 +5d +00 +6c +20 +b6 +10 +6e +30 +65 +00 +d4 +00 +58 +00 +ed +00 +4f +00 +1e +10 +84 +00 +87 +20 +0d +20 +98 +70 +a7 +20 +32 +50 +cf +00 +d9 +10 +91 +10 +25 +70 +bd +20 +45 +50 +b2 +00 +62 +10 +64 +00 +7b +10 +21 +20 +ef +70 +7a +30 +af +90 +47 +30 +68 +90 +0a +30 +d1 +70 +13 +20 +74 +40 +96 +00 +2d +10 +4a +00 +b4 +00 +3f +00 +a8 +00 +48 +00 +e0 +00 +5a +00 +76 +10 +89 +00 +bf +10 +85 +00 +de +10 +a7 +10 +cd +50 +20 +20 +ca +40 +2e +10 +1e +50 +3c +20 +4a +50 +99 +20 +ec +80 +c8 +20 +ff +40 +b6 +00 +8b +10 +96 +00 +ba +20 +32 +20 +71 +50 +dd +00 +1b +30 +f0 +10 +03 +50 +41 +10 +d7 +20 +94 +00 +42 +10 +59 +00 +1a +10 +2d +10 +be +40 +4c +20 +3d +60 +0d +10 +91 +10 +67 +00 +e9 +00 +5c +00 +67 +10 +9c +10 +bb +50 +9a +20 +ff +50 +e3 +00 +74 +10 +3c +00 +a4 +00 +30 +00 +79 +00 +32 +00 +6a +00 +26 +00 +71 +00 +2d +00 +6b +00 +2e +00 +85 +00 +35 +00 +94 +00 +30 +00 +7a +00 +27 +00 +6d +00 +23 +00 +68 +00 +25 +00 +5f +00 +20 +00 +59 +00 +1c +00 +73 +00 +26 +00 +75 +00 +28 +00 +60 +00 +19 +00 +52 +00 +1c +00 +42 +00 +21 +00 +51 +00 +1a +00 +52 +00 +19 +00 +44 +00 +1a +00 +44 +00 +1b +00 +4b +00 +18 +00 +4d +00 +20 +00 +50 +00 +1d +00 +4a +00 +18 +00 +39 +00 +10 +00 +34 +00 +1a +00 +43 +00 +1e +00 +3d +00 +1d +00 +45 +00 +1c +00 +39 +00 +14 +00 +3a +00 +14 +00 +37 +00 +10 +00 +3e +00 +20 +00 +48 +00 +21 +00 +4a +00 +1e +00 +48 +00 +14 +00 +39 +00 +1e +00 +3f +00 +1d +00 +43 +00 +1a +00 +52 +00 +27 +00 +5d +00 +1e +00 +58 +00 +1c +00 +46 +00 +1a +00 +3e +00 +18 +00 +48 +00 +1b +00 +46 +00 +1c +00 +3e +00 +15 +00 +4a +00 +14 +00 +3b +00 +17 +00 +3d +00 +1a +00 +47 +00 +1a +00 +45 +00 +1d +00 +43 +00 +15 +00 +31 +00 +1c +00 +3b +00 +1a +00 +3f +00 +16 +00 +3f +00 +10 +00 +40 +00 +13 +00 +38 +00 +15 +00 +3f +00 +1b +00 +42 +00 +2e +00 +88 +00 +2f +00 +8b +00 +2f +00 +59 +00 +1f +00 +46 +00 +1a +00 +4a +00 +1a +00 +72 +00 +20 +00 +47 +00 +16 +00 +3c +00 +16 +00 +3c +00 +1b +00 +3c +00 +16 +00 +4a +00 +2a +00 +2a +10 +47 +00 +a1 +00 +58 +00 +32 +10 +5c +00 +81 +00 +20 +00 +86 +00 +5a +00 +2e +10 +62 +00 +46 +10 +50 +00 +e7 +00 +49 +00 +27 +10 +28 +00 +61 +00 +20 +00 +6e +00 +32 +00 +79 +00 +26 +00 +59 +00 +28 +00 +4f +00 +21 +00 +95 +00 +3b +00 +9e +00 +39 +00 +82 +00 +2e +00 +89 +00 +25 +00 +53 +00 +1a +00 +46 +00 +1b +00 +37 +00 +1a +00 +44 +00 +1d +00 +3d +00 +19 +00 +35 +00 +15 +00 +41 +00 +1a +00 +5f +00 +20 +00 +7a +00 +28 +00 +62 +00 +21 +00 +47 +00 +1c +00 +33 +00 +14 +00 +45 +00 +1e +00 +49 +00 +18 +00 +54 +00 +1d +00 +60 +00 +4c +00 +d3 +10 +50 +00 +06 +10 +3b +00 +a2 +00 +28 +00 +5e +00 +1f +00 +3b +00 +13 +00 +34 +00 +14 +00 +35 +00 +14 +00 +3e +00 +12 +00 +43 +00 +20 +00 +6d +00 +27 +00 +6e +00 +26 +00 +4d +00 +1a +00 +35 +00 +13 +00 +2d +00 +15 +00 +37 +00 +16 +00 +2f +00 +15 +00 +31 +00 +16 +00 +2d +00 +11 +00 +29 +00 +17 +00 +34 +00 +15 +00 +3f +00 +11 +00 +33 +00 +19 +00 +3b +00 +11 +00 +27 +00 +12 +00 +35 +00 +1a +00 +7e +00 +33 +10 +af +20 +b0 +00 +b5 +00 +16 +00 +39 +00 +11 +00 +2c +00 +10 +00 +2b +00 +18 +00 +2d +00 +12 +00 +2c +00 +17 +00 +41 +00 +1a +00 +36 +00 +12 +00 +32 +00 +13 +00 +2d +00 +18 +00 +3d +00 +16 +00 +3b +00 +18 +00 +34 +00 +1b +00 +3a +00 +18 +00 +4b +00 +15 +00 +3a +00 +17 +00 +39 +00 +12 +00 +3c +00 +15 +00 +3c +00 +18 +00 +34 +00 +16 +00 +55 +00 +1e +00 +65 +00 +2f +00 +8f +00 +2a +00 +44 +00 +15 +00 +34 +00 +1d +00 +47 +00 +18 +00 +4a +00 +00 +00 +00 +00 +86 +00 +64 +00 +98 +00 +72 +00 +98 +00 +6b +00 +97 +00 +65 +00 +87 +00 +71 +00 +9f +00 +79 +00 +a1 +00 +5f +00 +96 +00 +6b +00 +9f +00 +6d +00 +a5 +00 +7d +00 +a2 +00 +71 +00 +b0 +00 +6f +00 +a2 +00 +71 +00 +ac +00 +74 +00 +93 +00 +6a +00 +99 +00 +59 +00 +86 +00 +46 +00 +63 +00 +52 +00 +6a +00 +83 +00 +7f +00 +6f +00 +6e +00 +40 +00 +55 +00 +39 +00 +5f +00 +38 +00 +63 +00 +48 +00 +64 +00 +41 +00 +5e +00 +3c +00 +61 +00 +44 +00 +65 +00 +4a +00 +69 +00 +40 +00 +6c +00 +46 +00 +7c +00 +51 +00 +95 +00 +23 +10 +a5 +20 +e0 +20 +76 +50 +be +10 +a7 +10 +f5 +00 +29 +10 +82 +00 +85 +00 +53 +00 +76 +00 +56 +00 +83 +00 +56 +00 +88 +00 +59 +00 +7f +00 +c3 +00 +c5 +10 +e7 +10 +a8 +10 +bf +00 +ae +00 +2d +10 +1d +10 +b6 +00 +96 +00 +6c +00 +96 +00 +a7 +00 +f8 +00 +17 +10 +5e +30 +6c +10 +d0 +10 +b3 +00 +f4 +00 +30 +10 +0b +10 +f3 +00 +94 +10 +66 +20 +53 +50 +f5 +30 +df +60 +95 +30 +4a +40 +d2 +20 +21 +60 +7f +40 +ef +70 +80 +30 +79 +20 +21 +10 +25 +10 +bf +10 +92 +40 +2a +40 +cc +80 +25 +50 +b7 +70 +f1 +40 +1e +60 +d2 +40 +22 +60 +f4 +30 +4d +30 +3d +10 +dd +00 +8b +00 +94 +00 +7d +00 +bd +00 +a7 +00 +e2 +00 +e6 +00 +3b +10 +12 +10 +23 +10 +0d +20 +31 +40 +6c +30 +ec +60 +02 +30 +52 +30 +61 +30 +d3 +50 +36 +40 +9d +50 +3f +50 +a3 +80 +0e +40 +d1 +20 +ab +10 +91 +10 +12 +20 +f7 +40 +4a +40 +ff +50 +e9 +30 +77 +50 +6b +20 +da +20 +5d +10 +85 +10 +f0 +00 +fe +00 +f0 +10 +d8 +40 +ed +20 +10 +60 +d5 +30 +e4 +50 +e5 +10 +3f +10 +af +00 +ce +00 +22 +10 +03 +40 +df +20 +a2 +60 +6c +20 +d5 +20 +f7 +00 +ae +00 +4c +00 +83 +00 +4d +00 +65 +00 +3f +00 +65 +00 +41 +00 +5f +00 +4b +00 +7c +00 +4e +00 +6d +00 +59 +00 +72 +00 +4a +00 +67 +00 +40 +00 +5d +00 +46 +00 +5b +00 +3b +00 +58 +00 +41 +00 +5d +00 +41 +00 +6a +00 +3c +00 +59 +00 +33 +00 +53 +00 +30 +00 +54 +00 +39 +00 +4b +00 +2d +00 +49 +00 +29 +00 +49 +00 +2c +00 +41 +00 +2b +00 +3c +00 +25 +00 +42 +00 +2b +00 +41 +00 +22 +00 +45 +00 +26 +00 +47 +00 +28 +00 +37 +00 +27 +00 +2f +00 +26 +00 +3a +00 +25 +00 +46 +00 +24 +00 +3f +00 +2b +00 +43 +00 +25 +00 +3e +00 +29 +00 +40 +00 +2b +00 +48 +00 +30 +00 +52 +00 +26 +00 +4f +00 +23 +00 +42 +00 +2a +00 +3f +00 +23 +00 +4f +00 +30 +00 +4e +00 +2c +00 +57 +00 +27 +00 +5a +00 +28 +00 +52 +00 +25 +00 +3e +00 +32 +00 +78 +00 +49 +00 +56 +00 +25 +00 +3a +00 +22 +00 +3d +00 +20 +00 +38 +00 +23 +00 +40 +00 +20 +00 +43 +00 +1d +00 +4a +00 +25 +00 +3e +00 +1e +00 +34 +00 +25 +00 +3c +00 +1c +00 +39 +00 +24 +00 +36 +00 +20 +00 +3d +00 +1f +00 +33 +00 +29 +00 +3f +00 +29 +00 +47 +00 +69 +00 +73 +00 +73 +00 +9c +00 +72 +00 +75 +00 +2f +00 +47 +00 +29 +00 +45 +00 +2c +00 +43 +00 +2f +00 +9c +00 +2d +00 +49 +00 +2c +00 +44 +00 +24 +00 +42 +00 +24 +00 +42 +00 +23 +00 +57 +00 +6a +00 +16 +10 +68 +00 +2c +10 +9a +00 +0a +10 +5c +00 +5e +00 +6f +00 +99 +00 +90 +00 +dd +00 +d5 +00 +db +00 +47 +10 +93 +10 +c7 +00 +b4 +00 +4b +00 +5d +00 +3e +00 +70 +00 +3c +00 +68 +00 +3c +00 +59 +00 +36 +00 +5a +00 +4b +00 +81 +00 +a0 +00 +fc +00 +5e +00 +74 +00 +2f +00 +59 +00 +2b +00 +46 +00 +26 +00 +42 +00 +2b +00 +36 +00 +26 +00 +39 +00 +24 +00 +3e +00 +2a +00 +3d +00 +21 +00 +3a +00 +2d +00 +4c +00 +38 +00 +4d +00 +31 +00 +49 +00 +2e +00 +3c +00 +25 +00 +39 +00 +29 +00 +38 +00 +29 +00 +3f +00 +2d +00 +3d +00 +58 +00 +96 +00 +6d +00 +cd +00 +7c +00 +88 +00 +60 +00 +64 +00 +3e +00 +50 +00 +2e +00 +3a +00 +1c +00 +3f +00 +21 +00 +36 +00 +1f +00 +41 +00 +31 +00 +3f +00 +44 +00 +4d +00 +3c +00 +3d +00 +2c +00 +36 +00 +24 +00 +34 +00 +22 +00 +3a +00 +1f +00 +38 +00 +23 +00 +35 +00 +1a +00 +2d +00 +1f +00 +28 +00 +1a +00 +30 +00 +26 +00 +2c +00 +1d +00 +2a +00 +1e +00 +2c +00 +18 +00 +2a +00 +1b +00 +30 +00 +27 +00 +38 +00 +4b +00 +2c +10 +83 +00 +d5 +00 +5f +00 +60 +00 +2a +00 +32 +00 +1c +00 +2a +00 +1c +00 +2d +00 +28 +00 +35 +00 +28 +00 +51 +00 +24 +00 +4a +00 +28 +00 +37 +00 +1c +00 +30 +00 +22 +00 +2f +00 +20 +00 +40 +00 +23 +00 +38 +00 +1f +00 +3d +00 +25 +00 +3b +00 +27 +00 +56 +00 +1c +00 +36 +00 +1d +00 +2b +00 +1f +00 +34 +00 +1e +00 +36 +00 +1d +00 +40 +00 +2d +00 +4e +00 +3a +00 +82 +00 +3f +00 +7b +00 +30 +00 +30 +00 +22 +00 +33 +00 +23 +00 +41 +00 +1c +00 +00 +00 +00 +00 +36 +00 +88 +00 +38 +00 +8e +00 +3f +00 +9c +00 +34 +00 +92 +00 +38 +00 +97 +00 +34 +00 +9f +00 +3c +00 +a0 +00 +30 +00 +ba +00 +39 +00 +9e +00 +3c +00 +a7 +00 +36 +00 +a6 +00 +43 +00 +ba +00 +33 +00 +a2 +00 +43 +00 +99 +00 +36 +00 +aa +00 +3a +00 +9e +00 +2d +00 +73 +00 +23 +00 +74 +00 +48 +00 +33 +10 +55 +00 +b2 +00 +26 +00 +5a +00 +25 +00 +5b +00 +22 +00 +65 +00 +26 +00 +68 +00 +2f +00 +6e +00 +22 +00 +50 +00 +23 +00 +62 +00 +21 +00 +63 +00 +29 +00 +64 +00 +2e +00 +6b +00 +25 +00 +7d +00 +40 +00 +42 +10 +a6 +10 +da +50 +d0 +10 +cb +20 +70 +00 +b8 +10 +e7 +00 +52 +10 +32 +00 +8a +00 +33 +00 +90 +00 +2f +00 +8c +00 +34 +00 +7e +00 +40 +00 +c9 +00 +f0 +00 +d4 +30 +af +00 +1d +10 +b6 +00 +83 +30 +07 +10 +67 +10 +33 +00 +b4 +00 +4f +00 +42 +10 +67 +00 +0b +10 +79 +00 +8f +10 +68 +00 +e2 +00 +4b +00 +c4 +10 +91 +00 +0d +10 +6b +00 +db +10 +42 +10 +a0 +50 +71 +20 +ea +40 +08 +10 +d0 +20 +d7 +10 +bc +60 +83 +20 +7d +60 +d9 +00 +69 +10 +6e +00 +09 +20 +35 +10 +ad +40 +f0 +10 +3a +60 +20 +20 +dc +60 +8b +20 +37 +80 +01 +30 +76 +70 +4d +10 +fa +10 +52 +00 +c2 +00 +3d +00 +ba +00 +3d +00 +c4 +00 +48 +00 +e9 +00 +56 +00 +ef +00 +5f +00 +ee +10 +be +10 +0c +50 +5f +20 +06 +50 +fc +00 +65 +30 +15 +20 +1c +70 +bc +10 +9d +60 +e7 +20 +ed +70 +d5 +10 +0b +30 +92 +00 +38 +20 +18 +20 +f8 +60 +ab +20 +e2 +60 +4a +10 +06 +30 +94 +00 +93 +10 +65 +00 +08 +10 +7d +00 +f3 +20 +1b +20 +98 +40 +84 +10 +4e +60 +32 +20 +cb +30 +77 +00 +f9 +00 +4b +00 +10 +10 +de +00 +02 +30 +2c +10 +a9 +20 +85 +00 +10 +10 +2f +00 +88 +00 +2d +00 +79 +00 +24 +00 +6c +00 +1f +00 +60 +00 +1f +00 +5f +00 +1f +00 +6a +00 +30 +00 +5f +00 +30 +00 +62 +00 +23 +00 +5b +00 +26 +00 +5d +00 +1f +00 +50 +00 +1f +00 +5e +00 +23 +00 +50 +00 +23 +00 +4e +00 +1c +00 +4a +00 +19 +00 +4c +00 +1d +00 +4e +00 +18 +00 +4f +00 +1e +00 +49 +00 +15 +00 +45 +00 +15 +00 +36 +00 +1c +00 +3d +00 +18 +00 +3b +00 +13 +00 +31 +00 +14 +00 +44 +00 +1e +00 +37 +00 +0f +00 +36 +00 +10 +00 +32 +00 +14 +00 +33 +00 +14 +00 +3b +00 +16 +00 +43 +00 +1c +00 +4a +00 +16 +00 +3e +00 +1b +00 +49 +00 +1e +00 +54 +00 +29 +00 +4e +00 +22 +00 +48 +00 +1b +00 +51 +00 +1a +00 +49 +00 +1f +00 +4d +00 +25 +00 +5c +00 +1d +00 +52 +00 +1b +00 +50 +00 +1f +00 +52 +00 +21 +00 +49 +00 +47 +00 +ef +00 +27 +00 +54 +00 +20 +00 +46 +00 +1c +00 +3c +00 +1d +00 +4f +00 +1b +00 +3d +00 +1a +00 +3b +00 +1b +00 +30 +00 +18 +00 +41 +00 +1c +00 +34 +00 +15 +00 +39 +00 +16 +00 +3c +00 +13 +00 +3a +00 +14 +00 +3d +00 +11 +00 +42 +00 +13 +00 +45 +00 +1a +00 +af +00 +8b +00 +41 +10 +8e +00 +14 +10 +30 +00 +66 +00 +18 +00 +3d +00 +16 +00 +4b +00 +19 +00 +4a +00 +22 +00 +59 +00 +1b +00 +3c +00 +15 +00 +50 +00 +1a +00 +3e +00 +1a +00 +44 +00 +1e +00 +63 +00 +2b +00 +83 +00 +32 +00 +95 +00 +30 +00 +78 +00 +20 +00 +62 +00 +22 +00 +81 +00 +32 +00 +c4 +00 +bb +00 +f2 +10 +6e +00 +db +00 +2e +00 +5d +00 +23 +00 +74 +00 +43 +00 +8d +00 +2b +00 +58 +00 +27 +00 +52 +00 +21 +00 +65 +00 +2c +00 +f8 +00 +72 +00 +a0 +00 +24 +00 +5d +00 +1c +00 +46 +00 +1e +00 +48 +00 +18 +00 +41 +00 +1c +00 +3b +00 +17 +00 +39 +00 +19 +00 +43 +00 +1c +00 +4f +00 +1b +00 +41 +00 +21 +00 +3e +00 +12 +00 +3e +00 +18 +00 +36 +00 +19 +00 +44 +00 +18 +00 +38 +00 +19 +00 +3e +00 +1e +00 +3c +00 +1b +00 +49 +00 +21 +00 +66 +00 +2a +00 +7b +00 +24 +00 +57 +00 +1b +00 +4e +00 +16 +00 +43 +00 +18 +00 +3c +00 +11 +00 +33 +00 +11 +00 +26 +00 +13 +00 +2a +00 +13 +00 +36 +00 +18 +00 +3f +00 +13 +00 +34 +00 +13 +00 +37 +00 +15 +00 +33 +00 +15 +00 +3a +00 +11 +00 +3b +00 +0e +00 +2b +00 +11 +00 +26 +00 +13 +00 +35 +00 +12 +00 +32 +00 +12 +00 +2d +00 +0e +00 +30 +00 +13 +00 +29 +00 +12 +00 +2b +00 +16 +00 +37 +00 +16 +00 +64 +00 +28 +00 +8e +00 +35 +00 +6e +00 +1d +00 +38 +00 +11 +00 +2c +00 +11 +00 +2b +00 +20 +00 +4c +00 +1d +00 +45 +00 +20 +00 +3d +00 +19 +00 +4d +00 +1b +00 +3c +00 +14 +00 +35 +00 +18 +00 +3c +00 +1f +00 +42 +00 +18 +00 +38 +00 +16 +00 +40 +00 +13 +00 +56 +00 +1f +00 +3c +00 +16 +00 +2e +00 +10 +00 +35 +00 +12 +00 +33 +00 +11 +00 +31 +00 +16 +00 +3d +00 +1f +00 +66 +00 +24 +00 +67 +00 +29 +00 +43 +00 +17 +00 +2a +00 +13 +00 +3e +00 +16 +00 +3c +00 +00 +00 +00 +00 +95 +00 +5e +00 +99 +00 +65 +00 +9c +00 +69 +00 +a4 +00 +6d +00 +ac +00 +63 +00 +a0 +00 +6a +00 +9b +00 +67 +00 +a0 +00 +76 +00 +ad +00 +7b +00 +99 +00 +74 +00 +a7 +00 +61 +00 +aa +00 +69 +00 +af +00 +6e +00 +a1 +00 +6d +00 +a6 +00 +69 +00 +9d +00 +52 +00 +7c +00 +47 +00 +63 +00 +7d +00 +3b +10 +8a +10 +d0 +30 +ea +00 +9d +00 +3c +00 +54 +00 +3a +00 +64 +00 +35 +00 +5c +00 +38 +00 +5c +00 +3b +00 +6b +00 +3f +00 +69 +00 +36 +00 +5b +00 +37 +00 +6e +00 +45 +00 +6e +00 +52 +00 +67 +00 +73 +00 +9e +00 +0a +10 +7c +30 +12 +20 +5a +30 +62 +10 +5d +10 +ca +00 +3f +10 +c2 +00 +af +00 +be +00 +a3 +00 +f4 +00 +c7 +00 +a1 +00 +9a +00 +85 +00 +aa +00 +81 +10 +83 +20 +a8 +10 +2d +20 +ab +10 +ba +20 +1e +30 +7c +40 +58 +10 +d5 +00 +8a +00 +cf +00 +f8 +00 +be +10 +d1 +00 +0d +10 +ab +00 +e1 +00 +a1 +00 +d6 +00 +af +00 +8d +10 +df +00 +ff +00 +e7 +10 +43 +40 +89 +30 +5f +50 +c8 +20 +9a +20 +5f +20 +6e +20 +db +20 +d0 +50 +c9 +30 +9f +30 +8e +10 +3a +10 +50 +20 +0a +30 +05 +40 +44 +70 +50 +50 +ab +80 +0d +60 +10 +90 +e6 +50 +62 +90 +0c +40 +fd +40 +10 +20 +77 +10 +c2 +00 +d8 +00 +8d +00 +b7 +00 +8b +00 +c6 +00 +92 +00 +c1 +00 +a1 +00 +d8 +00 +15 +10 +29 +20 +c5 +10 +4b +40 +09 +20 +6e +20 +84 +20 +8a +50 +d6 +40 +1e +70 +f0 +30 +87 +70 +39 +40 +57 +70 +cb +20 +74 +20 +a8 +20 +cf +50 +2c +40 +ab +70 +bf +30 +d3 +40 +29 +20 +29 +20 +47 +10 +fe +00 +2e +10 +71 +10 +a4 +20 +b8 +40 +04 +20 +b6 +20 +1a +20 +e7 +40 +d4 +20 +54 +20 +db +00 +d5 +00 +b7 +00 +22 +10 +eb +00 +c2 +10 +0f +10 +35 +10 +91 +00 +81 +00 +4e +00 +6d +00 +48 +00 +80 +00 +47 +00 +64 +00 +43 +00 +6b +00 +41 +00 +56 +00 +3a +00 +63 +00 +43 +00 +61 +00 +43 +00 +68 +00 +3a +00 +5f +00 +44 +00 +5d +00 +33 +00 +55 +00 +2d +00 +4b +00 +3c +00 +57 +00 +39 +00 +42 +00 +2b +00 +4d +00 +38 +00 +4c +00 +2b +00 +4d +00 +34 +00 +4c +00 +28 +00 +42 +00 +27 +00 +39 +00 +28 +00 +35 +00 +22 +00 +38 +00 +28 +00 +39 +00 +25 +00 +40 +00 +28 +00 +34 +00 +1e +00 +36 +00 +22 +00 +31 +00 +1f +00 +38 +00 +21 +00 +33 +00 +27 +00 +46 +00 +28 +00 +4a +00 +2a +00 +3f +00 +29 +00 +49 +00 +2b +00 +4e +00 +26 +00 +5c +00 +2a +00 +4e +00 +26 +00 +4d +00 +23 +00 +46 +00 +2a +00 +4f +00 +31 +00 +4e +00 +3a +00 +59 +00 +30 +00 +56 +00 +34 +00 +55 +00 +39 +00 +50 +00 +27 +00 +64 +00 +55 +00 +ac +00 +43 +00 +57 +00 +29 +00 +3f +00 +2a +00 +4f +00 +2a +00 +49 +00 +29 +00 +48 +00 +23 +00 +47 +00 +26 +00 +40 +00 +25 +00 +3d +00 +1d +00 +46 +00 +1e +00 +37 +00 +1d +00 +34 +00 +1e +00 +3b +00 +1a +00 +36 +00 +22 +00 +42 +00 +30 +00 +4b +00 +73 +00 +da +00 +b9 +00 +70 +20 +8c +00 +9b +00 +3d +00 +4c +00 +33 +00 +73 +00 +50 +00 +4f +00 +24 +00 +4a +00 +2a +00 +4e +00 +2d +00 +40 +00 +34 +00 +3e +00 +29 +00 +42 +00 +27 +00 +3e +00 +3a +00 +55 +00 +43 +00 +6e +00 +49 +00 +72 +00 +47 +00 +67 +00 +45 +00 +64 +00 +a9 +00 +3a +10 +39 +10 +d2 +30 +31 +10 +22 +10 +7c +00 +88 +00 +44 +00 +57 +00 +77 +00 +54 +10 +8d +00 +8c +00 +41 +00 +5f +00 +3c +00 +50 +00 +3b +00 +55 +00 +50 +00 +8c +00 +4a +00 +71 +00 +39 +00 +4e +00 +2f +00 +47 +00 +29 +00 +41 +00 +21 +00 +48 +00 +28 +00 +37 +00 +1e +00 +39 +00 +1a +00 +40 +00 +22 +00 +43 +00 +27 +00 +39 +00 +1f +00 +3c +00 +28 +00 +3b +00 +1e +00 +40 +00 +23 +00 +33 +00 +20 +00 +3e +00 +28 +00 +3e +00 +2b +00 +35 +00 +31 +00 +41 +00 +35 +00 +54 +00 +3d +00 +4b +00 +39 +00 +44 +00 +2a +00 +3f +00 +29 +00 +34 +00 +23 +00 +3c +00 +24 +00 +38 +00 +1c +00 +2e +00 +1b +00 +3b +00 +22 +00 +2b +00 +20 +00 +30 +00 +22 +00 +34 +00 +23 +00 +35 +00 +22 +00 +38 +00 +18 +00 +3b +00 +22 +00 +3b +00 +1f +00 +2c +00 +1b +00 +29 +00 +18 +00 +2e +00 +29 +00 +2b +00 +1e +00 +2f +00 +13 +00 +31 +00 +1d +00 +30 +00 +1c +00 +32 +00 +24 +00 +34 +00 +42 +00 +60 +00 +42 +00 +6c +00 +39 +00 +3e +00 +26 +00 +29 +00 +1b +00 +28 +00 +1e +00 +71 +00 +23 +00 +46 +00 +1e +00 +3f +00 +26 +00 +4c +00 +28 +00 +48 +00 +20 +00 +3f +00 +2e +00 +41 +00 +25 +00 +3c +00 +22 +00 +38 +00 +25 +00 +40 +00 +1a +00 +42 +00 +1a +00 +37 +00 +1a +00 +30 +00 +1b +00 +37 +00 +1e +00 +45 +00 +18 +00 +37 +00 +25 +00 +3b +00 +26 +00 +52 +00 +2a +00 +4c +00 +2a +00 +5e +00 +1f +00 +3a +00 +1a +00 +39 +00 +1a +00 +45 +00 +17 +00 +00 +00 +00 +00 +2d +00 +97 +00 +34 +00 +8a +00 +33 +00 +9f +00 +35 +00 +a1 +00 +33 +00 +a6 +00 +3b +00 +93 +00 +3e +00 +a4 +00 +39 +00 +a0 +00 +38 +00 +9f +00 +2e +00 +a5 +00 +3e +00 +9f +00 +37 +00 +a1 +00 +45 +00 +96 +00 +39 +00 +a4 +00 +33 +00 +9e +00 +33 +00 +87 +00 +2d +00 +6b +00 +2a +00 +79 +00 +73 +00 +bc +10 +b3 +00 +ec +10 +46 +00 +6e +00 +25 +00 +5a +00 +23 +00 +54 +00 +22 +00 +65 +00 +2e +00 +65 +00 +26 +00 +68 +00 +24 +00 +6d +00 +20 +00 +5b +00 +21 +00 +5f +00 +29 +00 +7c +00 +39 +00 +ca +00 +49 +00 +f5 +00 +8a +00 +f6 +10 +b6 +00 +e1 +10 +5a +00 +d8 +00 +53 +00 +cc +00 +44 +00 +ef +00 +b5 +00 +6b +20 +7c +00 +fa +00 +3f +00 +b1 +00 +5e +00 +ee +10 +c9 +10 +af +40 +c8 +00 +27 +20 +8a +10 +04 +60 +a2 +10 +52 +20 +56 +00 +b0 +00 +4c +00 +12 +10 +54 +00 +14 +10 +4f +00 +ed +00 +3c +00 +b8 +00 +49 +00 +cb +00 +60 +00 +1d +10 +66 +00 +1d +20 +f7 +10 +d1 +50 +d7 +10 +93 +40 +9d +10 +fe +30 +54 +10 +69 +40 +71 +20 +41 +70 +5b +10 +08 +20 +1b +10 +7f +40 +e0 +10 +17 +50 +c6 +20 +51 +80 +39 +30 +35 +90 +3c +30 +9c +80 +01 +30 +9e +60 +d2 +10 +e1 +30 +a2 +00 +08 +10 +3f +00 +cc +00 +48 +00 +b8 +00 +3d +00 +b7 +00 +3d +00 +b2 +00 +49 +00 +06 +10 +79 +00 +9e +10 +a3 +00 +c2 +10 +87 +00 +5a +20 +3e +20 +89 +70 +b3 +20 +e6 +50 +9c +10 +cc +40 +72 +10 +69 +30 +e8 +00 +f9 +20 +2d +20 +03 +70 +12 +20 +aa +50 +70 +10 +50 +30 +c5 +00 +76 +20 +9d +00 +96 +10 +36 +10 +9e +40 +30 +10 +ad +20 +8c +00 +ff +10 +9a +10 +bb +50 +29 +10 +85 +10 +41 +00 +b1 +00 +49 +00 +08 +10 +61 +00 +19 +10 +44 +00 +a0 +00 +28 +00 +6f +00 +28 +00 +78 +00 +2d +00 +68 +00 +28 +00 +64 +00 +29 +00 +59 +00 +20 +00 +52 +00 +1b +00 +59 +00 +20 +00 +50 +00 +23 +00 +56 +00 +1d +00 +58 +00 +19 +00 +5d +00 +20 +00 +4c +00 +22 +00 +48 +00 +21 +00 +4a +00 +1c +00 +47 +00 +18 +00 +56 +00 +17 +00 +48 +00 +1f +00 +46 +00 +17 +00 +49 +00 +16 +00 +3c +00 +16 +00 +3a +00 +18 +00 +3b +00 +16 +00 +3a +00 +1a +00 +3c +00 +1a +00 +3d +00 +11 +00 +3b +00 +15 +00 +28 +00 +12 +00 +2e +00 +12 +00 +36 +00 +1d +00 +38 +00 +21 +00 +43 +00 +1a +00 +4c +00 +1b +00 +4d +00 +16 +00 +55 +00 +1b +00 +4e +00 +1d +00 +4d +00 +21 +00 +37 +00 +1c +00 +47 +00 +16 +00 +4c +00 +1d +00 +5b +00 +23 +00 +57 +00 +2f +00 +8b +00 +38 +00 +71 +00 +27 +00 +50 +00 +14 +00 +4b +00 +1f +00 +70 +00 +3a +00 +78 +00 +1f +00 +50 +00 +1a +00 +54 +00 +1e +00 +47 +00 +1b +00 +45 +00 +19 +00 +4b +00 +1e +00 +48 +00 +15 +00 +3c +00 +18 +00 +41 +00 +1a +00 +35 +00 +17 +00 +34 +00 +13 +00 +3a +00 +1c +00 +3f +00 +15 +00 +36 +00 +1a +00 +4c +00 +28 +00 +de +00 +3c +00 +c6 +00 +6f +00 +ec +00 +31 +00 +64 +00 +1e +00 +63 +00 +55 +00 +be +00 +1f +00 +4c +00 +1c +00 +47 +00 +1b +00 +43 +00 +20 +00 +85 +00 +1b +00 +43 +00 +1f +00 +48 +00 +17 +00 +51 +00 +1f +00 +65 +00 +22 +00 +64 +00 +2a +00 +6c +00 +20 +00 +4f +00 +2a +00 +2a +10 +fa +00 +3d +20 +cf +00 +98 +10 +5b +00 +bf +00 +2c +00 +6d +00 +22 +00 +8c +00 +cd +00 +a1 +10 +45 +00 +6a +00 +1f +00 +50 +00 +1a +00 +4a +00 +23 +00 +64 +00 +2b +00 +6c +00 +27 +00 +56 +00 +20 +00 +49 +00 +20 +00 +4c +00 +18 +00 +47 +00 +1b +00 +38 +00 +12 +00 +36 +00 +16 +00 +3f +00 +1b +00 +42 +00 +1b +00 +3d +00 +1b +00 +42 +00 +16 +00 +31 +00 +18 +00 +41 +00 +1e +00 +43 +00 +17 +00 +37 +00 +16 +00 +40 +00 +16 +00 +42 +00 +16 +00 +48 +00 +1a +00 +57 +00 +17 +00 +3f +00 +18 +00 +3a +00 +15 +00 +42 +00 +15 +00 +39 +00 +15 +00 +3e +00 +15 +00 +33 +00 +1a +00 +2b +00 +1c +00 +39 +00 +1e +00 +47 +00 +18 +00 +3b +00 +1c +00 +31 +00 +1d +00 +40 +00 +1b +00 +32 +00 +13 +00 +3e +00 +16 +00 +37 +00 +0f +00 +32 +00 +0f +00 +31 +00 +15 +00 +2e +00 +18 +00 +2d +00 +11 +00 +33 +00 +13 +00 +2f +00 +12 +00 +34 +00 +12 +00 +33 +00 +15 +00 +2d +00 +13 +00 +4b +00 +19 +00 +4b +00 +1e +00 +3f +00 +16 +00 +29 +00 +12 +00 +2d +00 +14 +00 +30 +00 +1a +00 +45 +00 +18 +00 +48 +00 +18 +00 +49 +00 +2a +00 +70 +00 +1d +00 +41 +00 +1b +00 +43 +00 +23 +00 +49 +00 +1c +00 +3e +00 +1d +00 +43 +00 +13 +00 +31 +00 +10 +00 +3a +00 +1a +00 +3a +00 +17 +00 +35 +00 +12 +00 +46 +00 +1b +00 +3c +00 +1c +00 +5d +00 +20 +00 +36 +00 +1b +00 +48 +00 +29 +00 +51 +00 +16 +00 +3c +00 +16 +00 +30 +00 +15 +00 +38 +00 +15 +00 +34 +00 +00 +00 +00 +00 +94 +00 +61 +00 +94 +00 +6b +00 +9c +00 +6b +00 +a1 +00 +63 +00 +9e +00 +5d +00 +ab +00 +71 +00 +ac +00 +71 +00 +a0 +00 +79 +00 +a2 +00 +73 +00 +a8 +00 +71 +00 +99 +00 +68 +00 +a0 +00 +6f +00 +a1 +00 +6f +00 +a1 +00 +74 +00 +af +00 +6c +00 +98 +00 +59 +00 +73 +00 +67 +00 +76 +00 +f9 +00 +0e +10 +8e +00 +e3 +00 +75 +00 +9e +00 +4c +00 +61 +00 +3d +00 +54 +00 +3c +00 +58 +00 +36 +00 +63 +00 +40 +00 +63 +00 +38 +00 +56 +00 +3f +00 +5f +00 +42 +00 +6c +00 +79 +00 +7f +00 +9b +00 +fb +00 +1b +10 +21 +10 +c4 +00 +1a +10 +db +10 +79 +30 +e0 +10 +7b +10 +b5 +00 +ed +00 +ad +10 +c0 +10 +ab +20 +7f +40 +f0 +20 +99 +30 +68 +10 +17 +10 +eb +00 +c0 +10 +c3 +20 +45 +60 +2e +30 +be +30 +d9 +20 +d0 +40 +d1 +20 +28 +50 +65 +10 +fa +00 +97 +00 +c8 +00 +fa +00 +f9 +00 +fa +00 +f5 +00 +d4 +00 +f0 +00 +bd +00 +c7 +00 +c7 +00 +da +00 +b2 +00 +df +00 +49 +10 +dc +20 +ad +30 +de +70 +d5 +40 +02 +80 +1e +40 +c5 +60 +77 +30 +9c +60 +ba +20 +db +30 +7b +20 +86 +40 +de +20 +4a +60 +50 +30 +fd +30 +32 +30 +74 +60 +7c +50 +47 +90 +32 +40 +16 +50 +c9 +20 +10 +50 +b1 +20 +80 +30 +f5 +10 +55 +10 +a8 +00 +b3 +00 +73 +00 +97 +00 +73 +00 +b0 +00 +82 +00 +c0 +00 +a7 +00 +06 +10 +f9 +00 +7d +10 +14 +10 +4e +10 +59 +20 +b4 +50 +a2 +40 +24 +80 +a1 +30 +5c +30 +e3 +10 +50 +20 +ab +10 +65 +20 +13 +30 +e4 +30 +da +30 +39 +60 +a1 +20 +e4 +20 +77 +10 +78 +10 +53 +10 +52 +20 +41 +30 +cb +50 +12 +30 +69 +30 +af +10 +77 +10 +46 +10 +f0 +20 +7d +10 +d7 +20 +0f +10 +c6 +00 +84 +00 +be +00 +9f +00 +e6 +00 +92 +00 +a3 +00 +58 +00 +68 +00 +43 +00 +6a +00 +4b +00 +63 +00 +36 +00 +61 +00 +33 +00 +59 +00 +31 +00 +52 +00 +36 +00 +55 +00 +3c +00 +59 +00 +3b +00 +5c +00 +3b +00 +53 +00 +40 +00 +5e +00 +3f +00 +53 +00 +33 +00 +5d +00 +42 +00 +54 +00 +3c +00 +54 +00 +33 +00 +44 +00 +2d +00 +4e +00 +27 +00 +3f +00 +32 +00 +4e +00 +2c +00 +45 +00 +27 +00 +49 +00 +2d +00 +40 +00 +2c +00 +40 +00 +26 +00 +47 +00 +2f +00 +42 +00 +26 +00 +3f +00 +27 +00 +35 +00 +25 +00 +30 +00 +1b +00 +3a +00 +24 +00 +35 +00 +1c +00 +41 +00 +25 +00 +51 +00 +35 +00 +43 +00 +22 +00 +45 +00 +2c +00 +4c +00 +2c +00 +4f +00 +28 +00 +4b +00 +32 +00 +4c +00 +23 +00 +53 +00 +26 +00 +58 +00 +32 +00 +63 +00 +39 +00 +70 +00 +e8 +00 +00 +20 +5d +00 +78 +00 +3c +00 +55 +00 +28 +00 +50 +00 +29 +00 +50 +00 +38 +00 +4d +00 +32 +00 +49 +00 +24 +00 +53 +00 +21 +00 +4a +00 +2b +00 +49 +00 +25 +00 +46 +00 +27 +00 +41 +00 +2b +00 +3d +00 +27 +00 +38 +00 +20 +00 +3c +00 +21 +00 +38 +00 +21 +00 +3c +00 +24 +00 +3f +00 +25 +00 +47 +00 +25 +00 +4f +00 +3f +00 +73 +00 +4a +00 +8d +00 +4f +00 +8c +00 +4a +00 +59 +00 +34 +00 +6b +00 +2d +00 +5e +00 +34 +00 +46 +00 +44 +00 +6f +00 +2c +00 +4d +00 +2d +00 +56 +00 +2b +00 +41 +00 +29 +00 +4b +00 +58 +00 +89 +00 +51 +00 +5e +00 +40 +00 +5c +00 +28 +00 +50 +00 +36 +00 +4f +00 +5b +00 +0c +10 +cb +00 +68 +10 +71 +00 +d6 +00 +70 +00 +74 +00 +50 +00 +60 +00 +5c +00 +fa +00 +bd +00 +e1 +00 +53 +00 +54 +00 +35 +00 +5b +00 +3d +00 +80 +00 +3f +00 +6c +00 +3a +00 +76 +00 +9d +00 +80 +00 +37 +00 +4b +00 +24 +00 +3d +00 +1f +00 +45 +00 +1e +00 +44 +00 +20 +00 +41 +00 +1d +00 +3f +00 +1f +00 +53 +00 +26 +00 +43 +00 +23 +00 +39 +00 +22 +00 +41 +00 +25 +00 +34 +00 +1d +00 +3c +00 +20 +00 +3e +00 +25 +00 +45 +00 +1f +00 +3e +00 +25 +00 +50 +00 +2b +00 +45 +00 +2c +00 +43 +00 +21 +00 +43 +00 +20 +00 +32 +00 +1d +00 +35 +00 +19 +00 +39 +00 +1e +00 +36 +00 +1d +00 +43 +00 +25 +00 +46 +00 +1f +00 +3e +00 +26 +00 +3d +00 +24 +00 +3f +00 +26 +00 +3f +00 +1e +00 +42 +00 +1e +00 +2a +00 +19 +00 +2c +00 +1f +00 +33 +00 +24 +00 +2d +00 +1a +00 +2e +00 +18 +00 +2b +00 +1d +00 +32 +00 +19 +00 +30 +00 +1e +00 +32 +00 +22 +00 +33 +00 +22 +00 +3c +00 +29 +00 +44 +00 +2e +00 +30 +00 +2b +00 +2c +00 +1b +00 +31 +00 +1f +00 +3a +00 +1c +00 +34 +00 +1c +00 +47 +00 +21 +00 +3e +00 +2b +00 +50 +00 +2b +00 +43 +00 +23 +00 +50 +00 +5a +00 +75 +00 +29 +00 +3f +00 +25 +00 +37 +00 +21 +00 +3a +00 +1f +00 +3e +00 +1c +00 +37 +00 +16 +00 +3d +00 +21 +00 +55 +00 +28 +00 +4f +00 +2e +00 +51 +00 +49 +00 +65 +00 +2b +00 +49 +00 +28 +00 +5f +00 +2a +00 +4a +00 +25 +00 +3f +00 +20 +00 +39 +00 +1b +00 +4e +00 +20 +00 +00 +00 +00 +00 +32 +00 +91 +00 +3e +00 +a0 +00 +38 +00 +94 +00 +30 +00 +9f +00 +3a +00 +9a +00 +32 +00 +a2 +00 +37 +00 +a0 +00 +32 +00 +af +00 +39 +00 +9c +00 +38 +00 +a2 +00 +3c +00 +a2 +00 +44 +00 +ae +00 +3a +00 +a6 +00 +3c +00 +b1 +00 +39 +00 +a2 +00 +32 +00 +84 +00 +20 +00 +65 +00 +74 +00 +75 +20 +b6 +00 +fd +00 +41 +00 +86 +00 +23 +00 +65 +00 +21 +00 +5d +00 +21 +00 +52 +00 +25 +00 +57 +00 +27 +00 +6a +00 +22 +00 +60 +00 +24 +00 +6a +00 +28 +00 +6e +00 +37 +00 +c8 +00 +55 +00 +01 +10 +9a +00 +ca +20 +dd +00 +2c +10 +4f +00 +e0 +10 +92 +10 +f8 +30 +86 +00 +e4 +00 +6d +00 +e8 +20 +bb +10 +e2 +50 +64 +20 +f1 +50 +12 +20 +ef +30 +7c +00 +07 +10 +1c +10 +28 +50 +b4 +20 +d3 +50 +74 +10 +91 +40 +5b +10 +c9 +30 +0d +10 +31 +20 +55 +00 +f1 +00 +6e +00 +7b +10 +df +00 +c7 +10 +54 +00 +f4 +00 +fb +00 +62 +20 +65 +00 +03 +10 +a2 +00 +32 +10 +42 +00 +11 +10 +96 +00 +1c +30 +c8 +20 +72 +80 +cc +20 +d6 +60 +7c +10 +8b +30 +ff +00 +fa +20 +fd +00 +54 +30 +fe +00 +58 +30 +07 +20 +36 +40 +ed +00 +8b +20 +26 +10 +27 +60 +ec +20 +2b +60 +0a +10 +91 +20 +39 +10 +ff +30 +af +10 +54 +50 +b6 +00 +08 +10 +43 +00 +a3 +00 +3c +00 +a2 +00 +41 +00 +b9 +00 +3f +00 +b4 +00 +40 +00 +10 +10 +4d +00 +3b +10 +74 +00 +d3 +10 +25 +20 +7f +70 +38 +20 +13 +40 +bd +00 +3a +20 +da +00 +12 +20 +69 +10 +67 +50 +d7 +10 +2a +50 +0f +20 +08 +40 +9b +00 +69 +10 +76 +00 +50 +10 +8f +10 +f5 +50 +a9 +20 +8b +60 +f6 +00 +cb +10 +58 +00 +26 +10 +75 +00 +7b +10 +70 +00 +05 +10 +37 +00 +7f +00 +32 +00 +95 +00 +3b +00 +a3 +00 +2c +00 +74 +00 +21 +00 +63 +00 +23 +00 +5e +00 +25 +00 +5f +00 +20 +00 +59 +00 +25 +00 +5b +00 +20 +00 +52 +00 +1b +00 +59 +00 +24 +00 +61 +00 +22 +00 +59 +00 +1d +00 +59 +00 +20 +00 +5b +00 +24 +00 +60 +00 +26 +00 +56 +00 +22 +00 +53 +00 +1f +00 +49 +00 +20 +00 +47 +00 +1e +00 +46 +00 +18 +00 +4d +00 +1d +00 +48 +00 +1c +00 +41 +00 +22 +00 +35 +00 +18 +00 +3b +00 +10 +00 +43 +00 +1c +00 +4d +00 +1d +00 +41 +00 +19 +00 +3d +00 +14 +00 +2f +00 +10 +00 +2d +00 +14 +00 +3a +00 +19 +00 +40 +00 +1b +00 +4b +00 +20 +00 +53 +00 +22 +00 +4d +00 +22 +00 +49 +00 +1e +00 +53 +00 +21 +00 +4f +00 +18 +00 +57 +00 +22 +00 +49 +00 +1f +00 +4b +00 +23 +00 +63 +00 +2e +00 +78 +00 +36 +00 +47 +10 +a7 +00 +17 +10 +2a +00 +68 +00 +1f +00 +50 +00 +1b +00 +48 +00 +1c +00 +4d +00 +19 +00 +4b +00 +1f +00 +55 +00 +1e +00 +39 +00 +19 +00 +4b +00 +19 +00 +4c +00 +18 +00 +4e +00 +1b +00 +46 +00 +18 +00 +45 +00 +17 +00 +3d +00 +18 +00 +3f +00 +1c +00 +47 +00 +1c +00 +43 +00 +1d +00 +47 +00 +1c +00 +48 +00 +1e +00 +5e +00 +2a +00 +71 +00 +25 +00 +73 +00 +2c +00 +af +00 +21 +00 +4c +00 +23 +00 +59 +00 +21 +00 +58 +00 +22 +00 +97 +00 +27 +00 +4e +00 +15 +00 +4f +00 +1d +00 +50 +00 +17 +00 +38 +00 +25 +00 +ca +00 +87 +00 +af +00 +24 +00 +55 +00 +1a +00 +52 +00 +1d +00 +49 +00 +1f +00 +66 +00 +39 +00 +b8 +00 +4a +00 +cd +00 +36 +00 +8a +00 +2e +00 +69 +00 +2a +00 +7d +00 +60 +00 +34 +10 +3d +00 +82 +00 +1f +00 +4f +00 +21 +00 +71 +00 +7d +00 +d9 +00 +23 +00 +54 +00 +57 +00 +8b +10 +3e +00 +56 +00 +1e +00 +40 +00 +20 +00 +3f +00 +1b +00 +42 +00 +19 +00 +33 +00 +1c +00 +3f +00 +1e +00 +45 +00 +17 +00 +4a +00 +19 +00 +35 +00 +1a +00 +3b +00 +1b +00 +47 +00 +16 +00 +3c +00 +1b +00 +3f +00 +15 +00 +38 +00 +1f +00 +44 +00 +1c +00 +41 +00 +19 +00 +45 +00 +1f +00 +42 +00 +18 +00 +40 +00 +1a +00 +3a +00 +1b +00 +3a +00 +18 +00 +3a +00 +14 +00 +33 +00 +16 +00 +47 +00 +16 +00 +40 +00 +1b +00 +33 +00 +18 +00 +49 +00 +25 +00 +42 +00 +1b +00 +44 +00 +1b +00 +3f +00 +1e +00 +3e +00 +13 +00 +31 +00 +0f +00 +32 +00 +11 +00 +2f +00 +17 +00 +24 +00 +1c +00 +36 +00 +10 +00 +2c +00 +16 +00 +2a +00 +14 +00 +34 +00 +12 +00 +29 +00 +0e +00 +39 +00 +15 +00 +44 +00 +1c +00 +37 +00 +14 +00 +3b +00 +16 +00 +34 +00 +19 +00 +30 +00 +14 +00 +3d +00 +18 +00 +3e +00 +1a +00 +3d +00 +20 +00 +51 +00 +24 +00 +4a +00 +17 +00 +45 +00 +29 +00 +95 +00 +34 +00 +60 +00 +18 +00 +44 +00 +13 +00 +3f +00 +16 +00 +34 +00 +15 +00 +35 +00 +0f +00 +31 +00 +16 +00 +46 +00 +26 +00 +60 +00 +16 +00 +47 +00 +2b +00 +7b +00 +2a +00 +45 +00 +1f +00 +4a +00 +21 +00 +4e +00 +1a +00 +42 +00 +1e +00 +43 +00 +12 +00 +3c +00 +16 +00 +47 +00 +00 +00 +00 +00 +97 +00 +5e +00 +9d +00 +6f +00 +9d +00 +65 +00 +92 +00 +6e +00 +9e +00 +5f +00 +99 +00 +6d +00 +9d +00 +77 +00 +9e +00 +6e +00 +a6 +00 +6f +00 +a9 +00 +76 +00 +ae +00 +72 +00 +ab +00 +6b +00 +8f +00 +70 +00 +99 +00 +6c +00 +b3 +00 +61 +00 +98 +00 +48 +00 +75 +00 +89 +00 +4a +10 +10 +10 +fa +10 +a6 +00 +aa +00 +64 +00 +6a +00 +3e +00 +64 +00 +3d +00 +5c +00 +35 +00 +53 +00 +2f +00 +65 +00 +37 +00 +67 +00 +37 +00 +6a +00 +3b +00 +67 +00 +b4 +00 +04 +10 +95 +10 +77 +20 +07 +10 +57 +10 +99 +00 +4a +10 +e1 +00 +fe +00 +ee +10 +60 +40 +a5 +10 +d2 +10 +d8 +00 +2d +10 +a3 +20 +22 +60 +f4 +30 +91 +60 +a4 +30 +5e +60 +f3 +10 +02 +20 +f0 +00 +49 +10 +b9 +10 +4b +50 +17 +30 +1f +50 +c5 +30 +79 +40 +d4 +10 +e4 +10 +f4 +00 +06 +10 +6e +10 +98 +20 +09 +30 +58 +50 +da +10 +57 +10 +0d +10 +b9 +20 +83 +20 +9d +20 +4c +10 +d8 +10 +e3 +00 +f9 +00 +f4 +00 +40 +10 +1d +20 +6f +40 +b8 +20 +7e +40 +26 +20 +de +20 +ac +10 +0d +20 +50 +10 +dc +10 +2f +10 +cc +10 +f1 +10 +07 +40 +d1 +10 +33 +20 +97 +10 +42 +20 +e9 +20 +21 +70 +97 +30 +42 +30 +d0 +10 +24 +20 +8b +20 +29 +50 +13 +20 +6a +20 +fc +00 +ac +00 +77 +00 +95 +00 +61 +00 +95 +00 +6e +00 +9a +00 +7c +00 +a4 +00 +9d +00 +e4 +00 +bc +00 +e2 +00 +01 +20 +ee +40 +8a +30 +dc +40 +d7 +20 +a7 +20 +fd +10 +00 +40 +1c +20 +f9 +30 +9a +30 +90 +60 +24 +40 +84 +40 +ed +10 +f4 +10 +f9 +00 +19 +10 +7d +10 +09 +40 +32 +30 +a2 +60 +90 +20 +1d +30 +48 +10 +d8 +00 +bd +00 +02 +10 +a6 +00 +f2 +00 +94 +00 +9d +00 +63 +00 +84 +00 +70 +00 +8b +00 +65 +00 +7e +00 +4a +00 +62 +00 +43 +00 +5c +00 +43 +00 +5f +00 +3c +00 +62 +00 +42 +00 +61 +00 +33 +00 +6a +00 +53 +00 +69 +00 +49 +00 +62 +00 +49 +00 +5b +00 +3a +00 +5a +00 +44 +00 +5e +00 +41 +00 +5f +00 +51 +00 +55 +00 +4a +00 +61 +00 +50 +00 +55 +00 +5c +00 +5a +00 +3f +00 +50 +00 +39 +00 +4a +00 +32 +00 +47 +00 +29 +00 +48 +00 +27 +00 +45 +00 +29 +00 +3d +00 +2b +00 +42 +00 +2c +00 +45 +00 +26 +00 +3a +00 +1f +00 +35 +00 +26 +00 +35 +00 +1f +00 +3a +00 +22 +00 +33 +00 +23 +00 +3c +00 +22 +00 +41 +00 +2e +00 +5a +00 +2c +00 +4f +00 +2d +00 +52 +00 +28 +00 +5d +00 +38 +00 +52 +00 +28 +00 +53 +00 +2d +00 +48 +00 +2b +00 +51 +00 +2f +00 +69 +00 +41 +00 +5e +00 +4d +00 +87 +00 +5d +00 +a4 +00 +49 +00 +b1 +00 +4b +00 +4b +00 +21 +00 +3a +00 +2c +00 +47 +00 +31 +00 +4d +00 +29 +00 +51 +00 +2a +00 +46 +00 +24 +00 +44 +00 +29 +00 +50 +00 +2e +00 +42 +00 +2c +00 +40 +00 +26 +00 +41 +00 +25 +00 +3c +00 +1e +00 +43 +00 +2a +00 +4d +00 +28 +00 +40 +00 +1f +00 +44 +00 +20 +00 +47 +00 +25 +00 +4c +00 +35 +00 +64 +00 +70 +00 +7b +00 +47 +00 +61 +00 +31 +00 +5c +00 +32 +00 +42 +00 +35 +00 +54 +00 +30 +00 +57 +00 +26 +00 +56 +00 +28 +00 +44 +00 +27 +00 +39 +00 +21 +00 +3c +00 +21 +00 +48 +00 +44 +00 +9c +00 +48 +00 +7b +00 +33 +00 +57 +00 +26 +00 +52 +00 +31 +00 +4b +00 +3c +00 +79 +00 +62 +00 +ab +00 +65 +00 +82 +00 +7c +00 +c3 +00 +57 +00 +6f +00 +69 +00 +0f +10 +da +00 +f4 +00 +5a +00 +5c +00 +43 +00 +5d +00 +46 +00 +95 +00 +47 +00 +89 +00 +47 +00 +a5 +00 +5f +00 +92 +00 +32 +00 +4b +00 +29 +00 +44 +00 +21 +00 +37 +00 +22 +00 +37 +00 +1c +00 +44 +00 +1e +00 +3a +00 +26 +00 +33 +00 +21 +00 +40 +00 +1f +00 +3b +00 +20 +00 +4a +00 +24 +00 +40 +00 +2a +00 +3e +00 +13 +00 +41 +00 +1e +00 +3d +00 +1f +00 +45 +00 +23 +00 +43 +00 +2c +00 +47 +00 +27 +00 +39 +00 +25 +00 +39 +00 +26 +00 +3f +00 +22 +00 +3c +00 +22 +00 +36 +00 +1d +00 +3b +00 +1c +00 +41 +00 +1e +00 +39 +00 +20 +00 +3b +00 +21 +00 +3e +00 +26 +00 +51 +00 +2c +00 +47 +00 +24 +00 +34 +00 +21 +00 +31 +00 +1e +00 +2f +00 +1c +00 +2a +00 +1d +00 +2f +00 +1c +00 +31 +00 +29 +00 +26 +00 +21 +00 +2e +00 +2b +00 +32 +00 +28 +00 +39 +00 +23 +00 +31 +00 +1f +00 +40 +00 +25 +00 +37 +00 +26 +00 +3c +00 +1d +00 +34 +00 +2a +00 +40 +00 +2b +00 +4c +00 +2b +00 +44 +00 +2b +00 +43 +00 +20 +00 +4d +00 +26 +00 +47 +00 +27 +00 +38 +00 +24 +00 +62 +00 +31 +00 +65 +00 +37 +00 +47 +00 +2a +00 +42 +00 +34 +00 +3b +00 +2a +00 +38 +00 +20 +00 +3a +00 +22 +00 +44 +00 +41 +00 +9b +00 +31 +00 +3c +00 +35 +00 +58 +00 +66 +00 +91 +00 +7e +00 +5f +00 +39 +00 +45 +00 +28 +00 +55 +00 +27 +00 +4c +00 +24 +00 +41 +00 +20 +00 +37 +00 +22 +00 +00 +00 +00 +00 +3c +00 +91 +00 +3e +00 +97 +00 +33 +00 +a4 +00 +36 +00 +a4 +00 +37 +00 +9f +00 +37 +00 +9c +00 +3e +00 +8c +00 +37 +00 +ae +00 +36 +00 +a0 +00 +3b +00 +a0 +00 +3a +00 +99 +00 +38 +00 +ab +00 +3b +00 +ad +00 +40 +00 +a8 +00 +3a +00 +a5 +00 +2d +00 +7c +00 +27 +00 +8c +00 +88 +00 +3e +10 +6a +00 +f4 +00 +2b +00 +6c +00 +1d +00 +58 +00 +21 +00 +5e +00 +1d +00 +56 +00 +23 +00 +56 +00 +21 +00 +5f +00 +1f +00 +55 +00 +1f +00 +73 +00 +2b +00 +bc +00 +56 +10 +3e +40 +02 +10 +9d +10 +54 +00 +e2 +00 +41 +00 +bb +00 +76 +00 +17 +30 +4e +10 +bd +20 +6a +00 +de +00 +66 +00 +a8 +20 +2e +20 +7a +60 +0f +20 +0d +60 +bd +10 +f6 +20 +71 +00 +15 +10 +76 +00 +7b +20 +45 +10 +98 +30 +71 +20 +52 +70 +d1 +10 +db +20 +7c +00 +31 +10 +67 +00 +45 +20 +d8 +10 +74 +60 +14 +20 +43 +30 +82 +00 +22 +10 +0b +10 +d2 +40 +49 +10 +5a +20 +a0 +00 +26 +10 +51 +00 +ee +00 +d2 +00 +f5 +30 +8e +10 +74 +30 +bc +00 +15 +20 +8a +00 +9f +10 +81 +00 +95 +10 +80 +00 +40 +10 +83 +00 +e5 +10 +b4 +00 +fb +10 +7e +00 +91 +10 +9a +00 +ab +20 +3f +20 +ac +50 +da +00 +a9 +10 +ca +00 +85 +30 +cf +10 +51 +30 +94 +00 +1b +10 +42 +00 +9c +00 +33 +00 +86 +00 +2a +00 +8e +00 +2e +00 +95 +00 +38 +00 +9a +00 +3c +00 +b3 +00 +4e +00 +74 +10 +ec +10 +31 +60 +b1 +10 +60 +40 +3a +10 +80 +20 +19 +10 +86 +20 +a8 +10 +ae +50 +51 +20 +0a +70 +52 +10 +33 +20 +6c +00 +2b +10 +8a +00 +ce +10 +3e +10 +14 +40 +3f +10 +ff +20 +90 +00 +1f +10 +42 +00 +c4 +00 +49 +00 +d0 +00 +3f +00 +a7 +00 +2c +00 +89 +00 +32 +00 +86 +00 +2c +00 +7a +00 +2c +00 +70 +00 +2c +00 +60 +00 +24 +00 +62 +00 +21 +00 +6d +00 +23 +00 +68 +00 +28 +00 +77 +00 +36 +00 +bb +00 +34 +00 +76 +00 +2e +00 +70 +00 +28 +00 +61 +00 +2c +00 +74 +00 +28 +00 +6b +00 +1e +00 +65 +00 +2b +00 +73 +00 +28 +00 +60 +00 +23 +00 +76 +00 +21 +00 +61 +00 +1f +00 +4a +00 +1f +00 +44 +00 +15 +00 +4b +00 +18 +00 +4e +00 +16 +00 +46 +00 +1a +00 +41 +00 +17 +00 +3c +00 +14 +00 +3c +00 +13 +00 +3f +00 +18 +00 +37 +00 +12 +00 +2b +00 +14 +00 +36 +00 +13 +00 +3e +00 +1d +00 +3f +00 +26 +00 +55 +00 +1a +00 +54 +00 +1e +00 +50 +00 +1f +00 +52 +00 +28 +00 +5d +00 +2c +00 +61 +00 +1e +00 +59 +00 +1e +00 +4c +00 +1c +00 +5f +00 +26 +00 +69 +00 +27 +00 +7a +00 +6d +00 +ee +00 +42 +00 +a1 +00 +2b +00 +59 +00 +22 +00 +40 +00 +20 +00 +48 +00 +20 +00 +53 +00 +1b +00 +53 +00 +1e +00 +56 +00 +1f +00 +63 +00 +1a +00 +49 +00 +1b +00 +52 +00 +1b +00 +51 +00 +18 +00 +3e +00 +15 +00 +40 +00 +15 +00 +3f +00 +1f +00 +42 +00 +17 +00 +47 +00 +18 +00 +41 +00 +17 +00 +43 +00 +1b +00 +53 +00 +28 +00 +52 +00 +26 +00 +87 +00 +2d +00 +5c +00 +1d +00 +4e +00 +25 +00 +46 +00 +1d +00 +50 +00 +18 +00 +42 +00 +23 +00 +4f +00 +1d +00 +40 +00 +1f +00 +3c +00 +1a +00 +40 +00 +1c +00 +40 +00 +1e +00 +57 +00 +2c +00 +6a +00 +28 +00 +69 +00 +1c +00 +57 +00 +1c +00 +42 +00 +19 +00 +4f +00 +24 +00 +bc +00 +2e +00 +7d +00 +31 +00 +31 +10 +40 +00 +67 +00 +29 +00 +61 +00 +73 +00 +e5 +10 +5b +00 +8f +00 +20 +00 +4f +00 +2c +00 +83 +00 +36 +00 +77 +00 +34 +00 +6b +00 +25 +00 +70 +00 +28 +00 +68 +00 +20 +00 +4e +00 +1a +00 +3b +00 +1d +00 +3b +00 +10 +00 +3c +00 +1c +00 +3b +00 +1d +00 +3b +00 +19 +00 +36 +00 +11 +00 +37 +00 +19 +00 +44 +00 +1e +00 +3f +00 +1d +00 +43 +00 +17 +00 +37 +00 +14 +00 +3c +00 +1a +00 +4c +00 +22 +00 +4d +00 +1f +00 +4d +00 +18 +00 +43 +00 +16 +00 +35 +00 +16 +00 +47 +00 +1b +00 +3b +00 +1b +00 +3b +00 +17 +00 +37 +00 +17 +00 +43 +00 +22 +00 +42 +00 +14 +00 +41 +00 +1a +00 +37 +00 +21 +00 +49 +00 +1a +00 +3f +00 +1c +00 +42 +00 +15 +00 +37 +00 +1a +00 +33 +00 +13 +00 +26 +00 +18 +00 +2a +00 +10 +00 +32 +00 +15 +00 +34 +00 +14 +00 +40 +00 +17 +00 +49 +00 +18 +00 +41 +00 +17 +00 +37 +00 +15 +00 +33 +00 +12 +00 +3c +00 +15 +00 +37 +00 +16 +00 +34 +00 +15 +00 +3e +00 +1e +00 +56 +00 +21 +00 +6e +00 +18 +00 +43 +00 +24 +00 +52 +00 +28 +00 +50 +00 +1d +00 +32 +00 +15 +00 +36 +00 +1f +00 +74 +00 +30 +00 +66 +00 +22 +00 +5e +00 +36 +00 +4a +00 +19 +00 +3c +00 +17 +00 +37 +00 +1b +00 +4b +00 +2c +00 +e3 +00 +52 +00 +5d +00 +22 +00 +7c +00 +57 +00 +4f +10 +49 +00 +a1 +10 +39 +00 +54 +00 +1c +00 +45 +00 +24 +00 +4b +00 +18 +00 +31 +00 +14 +00 +34 +00 +20 +00 +47 +00 +00 +00 +00 +00 +8f +00 +6d +00 +98 +00 +59 +00 +a8 +00 +61 +00 +9f +00 +66 +00 +95 +00 +6f +00 +a1 +00 +6e +00 +a3 +00 +70 +00 +ad +00 +73 +00 +98 +00 +6a +00 +9c +00 +7e +00 +a6 +00 +70 +00 +aa +00 +76 +00 +a1 +00 +71 +00 +9b +00 +61 +00 +a8 +00 +6f +00 +ed +00 +8b +00 +9a +00 +72 +00 +a8 +00 +7e +00 +d7 +00 +77 +00 +76 +00 +55 +00 +70 +00 +3b +00 +5e +00 +37 +00 +61 +00 +33 +00 +56 +00 +38 +00 +5a +00 +30 +00 +57 +00 +3d +00 +5b +00 +51 +00 +71 +00 +e7 +00 +44 +30 +65 +10 +3a +20 +be +00 +ca +00 +79 +00 +ac +00 +b5 +00 +9b +10 +b0 +10 +2a +30 +1e +10 +0d +10 +fe +00 +35 +10 +a1 +10 +62 +30 +7a +20 +2b +50 +65 +30 +a0 +50 +e2 +10 +83 +10 +dd +00 +fd +00 +43 +10 +4b +20 +18 +30 +85 +60 +b6 +30 +f7 +50 +e7 +10 +7b +10 +df +00 +12 +10 +69 +20 +d6 +50 +82 +30 +b2 +40 +13 +20 +3b +20 +ef +00 +75 +10 +60 +10 +c2 +30 +4e +10 +21 +10 +c2 +00 +e2 +00 +62 +10 +e8 +20 +06 +20 +c0 +30 +d5 +10 +1c +20 +42 +10 +53 +10 +2b +10 +30 +10 +2f +10 +90 +10 +d8 +00 +3b +10 +e4 +00 +76 +10 +06 +10 +4a +10 +3f +10 +c1 +10 +cb +20 +04 +40 +22 +30 +71 +30 +af +10 +7c +20 +99 +20 +c3 +40 +ec +10 +c4 +10 +10 +10 +e9 +00 +87 +00 +9a +00 +5f +00 +86 +00 +5d +00 +9a +00 +6b +00 +91 +00 +66 +00 +a5 +00 +6d +00 +97 +00 +4f +10 +eb +20 +15 +30 +18 +60 +8c +20 +91 +20 +63 +10 +87 +10 +76 +10 +97 +30 +25 +20 +51 +40 +e0 +20 +ad +30 +62 +10 +1b +10 +a8 +00 +f4 +00 +d8 +00 +9a +10 +24 +10 +05 +20 +31 +10 +4b +10 +bc +00 +a0 +00 +72 +00 +a5 +00 +76 +00 +b2 +00 +5c +00 +7d +00 +5b +00 +83 +00 +60 +00 +7f +00 +67 +00 +76 +00 +62 +00 +6c +00 +45 +00 +6e +00 +45 +00 +66 +00 +41 +00 +6f +00 +46 +00 +75 +00 +45 +00 +7e +00 +96 +00 +9b +10 +8d +00 +9e +00 +75 +00 +b2 +00 +4d +00 +84 +00 +56 +00 +68 +00 +9a +00 +98 +00 +09 +10 +bd +00 +d5 +00 +ac +00 +9b +10 +38 +10 +20 +10 +97 +00 +81 +00 +51 +00 +43 +00 +55 +00 +34 +00 +50 +00 +2f +00 +4e +00 +32 +00 +56 +00 +2d +00 +4d +00 +28 +00 +41 +00 +28 +00 +42 +00 +23 +00 +39 +00 +22 +00 +2f +00 +27 +00 +3f +00 +1d +00 +38 +00 +20 +00 +38 +00 +1d +00 +39 +00 +26 +00 +51 +00 +29 +00 +50 +00 +33 +00 +4e +00 +34 +00 +55 +00 +65 +00 +88 +00 +46 +00 +68 +00 +34 +00 +59 +00 +34 +00 +51 +00 +2e +00 +5c +00 +37 +00 +5e +00 +33 +00 +65 +00 +44 +00 +93 +00 +52 +00 +99 +00 +46 +00 +71 +00 +3a +00 +3d +00 +29 +00 +4b +00 +2e +00 +58 +00 +2d +00 +56 +00 +27 +00 +4a +00 +28 +00 +58 +00 +37 +00 +72 +00 +81 +00 +69 +00 +4c +00 +59 +00 +21 +00 +4a +00 +22 +00 +3c +00 +20 +00 +45 +00 +27 +00 +46 +00 +20 +00 +40 +00 +24 +00 +3a +00 +1f +00 +47 +00 +2d +00 +3b +00 +47 +00 +56 +10 +55 +00 +5f +00 +39 +00 +57 +00 +35 +00 +5c +00 +2e +00 +4a +00 +21 +00 +41 +00 +2d +00 +45 +00 +29 +00 +40 +00 +2c +00 +4a +00 +21 +00 +3f +00 +23 +00 +42 +00 +21 +00 +43 +00 +24 +00 +41 +00 +37 +00 +68 +00 +30 +00 +70 +00 +2f +00 +4f +00 +25 +00 +48 +00 +22 +00 +50 +00 +37 +00 +5b +00 +3b +00 +72 +00 +45 +00 +5c +00 +3d +00 +79 +00 +54 +00 +62 +00 +4d +00 +83 +00 +65 +00 +c2 +00 +4e +00 +6a +00 +36 +00 +87 +00 +4a +00 +73 +00 +2d +00 +6c +00 +42 +00 +69 +00 +34 +00 +53 +00 +36 +00 +4e +00 +2a +00 +38 +00 +1a +00 +37 +00 +1b +00 +34 +00 +1a +00 +3e +00 +22 +00 +40 +00 +1d +00 +3b +00 +24 +00 +33 +00 +1f +00 +36 +00 +1e +00 +4e +00 +24 +00 +39 +00 +23 +00 +36 +00 +22 +00 +3c +00 +23 +00 +3d +00 +4b +00 +81 +00 +49 +00 +53 +00 +2a +00 +42 +00 +27 +00 +3e +00 +23 +00 +3e +00 +22 +00 +3c +00 +1e +00 +36 +00 +22 +00 +42 +00 +1d +00 +48 +00 +1f +00 +46 +00 +25 +00 +42 +00 +22 +00 +42 +00 +25 +00 +4d +00 +24 +00 +32 +00 +28 +00 +43 +00 +1e +00 +34 +00 +21 +00 +35 +00 +20 +00 +28 +00 +21 +00 +2b +00 +22 +00 +37 +00 +1f +00 +30 +00 +29 +00 +36 +00 +9c +00 +35 +10 +ed +00 +9c +00 +51 +00 +3f +00 +47 +00 +79 +00 +32 +00 +43 +00 +23 +00 +42 +00 +20 +00 +33 +00 +1f +00 +3c +00 +20 +00 +3b +00 +31 +00 +78 +00 +56 +00 +52 +00 +33 +00 +4f +00 +2c +00 +6d +00 +24 +00 +43 +00 +21 +00 +32 +00 +24 +00 +47 +00 +34 +00 +79 +00 +57 +00 +a2 +00 +7d +00 +22 +10 +5d +00 +59 +00 +27 +00 +33 +00 +1f +00 +39 +00 +20 +00 +93 +00 +36 +00 +80 +00 +41 +00 +65 +00 +4c +00 +c4 +00 +46 +00 +91 +00 +45 +00 +9f +00 +3a +00 +47 +00 +42 +00 +64 +00 +2b +00 +47 +00 +1f +00 +31 +00 +1f +00 +3d +00 +20 +00 +00 +00 +00 +00 +3a +00 +a2 +00 +3a +00 +9b +00 +39 +00 +97 +00 +35 +00 +9d +00 +37 +00 +98 +00 +3c +00 +95 +00 +33 +00 +99 +00 +36 +00 +a3 +00 +3b +00 +9c +00 +30 +00 +a7 +00 +41 +00 +a9 +00 +3e +00 +a5 +00 +39 +00 +af +00 +41 +00 +9d +00 +39 +00 +ab +00 +7a +00 +01 +10 +37 +00 +79 +00 +3b +00 +af +00 +31 +00 +7b +00 +2d +00 +7d +00 +20 +00 +64 +00 +27 +00 +58 +00 +25 +00 +63 +00 +22 +00 +5b +00 +21 +00 +56 +00 +23 +00 +57 +00 +23 +00 +67 +00 +2c +00 +dc +00 +98 +00 +b1 +10 +5f +00 +f0 +00 +36 +00 +a6 +00 +33 +00 +96 +00 +9b +00 +67 +20 +aa +00 +6d +10 +59 +00 +6a +10 +d2 +00 +f0 +10 +b2 +00 +4e +20 +d1 +00 +7b +30 +fd +10 +ad +30 +6e +00 +ef +00 +58 +00 +36 +10 +ba +00 +15 +40 +04 +20 +a4 +40 +03 +10 +34 +20 +60 +00 +f9 +00 +68 +00 +6b +30 +5f +20 +80 +60 +e0 +10 +9a +30 +bb +00 +4b +10 +65 +00 +7a +10 +aa +00 +9f +10 +52 +00 +f1 +00 +97 +00 +99 +20 +d5 +00 +02 +20 +9e +00 +dd +10 +6f +00 +45 +10 +66 +00 +72 +10 +9b +00 +a9 +10 +87 +00 +28 +10 +50 +00 +ea +00 +63 +00 +0e +10 +62 +00 +0b +10 +fc +00 +3e +50 +a7 +10 +ff +30 +30 +10 +16 +20 +17 +10 +39 +40 +8b +10 +6b +30 +b8 +00 +df +10 +6c +00 +d6 +00 +3d +00 +88 +00 +33 +00 +8e +00 +35 +00 +8b +00 +33 +00 +85 +00 +30 +00 +9d +00 +40 +00 +ee +00 +1a +10 +8c +50 +e5 +10 +c1 +30 +a3 +00 +6e +10 +7a +00 +58 +10 +b5 +00 +4f +20 +d2 +00 +97 +20 +c3 +00 +84 +10 +3b +00 +b7 +00 +4a +00 +d7 +00 +54 +00 +4b +10 +66 +00 +27 +10 +46 +00 +ab +00 +34 +00 +97 +00 +37 +00 +94 +00 +2b +00 +77 +00 +30 +00 +7a +00 +31 +00 +75 +00 +38 +00 +8f +00 +2f +00 +86 +00 +2a +00 +77 +00 +2c +00 +74 +00 +2f +00 +7a +00 +29 +00 +6b +00 +28 +00 +75 +00 +30 +00 +c5 +00 +d0 +00 +9c +10 +44 +00 +a3 +00 +54 +00 +c4 +00 +34 +00 +91 +00 +57 +00 +67 +10 +e3 +00 +0b +30 +0e +10 +bd +10 +0e +10 +85 +30 +d8 +10 +4a +30 +55 +00 +a2 +00 +23 +00 +5e +00 +1d +00 +63 +00 +17 +00 +5d +00 +1e +00 +52 +00 +24 +00 +6a +00 +22 +00 +63 +00 +1e +00 +3d +00 +17 +00 +31 +00 +16 +00 +3a +00 +12 +00 +32 +00 +16 +00 +37 +00 +16 +00 +33 +00 +17 +00 +3e +00 +1e +00 +50 +00 +24 +00 +5c +00 +29 +00 +5b +00 +1d +00 +4e +00 +1f +00 +d2 +00 +a1 +00 +b7 +00 +25 +00 +69 +00 +22 +00 +49 +00 +25 +00 +51 +00 +21 +00 +5a +00 +23 +00 +59 +00 +23 +00 +4d +00 +2a +00 +6e +00 +31 +00 +7a +00 +23 +00 +4c +00 +1d +00 +4e +00 +21 +00 +4f +00 +25 +00 +52 +00 +19 +00 +56 +00 +1d +00 +47 +00 +22 +00 +5f +00 +58 +00 +40 +20 +62 +00 +78 +00 +21 +00 +4e +00 +1b +00 +41 +00 +19 +00 +4b +00 +18 +00 +40 +00 +16 +00 +42 +00 +16 +00 +3d +00 +22 +00 +3d +00 +17 +00 +3e +00 +1f +00 +59 +00 +41 +00 +84 +00 +25 +00 +58 +00 +25 +00 +51 +00 +20 +00 +4a +00 +19 +00 +39 +00 +1d +00 +3b +00 +1a +00 +41 +00 +1d +00 +45 +00 +19 +00 +3c +00 +1a +00 +36 +00 +19 +00 +3a +00 +13 +00 +44 +00 +1d +00 +51 +00 +26 +00 +61 +00 +1d +00 +54 +00 +1f +00 +4e +00 +17 +00 +50 +00 +20 +00 +50 +00 +21 +00 +62 +00 +2b +00 +64 +00 +26 +00 +64 +00 +2b +00 +ba +00 +2e +00 +70 +00 +25 +00 +81 +00 +2e +00 +80 +00 +24 +00 +5b +00 +1e +00 +56 +00 +21 +00 +60 +00 +22 +00 +51 +00 +20 +00 +47 +00 +1d +00 +4b +00 +15 +00 +37 +00 +18 +00 +37 +00 +0e +00 +2d +00 +0f +00 +37 +00 +16 +00 +32 +00 +16 +00 +3b +00 +16 +00 +43 +00 +16 +00 +38 +00 +17 +00 +39 +00 +19 +00 +38 +00 +1a +00 +33 +00 +1a +00 +3a +00 +17 +00 +40 +00 +16 +00 +6d +00 +a1 +00 +34 +10 +25 +00 +44 +00 +1e +00 +41 +00 +14 +00 +3b +00 +14 +00 +35 +00 +15 +00 +33 +00 +14 +00 +39 +00 +14 +00 +42 +00 +19 +00 +3f +00 +1c +00 +4d +00 +24 +00 +4a +00 +18 +00 +45 +00 +12 +00 +37 +00 +14 +00 +39 +00 +19 +00 +3b +00 +12 +00 +2a +00 +13 +00 +2f +00 +11 +00 +2c +00 +15 +00 +31 +00 +19 +00 +33 +00 +12 +00 +32 +00 +29 +00 +67 +10 +7d +10 +ac +20 +55 +00 +7b +00 +24 +00 +82 +00 +35 +00 +53 +00 +16 +00 +45 +00 +1f +00 +39 +00 +17 +00 +33 +00 +17 +00 +49 +00 +20 +00 +47 +00 +4c +00 +b5 +00 +29 +00 +51 +00 +2c +00 +66 +00 +23 +00 +42 +00 +1d +00 +3e +00 +18 +00 +53 +00 +27 +00 +64 +00 +2b +00 +b7 +00 +c6 +00 +e2 +10 +65 +00 +95 +00 +23 +00 +52 +00 +16 +00 +3c +00 +17 +00 +41 +00 +2e +00 +6e +00 +27 +00 +53 +00 +26 +00 +5b +00 +25 +00 +73 +00 +29 +00 +7e +00 +35 +00 +5c +00 +23 +00 +b6 +00 +31 +00 +5d +00 +1d +00 +3f +00 +15 +00 +32 +00 +16 +00 +3f +00 +00 +00 +00 +00 +9f +00 +67 +00 +a5 +00 +64 +00 +a5 +00 +69 +00 +99 +00 +6c +00 +9f +00 +6a +00 +a2 +00 +71 +00 +a9 +00 +6f +00 +a9 +00 +6d +00 +a2 +00 +72 +00 +a7 +00 +69 +00 +a3 +00 +6c +00 +a1 +00 +70 +00 +aa +00 +70 +00 +a4 +00 +61 +00 +a1 +00 +79 +00 +d8 +00 +59 +00 +7d +00 +4c +00 +81 +00 +5e +00 +80 +00 +9f +00 +c9 +00 +65 +00 +7b +00 +3c +00 +51 +00 +3b +00 +62 +00 +39 +00 +61 +00 +3e +00 +66 +00 +36 +00 +58 +00 +4f +00 +6f +00 +58 +00 +60 +00 +79 +00 +c7 +00 +9c +00 +f2 +00 +78 +00 +86 +00 +63 +00 +83 +00 +7d +00 +b9 +00 +9e +00 +3a +10 +ee +00 +4c +10 +6c +10 +ba +10 +12 +10 +8f +10 +39 +10 +b7 +10 +fd +10 +4d +50 +34 +20 +cb +10 +bb +00 +c4 +00 +38 +10 +16 +20 +50 +30 +0b +50 +9b +20 +79 +20 +13 +10 +ea +00 +c8 +00 +22 +10 +bb +20 +af +60 +e3 +20 +79 +40 +83 +10 +7c +10 +d6 +00 +fb +00 +bd +00 +14 +10 +a7 +00 +cf +00 +e6 +00 +1d +30 +78 +10 +df +10 +ff +00 +76 +10 +0b +10 +4b +10 +0e +10 +5a +10 +cd +10 +43 +30 +14 +10 +f0 +00 +a5 +00 +c7 +00 +9e +00 +e8 +00 +96 +00 +e2 +00 +cc +00 +84 +10 +09 +20 +af +40 +16 +20 +dc +30 +a8 +10 +65 +20 +e7 +10 +02 +50 +a6 +30 +e3 +50 +79 +20 +11 +20 +b2 +00 +a9 +00 +5a +00 +94 +00 +5e +00 +9c +00 +4c +00 +7b +00 +48 +00 +77 +00 +68 +00 +93 +00 +dd +00 +89 +10 +98 +10 +5b +50 +98 +20 +fc +20 +2d +10 +7a +10 +f8 +00 +30 +10 +fd +00 +8d +10 +fc +00 +48 +10 +c8 +00 +a8 +00 +6f +00 +a8 +00 +8b +00 +e5 +00 +ba +00 +e9 +00 +bb +00 +a9 +00 +67 +00 +88 +00 +68 +00 +92 +00 +5c +00 +7f +00 +5d +00 +81 +00 +89 +00 +96 +00 +1e +10 +ef +00 +86 +10 +42 +10 +af +00 +8d +00 +59 +00 +6e +00 +50 +00 +77 +00 +4d +00 +82 +00 +47 +00 +76 +00 +60 +00 +94 +00 +af +00 +f2 +00 +63 +00 +ce +00 +65 +00 +80 +00 +67 +00 +31 +10 +0c +10 +1b +20 +b4 +10 +6b +30 +30 +20 +dc +40 +50 +30 +0f +60 +3d +40 +f4 +50 +d8 +20 +10 +30 +fc +00 +80 +00 +4e +00 +5e +00 +3f +00 +60 +00 +2f +00 +5a +00 +3f +00 +5d +00 +41 +00 +79 +00 +2e +00 +53 +00 +29 +00 +3c +00 +28 +00 +36 +00 +27 +00 +38 +00 +1d +00 +35 +00 +37 +00 +3b +00 +31 +00 +45 +00 +29 +00 +44 +00 +2a +00 +68 +00 +32 +00 +65 +00 +29 +00 +55 +00 +3a +00 +4f +00 +63 +00 +7b +10 +68 +00 +97 +00 +3f +00 +59 +00 +2d +00 +5a +00 +2b +00 +63 +00 +36 +00 +5a +00 +31 +00 +5d +00 +31 +00 +5d +00 +37 +00 +79 +00 +37 +00 +56 +00 +2f +00 +49 +00 +27 +00 +4b +00 +26 +00 +4c +00 +25 +00 +50 +00 +2c +00 +4f +00 +2b +00 +4b +00 +3d +00 +8a +00 +80 +00 +5c +10 +5e +00 +73 +00 +31 +00 +3b +00 +22 +00 +3f +00 +22 +00 +42 +00 +1f +00 +3b +00 +19 +00 +45 +00 +1f +00 +3a +00 +1d +00 +40 +00 +20 +00 +42 +00 +2b +00 +50 +00 +38 +00 +67 +00 +35 +00 +5b +00 +2c +00 +3c +00 +29 +00 +3f +00 +2d +00 +42 +00 +2d +00 +44 +00 +23 +00 +4b +00 +2b +00 +44 +00 +22 +00 +40 +00 +27 +00 +41 +00 +25 +00 +46 +00 +28 +00 +48 +00 +2d +00 +4e +00 +2c +00 +47 +00 +2f +00 +56 +00 +35 +00 +50 +00 +2b +00 +40 +00 +28 +00 +3f +00 +2c +00 +5c +00 +37 +00 +48 +00 +34 +00 +65 +00 +3e +00 +7e +00 +43 +00 +9a +00 +43 +00 +65 +00 +3f +00 +68 +00 +6d +00 +75 +00 +38 +00 +56 +00 +3b +00 +4f +00 +2f +00 +50 +00 +34 +00 +4f +00 +22 +00 +39 +00 +21 +00 +3c +00 +19 +00 +2b +00 +1d +00 +30 +00 +1f +00 +36 +00 +1f +00 +38 +00 +1c +00 +49 +00 +1d +00 +30 +00 +22 +00 +30 +00 +1f +00 +3c +00 +25 +00 +3c +00 +24 +00 +3a +00 +25 +00 +38 +00 +22 +00 +4b +00 +33 +00 +99 +00 +48 +00 +8c +00 +31 +00 +4c +00 +2a +00 +3d +00 +28 +00 +41 +00 +20 +00 +3a +00 +26 +00 +36 +00 +20 +00 +3c +00 +21 +00 +44 +00 +23 +00 +3e +00 +30 +00 +76 +00 +29 +00 +42 +00 +27 +00 +3c +00 +27 +00 +3d +00 +1f +00 +37 +00 +20 +00 +2f +00 +1f +00 +2b +00 +1b +00 +2c +00 +19 +00 +2a +00 +1d +00 +2f +00 +25 +00 +3c +00 +2f +00 +60 +00 +87 +00 +71 +20 +e6 +00 +0f +10 +c6 +00 +7f +00 +85 +00 +6c +00 +4c +00 +54 +00 +30 +00 +4e +00 +26 +00 +3f +00 +21 +00 +35 +00 +25 +00 +3d +00 +57 +00 +63 +10 +b9 +00 +94 +00 +72 +00 +7e +00 +44 +00 +5b +00 +28 +00 +3b +00 +2d +00 +43 +00 +32 +00 +5d +00 +4b +00 +ba +00 +68 +00 +ba +10 +91 +00 +d2 +00 +4c +00 +71 +00 +30 +00 +37 +00 +21 +00 +3f +00 +27 +00 +5a +00 +2d +00 +4d +00 +26 +00 +4c +00 +30 +00 +5d +00 +31 +00 +62 +00 +40 +00 +5c +00 +2c +00 +54 +00 +38 +00 +93 +00 +31 +00 +4a +00 +27 +00 +30 +00 +20 +00 +41 +00 +25 +00 +00 +00 +00 +00 +3c +00 +9b +00 +30 +00 +a0 +00 +37 +00 +ae +00 +38 +00 +9e +00 +33 +00 +8a +00 +36 +00 +a0 +00 +3a +00 +a0 +00 +36 +00 +a9 +00 +3c +00 +9f +00 +3a +00 +a1 +00 +2e +00 +ad +00 +31 +00 +a8 +00 +36 +00 +b1 +00 +31 +00 +9f +00 +3a +00 +9c +00 +32 +00 +7b +00 +22 +00 +6c +00 +29 +00 +69 +00 +32 +00 +45 +10 +dc +00 +94 +10 +31 +00 +7c +00 +25 +00 +63 +00 +1e +00 +65 +00 +24 +00 +66 +00 +1c +00 +55 +00 +24 +00 +76 +00 +66 +00 +b6 +00 +24 +00 +78 +00 +39 +00 +c5 +00 +31 +00 +7a +00 +28 +00 +73 +00 +2e +00 +86 +00 +37 +00 +dc +00 +3d +00 +bc +00 +e7 +00 +b3 +20 +8e +00 +3d +10 +68 +00 +2c +10 +6d +00 +db +10 +ab +10 +42 +40 +7c +00 +e4 +00 +42 +00 +db +00 +30 +10 +a2 +50 +24 +20 +66 +50 +c3 +00 +40 +10 +53 +00 +d3 +00 +93 +00 +41 +40 +04 +20 +fd +30 +bb +00 +8f +10 +5b +00 +cf +00 +45 +00 +eb +00 +52 +00 +c5 +00 +3c +00 +db +00 +91 +00 +b7 +10 +73 +00 +38 +10 +69 +00 +22 +10 +d4 +00 +1a +20 +81 +00 +37 +20 +c0 +00 +7e +10 +4f +00 +d2 +00 +48 +00 +b5 +00 +45 +00 +c4 +00 +45 +00 +ec +00 +74 +00 +bf +10 +ca +00 +47 +20 +db +00 +0e +20 +80 +00 +36 +20 +86 +10 +3a +50 +33 +20 +d9 +40 +ae +00 +fe +00 +38 +00 +8f +00 +31 +00 +80 +00 +31 +00 +80 +00 +2c +00 +85 +00 +2c +00 +8f +00 +37 +00 +bf +00 +52 +00 +75 +10 +bd +00 +96 +20 +bf +00 +c4 +10 +ae +00 +a4 +10 +59 +00 +09 +10 +50 +00 +13 +10 +3d +00 +b9 +00 +37 +00 +83 +00 +33 +00 +a1 +00 +3f +00 +ae +00 +3d +00 +8e +00 +37 +00 +8e +00 +27 +00 +84 +00 +30 +00 +83 +00 +34 +00 +89 +00 +41 +00 +c9 +00 +9e +00 +9a +20 +66 +10 +c7 +30 +9b +00 +0f +10 +36 +00 +87 +00 +34 +00 +90 +00 +32 +00 +8a +00 +27 +00 +82 +00 +36 +00 +95 +00 +3e +00 +fd +00 +4f +00 +ac +00 +2d +00 +71 +00 +28 +00 +82 +00 +4c +00 +4d +10 +b1 +00 +15 +20 +c0 +00 +ab +20 +ad +10 +92 +60 +e2 +20 +57 +80 +a1 +20 +b2 +50 +bb +00 +71 +10 +31 +00 +74 +00 +27 +00 +5d +00 +20 +00 +63 +00 +25 +00 +5c +00 +20 +00 +71 +00 +26 +00 +5b +00 +1b +00 +50 +00 +17 +00 +3b +00 +12 +00 +40 +00 +1f +00 +35 +00 +18 +00 +73 +00 +3b +00 +59 +00 +1f +00 +4b +00 +27 +00 +3c +00 +25 +00 +73 +00 +27 +00 +55 +00 +26 +00 +66 +00 +26 +00 +73 +00 +3c +00 +a3 +00 +35 +00 +5a +00 +29 +00 +50 +00 +14 +00 +48 +00 +19 +00 +57 +00 +1e +00 +58 +00 +2a +00 +60 +00 +1f +00 +57 +00 +28 +00 +59 +00 +19 +00 +4f +00 +1f +00 +3e +00 +1f +00 +51 +00 +1a +00 +4b +00 +1d +00 +51 +00 +1c +00 +55 +00 +21 +00 +4c +00 +2f +00 +93 +00 +3d +00 +9f +00 +22 +00 +50 +00 +16 +00 +40 +00 +1b +00 +3d +00 +12 +00 +48 +00 +12 +00 +3d +00 +19 +00 +3c +00 +18 +00 +39 +00 +19 +00 +42 +00 +14 +00 +4b +00 +1b +00 +4c +00 +24 +00 +58 +00 +1f +00 +46 +00 +1e +00 +4a +00 +1b +00 +4b +00 +1e +00 +42 +00 +21 +00 +3e +00 +20 +00 +4a +00 +19 +00 +44 +00 +1b +00 +44 +00 +15 +00 +44 +00 +1c +00 +3a +00 +18 +00 +5b +00 +25 +00 +4b +00 +28 +00 +76 +00 +20 +00 +50 +00 +17 +00 +44 +00 +1a +00 +50 +00 +1e +00 +48 +00 +1f +00 +4a +00 +1b +00 +47 +00 +12 +00 +52 +00 +24 +00 +67 +00 +2d +00 +6e +00 +1e +00 +55 +00 +39 +00 +61 +10 +3b +00 +5d +00 +1e +00 +48 +00 +1e +00 +43 +00 +16 +00 +47 +00 +15 +00 +3f +00 +12 +00 +39 +00 +19 +00 +31 +00 +14 +00 +39 +00 +14 +00 +40 +00 +12 +00 +3d +00 +19 +00 +3c +00 +15 +00 +3d +00 +16 +00 +32 +00 +20 +00 +40 +00 +16 +00 +41 +00 +19 +00 +34 +00 +15 +00 +3e +00 +14 +00 +34 +00 +18 +00 +4f +00 +28 +00 +63 +00 +28 +00 +5f +00 +1f +00 +4f +00 +1c +00 +47 +00 +20 +00 +41 +00 +15 +00 +43 +00 +14 +00 +38 +00 +17 +00 +41 +00 +17 +00 +39 +00 +19 +00 +39 +00 +1b +00 +4b +00 +13 +00 +38 +00 +1c +00 +34 +00 +13 +00 +3c +00 +10 +00 +2c +00 +12 +00 +2e +00 +0d +00 +29 +00 +16 +00 +29 +00 +15 +00 +2e +00 +10 +00 +31 +00 +18 +00 +4a +00 +2e +00 +f3 +00 +6a +00 +12 +10 +78 +00 +0b +20 +f9 +00 +3a +10 +43 +00 +8d +00 +38 +00 +6c +00 +1d +00 +40 +00 +16 +00 +47 +00 +1e +00 +4b +00 +29 +00 +7a +00 +88 +00 +61 +10 +51 +00 +5e +10 +98 +00 +a3 +00 +1c +00 +46 +00 +22 +00 +5d +00 +1c +00 +54 +00 +28 +00 +86 +00 +3a +00 +98 +00 +3a +00 +c0 +00 +40 +00 +94 +00 +2e +00 +5c +00 +17 +00 +3e +00 +1c +00 +4d +00 +22 +00 +45 +00 +1e +00 +4d +00 +1a +00 +57 +00 +21 +00 +4d +00 +1f +00 +52 +00 +25 +00 +61 +00 +1b +00 +65 +00 +2d +00 +72 +00 +1c +00 +3b +00 +1c +00 +3a +00 +14 +00 +41 +00 +00 +00 +00 +00 +97 +00 +6f +00 +97 +00 +6c +00 +92 +00 +6f +00 +95 +00 +6b +00 +98 +00 +6a +00 +9c +00 +6e +00 +a8 +00 +71 +00 +a5 +00 +6d +00 +9e +00 +71 +00 +9c +00 +6a +00 +a0 +00 +73 +00 +a1 +00 +6e +00 +94 +00 +6b +00 +a8 +00 +70 +00 +9a +00 +66 +00 +84 +00 +4b +00 +69 +00 +46 +00 +62 +00 +48 +00 +79 +00 +97 +00 +7f +10 +c9 +00 +f1 +00 +4a +00 +67 +00 +49 +00 +67 +00 +70 +00 +04 +10 +45 +00 +62 +00 +42 +00 +53 +00 +4e +00 +7b +00 +5f +00 +8c +00 +5a +00 +90 +00 +61 +00 +7a +00 +4e +00 +76 +00 +51 +00 +6e +00 +4e +00 +8f +00 +81 +00 +9e +00 +98 +00 +50 +10 +48 +10 +21 +20 +f0 +00 +eb +00 +c6 +00 +f3 +00 +2e +10 +6b +20 +4d +10 +c2 +10 +b5 +00 +a4 +00 +f0 +00 +13 +20 +02 +30 +ff +60 +65 +30 +a9 +30 +1a +10 +e3 +00 +a6 +00 +0a +10 +54 +10 +20 +30 +90 +10 +ac +10 +d6 +00 +d8 +00 +86 +00 +bb +00 +89 +00 +be +00 +6e +00 +a3 +00 +7a +00 +d7 +00 +8d +00 +1a +10 +c1 +00 +e1 +00 +aa +00 +fb +00 +b4 +00 +32 +10 +3b +10 +44 +20 +64 +10 +7d +10 +87 +00 +c6 +00 +7a +00 +c1 +00 +94 +00 +cd +00 +a6 +00 +fb +00 +f3 +00 +a4 +10 +95 +10 +7a +10 +da +00 +63 +10 +44 +10 +65 +20 +b7 +20 +9b +40 +9c +10 +ac +10 +9d +00 +a2 +00 +61 +00 +8d +00 +5d +00 +8d +00 +4d +00 +7a +00 +50 +00 +75 +00 +59 +00 +79 +00 +9b +00 +be +00 +d9 +00 +34 +10 +e1 +00 +3b +10 +ca +00 +f3 +00 +97 +00 +02 +10 +b3 +00 +b8 +00 +9a +00 +a3 +00 +70 +00 +87 +00 +57 +00 +95 +00 +72 +00 +92 +00 +60 +00 +9e +00 +5e +00 +80 +00 +5b +00 +8c +00 +65 +00 +88 +00 +62 +00 +85 +00 +c7 +00 +1b +10 +bc +20 +cc +40 +a9 +30 +44 +50 +f9 +20 +b5 +20 +17 +10 +b8 +00 +6d +00 +90 +00 +55 +00 +81 +00 +60 +00 +83 +00 +93 +00 +83 +10 +0c +10 +ee +00 +89 +00 +a6 +00 +63 +00 +87 +00 +53 +00 +7c +00 +73 +00 +90 +00 +8b +00 +df +00 +b2 +00 +2c +10 +80 +10 +7b +30 +54 +40 +0e +80 +fd +40 +fc +70 +b0 +30 +21 +30 +4a +10 +a5 +00 +55 +00 +6f +00 +39 +00 +65 +00 +36 +00 +5f +00 +3b +00 +6c +00 +3c +00 +6f +00 +32 +00 +68 +00 +34 +00 +3c +00 +2b +00 +43 +00 +26 +00 +3a +00 +29 +00 +48 +00 +44 +00 +a0 +00 +41 +00 +58 +00 +2c +00 +48 +00 +2f +00 +66 +00 +2f +00 +6c +00 +32 +00 +6c +00 +72 +00 +83 +00 +72 +00 +87 +00 +4a +00 +91 +00 +39 +00 +61 +00 +31 +00 +4e +00 +33 +00 +51 +00 +38 +00 +5d +00 +37 +00 +67 +00 +34 +00 +55 +00 +32 +00 +65 +00 +34 +00 +48 +00 +38 +00 +7a +00 +24 +00 +4e +00 +2a +00 +51 +00 +24 +00 +4a +00 +26 +00 +4b +00 +29 +00 +50 +00 +35 +00 +62 +00 +38 +00 +67 +00 +37 +00 +5f +00 +2a +00 +44 +00 +20 +00 +42 +00 +1d +00 +46 +00 +22 +00 +47 +00 +21 +00 +43 +00 +1d +00 +35 +00 +23 +00 +3a +00 +1f +00 +47 +00 +25 +00 +3d +00 +26 +00 +4e +00 +2d +00 +49 +00 +24 +00 +43 +00 +2f +00 +55 +00 +84 +00 +6e +00 +4d +00 +55 +00 +3a +00 +4e +00 +27 +00 +4c +00 +28 +00 +46 +00 +23 +00 +37 +00 +2b +00 +4e +00 +2f +00 +46 +00 +38 +00 +46 +00 +3c +00 +5e +00 +4d +00 +76 +00 +30 +00 +48 +00 +26 +00 +47 +00 +32 +00 +48 +00 +3a +00 +64 +00 +36 +00 +4e +00 +2f +00 +53 +00 +2a +00 +59 +00 +37 +00 +5d +00 +33 +00 +65 +00 +37 +00 +6e +00 +42 +00 +8e +00 +39 +00 +56 +00 +2f +00 +46 +00 +30 +00 +43 +00 +24 +00 +4b +00 +24 +00 +3b +00 +28 +00 +35 +00 +24 +00 +31 +00 +1d +00 +40 +00 +22 +00 +42 +00 +20 +00 +46 +00 +1c +00 +3b +00 +1b +00 +2f +00 +22 +00 +40 +00 +22 +00 +43 +00 +25 +00 +38 +00 +22 +00 +38 +00 +20 +00 +40 +00 +26 +00 +3f +00 +29 +00 +57 +00 +31 +00 +5b +00 +3e +00 +75 +00 +3b +00 +68 +00 +48 +00 +90 +00 +45 +00 +44 +00 +24 +00 +36 +00 +1f +00 +3c +00 +16 +00 +39 +00 +21 +00 +38 +00 +24 +00 +3d +00 +27 +00 +50 +00 +4b +00 +5c +00 +30 +00 +41 +00 +23 +00 +34 +00 +1f +00 +36 +00 +24 +00 +36 +00 +1d +00 +2f +00 +1d +00 +2d +00 +19 +00 +39 +00 +25 +00 +3e +00 +35 +00 +5d +00 +5d +00 +be +00 +95 +00 +6c +10 +77 +10 +e8 +20 +af +00 +fd +00 +53 +00 +92 +00 +3d +00 +4b +00 +2b +00 +41 +00 +37 +00 +56 +00 +32 +00 +48 +00 +48 +00 +85 +00 +61 +00 +10 +10 +82 +00 +dc +00 +59 +00 +54 +00 +38 +00 +bd +00 +3c +00 +6d +00 +2c +00 +5c +00 +36 +00 +64 +00 +43 +00 +7f +00 +47 +00 +8e +00 +41 +00 +73 +00 +2c +00 +4f +00 +24 +00 +40 +00 +2c +00 +3a +00 +28 +00 +49 +00 +23 +00 +54 +00 +2e +00 +5b +00 +29 +00 +4d +00 +2e +00 +4f +00 +30 +00 +57 +00 +3e +00 +ba +00 +3f +00 +50 +00 +24 +00 +42 +00 +1e +00 +46 +00 +1f +00 +00 +00 +00 +00 +37 +00 +99 +00 +31 +00 +a2 +00 +3a +00 +9b +00 +39 +00 +a9 +00 +37 +00 +9a +00 +3d +00 +a4 +00 +3a +00 +a9 +00 +3d +00 +a9 +00 +33 +00 +9b +00 +3a +00 +a2 +00 +42 +00 +9b +00 +34 +00 +a4 +00 +3d +00 +a5 +00 +30 +00 +a1 +00 +42 +00 +bc +00 +29 +00 +76 +00 +2d +00 +59 +00 +1b +00 +53 +00 +2d +00 +d8 +00 +8c +00 +a8 +10 +48 +00 +8a +00 +26 +00 +69 +00 +2d +00 +93 +00 +45 +00 +aa +00 +2a +00 +5f +00 +22 +00 +67 +00 +28 +00 +75 +00 +24 +00 +79 +00 +24 +00 +80 +00 +23 +00 +67 +00 +1f +00 +6f +00 +28 +00 +6d +00 +28 +00 +80 +00 +25 +00 +7c +00 +5c +00 +bf +10 +83 +00 +15 +10 +4d +00 +bb +00 +43 +00 +15 +10 +90 +00 +98 +10 +5d +00 +c2 +00 +39 +00 +bd +00 +92 +00 +b9 +20 +04 +20 +9f +50 +0d +10 +b0 +10 +4d +00 +c6 +00 +51 +00 +2c +10 +8f +00 +7c +10 +5e +00 +e0 +00 +33 +00 +98 +00 +33 +00 +b1 +00 +35 +00 +b0 +00 +2e +00 +97 +00 +36 +00 +ec +00 +4d +00 +d3 +00 +4a +00 +d2 +00 +41 +00 +bc +00 +6a +00 +de +10 +fc +00 +2c +20 +7d +00 +f1 +00 +42 +00 +c5 +00 +78 +00 +c0 +10 +60 +00 +ec +00 +46 +00 +21 +10 +d0 +00 +b1 +20 +90 +00 +fb +00 +6e +00 +9a +10 +24 +10 +1b +40 +48 +10 +5f +20 +5b +00 +d5 +00 +39 +00 +86 +00 +31 +00 +95 +00 +25 +00 +7a +00 +28 +00 +7b +00 +2a +00 +78 +00 +34 +00 +8a +00 +39 +00 +cf +00 +4b +00 +11 +10 +4e +00 +d0 +00 +39 +00 +b5 +00 +41 +00 +9e +00 +30 +00 +89 +00 +2c +00 +7b +00 +2a +00 +81 +00 +2e +00 +87 +00 +31 +00 +89 +00 +31 +00 +81 +00 +32 +00 +89 +00 +36 +00 +8e +00 +34 +00 +90 +00 +3f +00 +e5 +00 +4c +10 +b2 +50 +87 +20 +61 +60 +1e +20 +34 +60 +15 +10 +b9 +10 +42 +00 +9e +00 +33 +00 +98 +00 +33 +00 +90 +00 +30 +00 +b0 +00 +e9 +00 +f4 +20 +6d +00 +d5 +00 +3a +00 +a0 +00 +24 +00 +7d +00 +3c +00 +bd +00 +39 +00 +aa +00 +3d +00 +cb +00 +50 +00 +7f +10 +bf +10 +f9 +50 +c8 +20 +7f +80 +25 +30 +8a +70 +fe +00 +75 +10 +34 +00 +80 +00 +1f +00 +6b +00 +23 +00 +59 +00 +21 +00 +57 +00 +22 +00 +5e +00 +24 +00 +5e +00 +20 +00 +46 +00 +14 +00 +47 +00 +18 +00 +35 +00 +18 +00 +42 +00 +22 +00 +82 +00 +2c +00 +60 +00 +1f +00 +51 +00 +21 +00 +49 +00 +28 +00 +5e +00 +2e +00 +6e +00 +40 +00 +0f +10 +e2 +00 +1d +10 +38 +00 +7f +00 +24 +00 +64 +00 +21 +00 +54 +00 +19 +00 +55 +00 +21 +00 +50 +00 +1d +00 +5e +00 +20 +00 +4f +00 +25 +00 +59 +00 +1a +00 +40 +00 +1e +00 +55 +00 +2c +00 +59 +00 +1c +00 +43 +00 +21 +00 +4d +00 +21 +00 +50 +00 +18 +00 +45 +00 +1e +00 +4e +00 +1e +00 +64 +00 +27 +00 +55 +00 +19 +00 +4f +00 +18 +00 +44 +00 +1d +00 +41 +00 +1b +00 +4b +00 +17 +00 +42 +00 +18 +00 +40 +00 +18 +00 +37 +00 +1c +00 +3d +00 +14 +00 +3c +00 +1e +00 +4e +00 +19 +00 +4b +00 +1e +00 +4b +00 +1a +00 +54 +00 +5a +00 +cc +10 +65 +00 +7f +00 +20 +00 +5a +00 +4a +00 +77 +00 +1d +00 +41 +00 +1c +00 +40 +00 +17 +00 +42 +00 +1b +00 +4d +00 +2a +00 +61 +00 +1c +00 +52 +00 +23 +00 +4d +00 +1e +00 +4d +00 +1a +00 +49 +00 +17 +00 +45 +00 +20 +00 +58 +00 +5f +00 +8e +00 +1f +00 +47 +00 +21 +00 +56 +00 +20 +00 +46 +00 +1f +00 +5c +00 +1d +00 +5a +00 +1c +00 +67 +00 +26 +00 +77 +00 +1d +00 +4e +00 +19 +00 +46 +00 +1a +00 +53 +00 +22 +00 +4a +00 +22 +00 +3e +00 +18 +00 +3d +00 +18 +00 +45 +00 +1c +00 +3d +00 +17 +00 +4d +00 +1b +00 +36 +00 +0f +00 +30 +00 +0f +00 +36 +00 +16 +00 +43 +00 +1b +00 +44 +00 +18 +00 +37 +00 +15 +00 +3e +00 +1c +00 +3f +00 +19 +00 +40 +00 +20 +00 +57 +00 +25 +00 +76 +00 +2c +00 +80 +00 +52 +00 +be +00 +64 +00 +85 +00 +1d +00 +4f +00 +18 +00 +39 +00 +12 +00 +33 +00 +11 +00 +3d +00 +19 +00 +37 +00 +1f +00 +30 +00 +22 +00 +a3 +00 +39 +00 +61 +00 +1c +00 +35 +00 +13 +00 +30 +00 +11 +00 +31 +00 +18 +00 +40 +00 +14 +00 +32 +00 +17 +00 +34 +00 +18 +00 +47 +00 +1f +00 +40 +00 +1e +00 +5d +00 +2f +00 +b2 +00 +64 +00 +74 +10 +75 +00 +09 +10 +45 +00 +9e +00 +3d +00 +70 +00 +22 +00 +4f +00 +1e +00 +4a +00 +3f +00 +aa +00 +26 +00 +60 +00 +2d +00 +98 +00 +2f +00 +9e +00 +2e +00 +91 +00 +17 +00 +43 +00 +43 +00 +82 +00 +26 +00 +65 +00 +28 +00 +65 +00 +1c +00 +72 +00 +24 +00 +5c +00 +1c +00 +54 +00 +21 +00 +58 +00 +1c +00 +46 +00 +1b +00 +44 +00 +16 +00 +39 +00 +30 +00 +67 +00 +2a +00 +60 +00 +1d +00 +48 +00 +1d +00 +4e +00 +31 +00 +5c +00 +19 +00 +60 +00 +3f +00 +7c +00 +1b +00 +3d +00 +14 +00 +3e +00 +16 +00 +44 +00 +00 +00 +00 +00 +96 +00 +63 +00 +af +00 +6b +00 +a5 +00 +64 +00 +ab +00 +6a +00 +9e +00 +79 +00 +a4 +00 +74 +00 +a9 +00 +6a +00 +9c +00 +6e +00 +a1 +00 +6e +00 +a5 +00 +7a +00 +94 +00 +76 +00 +a1 +00 +69 +00 +a6 +00 +65 +00 +a5 +00 +6f +00 +98 +00 +65 +00 +90 +00 +3f +00 +56 +00 +3e +00 +50 +00 +49 +00 +5c +00 +58 +00 +bc +00 +a5 +00 +1b +10 +67 +00 +79 +00 +4b +00 +6b +00 +47 +00 +69 +00 +40 +00 +68 +00 +51 +00 +69 +00 +50 +00 +5f +00 +3b +00 +66 +00 +3f +00 +6c +00 +49 +00 +63 +00 +3b +00 +5f +00 +46 +00 +59 +00 +4f +00 +72 +00 +4c +00 +72 +00 +63 +00 +af +00 +25 +10 +87 +20 +be +00 +bb +00 +b2 +00 +dd +00 +d5 +10 +8f +30 +a8 +10 +50 +10 +b2 +00 +a8 +00 +99 +00 +06 +10 +43 +10 +72 +20 +e6 +10 +c7 +20 +4c +10 +09 +10 +a8 +00 +d7 +00 +c0 +00 +29 +10 +f6 +00 +eb +00 +c5 +00 +b5 +00 +7d +00 +9f +00 +65 +00 +91 +00 +68 +00 +98 +00 +5d +00 +b0 +00 +7f +00 +b8 +00 +69 +00 +b4 +00 +62 +00 +b7 +00 +c5 +00 +43 +10 +9f +10 +ac +10 +d4 +00 +f3 +00 +73 +00 +b0 +00 +6e +00 +b8 +00 +bf +00 +5f +10 +6d +10 +d0 +10 +60 +10 +52 +20 +22 +10 +6d +10 +c9 +00 +19 +10 +29 +10 +55 +20 +33 +10 +33 +20 +22 +10 +11 +10 +78 +00 +9f +00 +7e +00 +a7 +00 +90 +00 +a1 +00 +62 +00 +78 +00 +4a +00 +6e +00 +4b +00 +7d +00 +65 +00 +88 +00 +91 +00 +ae +00 +8a +00 +c9 +00 +77 +00 +a1 +00 +6e +00 +8d +00 +60 +00 +92 +00 +5a +00 +72 +00 +5c +00 +72 +00 +4b +00 +76 +00 +4d +00 +77 +00 +64 +00 +8b +00 +60 +00 +88 +00 +80 +00 +b1 +00 +d7 +00 +d0 +00 +b4 +00 +c9 +00 +46 +20 +eb +40 +0e +40 +d9 +60 +88 +40 +22 +70 +09 +40 +0e +50 +cd +10 +f1 +00 +99 +00 +ac +00 +9f +00 +b1 +00 +6e +00 +92 +00 +91 +00 +f5 +00 +91 +00 +54 +10 +bc +00 +a2 +00 +74 +00 +7f +00 +b6 +00 +19 +10 +4d +10 +2c +10 +a4 +00 +b5 +00 +8e +00 +c6 +00 +ae +10 +a7 +40 +c3 +30 +45 +70 +df +40 +14 +80 +8c +30 +66 +30 +67 +10 +b2 +00 +69 +00 +7b +00 +3c +00 +6c +00 +43 +00 +5e +00 +33 +00 +5d +00 +2e +00 +55 +00 +36 +00 +5e +00 +33 +00 +49 +00 +33 +00 +44 +00 +2a +00 +45 +00 +26 +00 +50 +00 +3b +00 +77 +00 +2c +00 +5e +00 +3a +00 +54 +00 +2c +00 +52 +00 +35 +00 +8f +00 +83 +00 +35 +10 +08 +10 +79 +30 +c8 +00 +ce +00 +67 +00 +69 +00 +33 +00 +4f +00 +35 +00 +4b +00 +31 +00 +52 +00 +30 +00 +62 +00 +2d +00 +5f +00 +3a +00 +5a +00 +3e +00 +56 +00 +3e +00 +4e +00 +34 +00 +4f +00 +30 +00 +57 +00 +27 +00 +4c +00 +29 +00 +46 +00 +28 +00 +51 +00 +2a +00 +46 +00 +2d +00 +52 +00 +35 +00 +59 +00 +2e +00 +54 +00 +25 +00 +40 +00 +25 +00 +47 +00 +22 +00 +48 +00 +1d +00 +3f +00 +20 +00 +3b +00 +22 +00 +3c +00 +20 +00 +40 +00 +26 +00 +39 +00 +1e +00 +44 +00 +28 +00 +4a +00 +25 +00 +4e +00 +2b +00 +4b +00 +61 +00 +c9 +00 +7a +10 +95 +10 +7f +00 +79 +00 +3f +00 +70 +00 +2c +00 +56 +00 +29 +00 +48 +00 +22 +00 +44 +00 +27 +00 +50 +00 +71 +00 +be +10 +0c +10 +86 +00 +3b +00 +4b +00 +2b +00 +4f +00 +2f +00 +4f +00 +27 +00 +41 +00 +29 +00 +47 +00 +30 +00 +90 +00 +48 +00 +5f +00 +35 +00 +4d +00 +21 +00 +48 +00 +29 +00 +58 +00 +2b +00 +57 +00 +36 +00 +5f +00 +34 +00 +64 +00 +46 +00 +56 +00 +43 +00 +48 +00 +34 +00 +44 +00 +2c +00 +42 +00 +21 +00 +43 +00 +2a +00 +45 +00 +25 +00 +3e +00 +1a +00 +37 +00 +1d +00 +45 +00 +1c +00 +47 +00 +22 +00 +31 +00 +1a +00 +2d +00 +1c +00 +39 +00 +22 +00 +3f +00 +21 +00 +3d +00 +2a +00 +42 +00 +25 +00 +3f +00 +1f +00 +39 +00 +28 +00 +45 +00 +38 +00 +60 +00 +4b +00 +88 +00 +70 +00 +78 +10 +62 +00 +ab +00 +45 +00 +58 +00 +4b +00 +4b +00 +29 +00 +34 +00 +20 +00 +3a +00 +21 +00 +3d +00 +22 +00 +3c +00 +31 +00 +5f +00 +3d +00 +6b +00 +2e +00 +45 +00 +22 +00 +2d +00 +1c +00 +32 +00 +1d +00 +38 +00 +1f +00 +3b +00 +1f +00 +35 +00 +2e +00 +3e +00 +23 +00 +3b +00 +2c +00 +41 +00 +49 +00 +58 +00 +54 +00 +8b +00 +68 +00 +ce +00 +7e +00 +ab +00 +59 +00 +db +00 +c0 +00 +11 +10 +64 +00 +57 +00 +3a +00 +23 +10 +66 +00 +7a +00 +47 +00 +72 +00 +6c +00 +a6 +00 +52 +00 +70 +00 +33 +00 +53 +00 +31 +00 +62 +00 +3a +00 +85 +00 +33 +00 +5b +00 +29 +00 +53 +00 +29 +00 +4e +00 +32 +00 +4a +00 +32 +00 +4b +00 +2a +00 +52 +00 +2a +00 +46 +00 +20 +00 +3b +00 +2a +00 +74 +00 +27 +00 +71 +00 +28 +00 +41 +00 +28 +00 +4d +00 +2d +00 +1b +10 +4d +00 +5a +00 +32 +00 +4e +00 +27 +00 +4c +00 +2a +00 +34 +00 +22 +00 +31 +00 +1a +00 +00 +00 +00 +00 +37 +00 +99 +00 +30 +00 +8f +00 +31 +00 +a5 +00 +40 +00 +99 +00 +32 +00 +a3 +00 +38 +00 +94 +00 +34 +00 +aa +00 +34 +00 +90 +00 +36 +00 +94 +00 +37 +00 +a3 +00 +34 +00 +a8 +00 +39 +00 +ac +00 +38 +00 +95 +00 +3c +00 +99 +00 +2c +00 +80 +00 +27 +00 +68 +00 +1d +00 +56 +00 +26 +00 +57 +00 +26 +00 +7f +00 +30 +00 +af +00 +6f +00 +dc +00 +28 +00 +6a +00 +24 +00 +78 +00 +25 +00 +59 +00 +25 +00 +73 +00 +1b +00 +6e +00 +2b +00 +68 +00 +20 +00 +61 +00 +29 +00 +67 +00 +29 +00 +68 +00 +28 +00 +6c +00 +28 +00 +66 +00 +28 +00 +6d +00 +25 +00 +77 +00 +45 +00 +a5 +10 +9b +00 +40 +10 +35 +00 +bc +00 +f6 +00 +e2 +30 +0e +20 +8f +40 +84 +00 +de +00 +3c +00 +a0 +00 +48 +00 +51 +10 +98 +00 +23 +20 +c4 +00 +e6 +10 +7c +00 +0b +10 +42 +00 +d8 +00 +50 +00 +f6 +00 +76 +00 +a1 +10 +56 +00 +a1 +00 +2d +00 +81 +00 +31 +00 +97 +00 +2f +00 +8e +00 +3d +00 +ac +00 +3a +00 +a6 +00 +40 +00 +90 +00 +3c +00 +f9 +00 +f7 +00 +ed +30 +a6 +00 +1c +10 +50 +00 +ab +00 +34 +00 +a9 +00 +43 +00 +35 +10 +00 +10 +1c +30 +0a +10 +24 +30 +a5 +00 +59 +10 +5c +00 +ec +00 +5b +00 +05 +10 +95 +00 +8d +10 +87 +00 +3b +10 +47 +00 +af +00 +39 +00 +bb +00 +ae +00 +f8 +10 +5a +00 +a5 +00 +33 +00 +77 +00 +2a +00 +65 +00 +28 +00 +72 +00 +29 +00 +7d +00 +31 +00 +9a +00 +45 +00 +98 +00 +36 +00 +86 +00 +28 +00 +85 +00 +2a +00 +6e +00 +27 +00 +6e +00 +2d +00 +74 +00 +2b +00 +71 +00 +32 +00 +72 +00 +32 +00 +8d +00 +3f +00 +b6 +00 +68 +00 +b9 +10 +cd +00 +5a +10 +a0 +00 +f8 +30 +6c +20 +bb +60 +48 +20 +18 +70 +d9 +20 +54 +70 +4b +10 +71 +20 +5c +00 +cd +00 +83 +00 +a7 +10 +4c +00 +ae +00 +3d +00 +b0 +00 +46 +00 +ce +00 +4b +00 +f3 +00 +3f +00 +b5 +00 +4d +00 +58 +10 +6e +10 +83 +30 +72 +00 +fc +00 +33 +00 +a9 +00 +3d +00 +60 +10 +40 +10 +21 +40 +e6 +10 +73 +60 +0a +20 +2d +60 +06 +10 +91 +10 +3a +00 +7c +00 +2f +00 +68 +00 +2a +00 +69 +00 +20 +00 +51 +00 +1d +00 +4b +00 +1d +00 +4c +00 +22 +00 +48 +00 +1d +00 +4c +00 +16 +00 +46 +00 +1c +00 +4b +00 +25 +00 +61 +00 +29 +00 +74 +00 +2f +00 +61 +00 +20 +00 +51 +00 +17 +00 +50 +00 +38 +00 +b8 +00 +41 +00 +e5 +00 +8e +00 +57 +10 +41 +00 +75 +00 +29 +00 +56 +00 +24 +00 +5d +00 +1e +00 +59 +00 +22 +00 +4b +00 +21 +00 +56 +00 +28 +00 +59 +00 +2c +00 +7e +00 +1e +00 +65 +00 +24 +00 +58 +00 +1c +00 +57 +00 +1f +00 +4d +00 +23 +00 +55 +00 +24 +00 +59 +00 +18 +00 +4a +00 +21 +00 +4c +00 +23 +00 +52 +00 +1b +00 +43 +00 +1b +00 +46 +00 +1b +00 +47 +00 +19 +00 +35 +00 +1a +00 +43 +00 +19 +00 +48 +00 +17 +00 +3c +00 +16 +00 +3e +00 +1d +00 +48 +00 +16 +00 +4a +00 +1d +00 +4f +00 +1a +00 +4a +00 +1b +00 +4f +00 +25 +00 +90 +00 +95 +00 +39 +30 +83 +00 +eb +00 +25 +00 +5d +00 +21 +00 +52 +00 +1e +00 +47 +00 +1c +00 +3b +00 +1c +00 +45 +00 +16 +00 +7d +00 +0c +10 +bd +20 +54 +00 +6e +00 +22 +00 +49 +00 +2e +00 +59 +00 +1b +00 +3e +00 +1d +00 +45 +00 +1a +00 +57 +00 +38 +00 +7a +00 +1c +00 +55 +00 +24 +00 +45 +00 +1a +00 +47 +00 +1f +00 +49 +00 +25 +00 +5b +00 +24 +00 +66 +00 +26 +00 +6d +00 +22 +00 +5e +00 +1b +00 +49 +00 +22 +00 +52 +00 +1d +00 +4c +00 +1e +00 +44 +00 +11 +00 +3c +00 +16 +00 +43 +00 +1b +00 +35 +00 +1e +00 +3b +00 +1b +00 +39 +00 +17 +00 +2e +00 +17 +00 +45 +00 +1b +00 +3f +00 +1a +00 +40 +00 +1e +00 +6e +00 +26 +00 +52 +00 +19 +00 +39 +00 +19 +00 +4b +00 +18 +00 +4c +00 +2d +00 +ad +00 +2d +00 +8d +00 +51 +00 +b7 +00 +28 +00 +71 +00 +4e +00 +dc +00 +26 +00 +58 +00 +19 +00 +30 +00 +1c +00 +3d +00 +17 +00 +39 +00 +1e +00 +5b +00 +25 +00 +5f +00 +1f +00 +54 +00 +15 +00 +39 +00 +11 +00 +30 +00 +11 +00 +32 +00 +17 +00 +31 +00 +18 +00 +39 +00 +1c +00 +3d +00 +1a +00 +3e +00 +11 +00 +42 +00 +1a +00 +4b +00 +21 +00 +59 +00 +31 +00 +b6 +00 +3c +00 +95 +00 +2c +00 +74 +00 +5b +00 +c4 +10 +c3 +00 +d3 +00 +22 +00 +64 +00 +55 +00 +9c +00 +3d +00 +63 +00 +25 +00 +79 +00 +2b +00 +5e +00 +1a +00 +45 +00 +13 +00 +40 +00 +25 +00 +77 +00 +31 +00 +52 +00 +1f +00 +57 +00 +22 +00 +4d +00 +19 +00 +49 +00 +19 +00 +43 +00 +20 +00 +4c +00 +21 +00 +48 +00 +14 +00 +3f +00 +1f +00 +46 +00 +20 +00 +53 +00 +26 +00 +56 +00 +27 +00 +4b +00 +20 +00 +51 +00 +31 +00 +75 +00 +21 +00 +49 +00 +1d +00 +41 +00 +1a +00 +38 +00 +10 +00 +2f +00 +0f +00 +2d +00 +00 +00 +00 +00 +9d +00 +6d +00 +9d +00 +69 +00 +a0 +00 +6a +00 +99 +00 +6e +00 +9a +00 +6f +00 +a7 +00 +75 +00 +a2 +00 +65 +00 +9d +00 +7d +00 +a2 +00 +6e +00 +a2 +00 +6a +00 +9f +00 +6f +00 +ac +00 +71 +00 +a3 +00 +7e +00 +a4 +00 +65 +00 +8b +00 +49 +00 +69 +00 +38 +00 +60 +00 +45 +00 +5a +00 +66 +00 +78 +00 +66 +00 +7d +00 +74 +00 +6c +10 +b9 +00 +9f +00 +48 +00 +54 +00 +43 +00 +66 +00 +63 +00 +a9 +00 +c9 +00 +d9 +00 +54 +00 +6e +00 +5e +00 +66 +00 +43 +00 +66 +00 +40 +00 +65 +00 +39 +00 +64 +00 +44 +00 +67 +00 +45 +00 +75 +00 +4a +00 +6f +00 +5a +00 +93 +00 +94 +00 +22 +10 +88 +00 +b3 +00 +dd +00 +6d +20 +d5 +20 +72 +60 +4a +20 +62 +20 +26 +10 +df +00 +a0 +00 +c4 +00 +de +00 +88 +10 +ec +10 +63 +30 +ca +10 +c4 +20 +c8 +00 +d9 +00 +b7 +00 +e9 +00 +c4 +10 +5e +30 +b5 +10 +0d +20 +96 +00 +84 +00 +63 +00 +9f +00 +90 +00 +ad +00 +6c +00 +91 +00 +5b +00 +ae +00 +66 +00 +94 +00 +ed +00 +1c +10 +a8 +10 +ac +20 +11 +10 +fe +10 +ce +00 +c0 +00 +69 +00 +a5 +00 +73 +00 +b3 +00 +b3 +00 +6d +20 +0c +10 +9b +10 +f7 +00 +5d +10 +9c +00 +dc +00 +90 +00 +ce +00 +f6 +00 +b3 +10 +7b +10 +3a +20 +de +00 +d4 +00 +77 +00 +99 +00 +df +00 +e3 +20 +9f +10 +9e +10 +8d +00 +8c +00 +4d +00 +76 +00 +4d +00 +75 +00 +4d +00 +70 +00 +6b +00 +8a +00 +56 +00 +7f +00 +54 +00 +83 +00 +5c +00 +71 +00 +52 +00 +6d +00 +48 +00 +6c +00 +4d +00 +7a +00 +4b +00 +70 +00 +49 +00 +79 +00 +84 +00 +ad +00 +ea +00 +20 +10 +2c +20 +4c +30 +55 +20 +cf +20 +d8 +10 +af +20 +69 +30 +72 +60 +74 +40 +2a +70 +a4 +50 +76 +80 +9e +40 +ad +60 +b3 +20 +83 +10 +81 +10 +a3 +10 +70 +10 +a4 +10 +ae +00 +ad +00 +7c +00 +c3 +00 +bc +00 +16 +10 +47 +10 +3b +20 +a1 +00 +e0 +00 +65 +10 +bb +40 +0a +20 +24 +20 +d7 +00 +98 +00 +8f +00 +98 +00 +2a +10 +9d +10 +e1 +10 +d7 +20 +71 +20 +2c +40 +84 +20 +30 +30 +4c +10 +cb +00 +63 +00 +70 +00 +3f +00 +6d +00 +38 +00 +62 +00 +34 +00 +5b +00 +33 +00 +4c +00 +33 +00 +51 +00 +33 +00 +49 +00 +33 +00 +41 +00 +2c +00 +52 +00 +28 +00 +5b +00 +43 +00 +71 +00 +40 +00 +6d +00 +41 +00 +79 +00 +3a +00 +59 +00 +32 +00 +62 +00 +44 +00 +81 +00 +64 +00 +bb +00 +6f +00 +cc +00 +58 +00 +65 +00 +36 +00 +54 +00 +29 +00 +69 +00 +34 +00 +6b +00 +3f +00 +53 +00 +31 +00 +5f +00 +c8 +00 +c0 +10 +ec +00 +f4 +00 +47 +00 +51 +00 +35 +00 +50 +00 +2c +00 +46 +00 +2a +00 +5c +00 +2c +00 +4c +00 +2e +00 +52 +00 +2e +00 +56 +00 +34 +00 +4d +00 +2c +00 +4d +00 +27 +00 +48 +00 +1f +00 +42 +00 +2e +00 +47 +00 +22 +00 +44 +00 +29 +00 +39 +00 +28 +00 +3d +00 +1f +00 +36 +00 +25 +00 +3f +00 +25 +00 +45 +00 +2b +00 +5a +00 +20 +00 +52 +00 +27 +00 +43 +00 +31 +00 +5d +00 +9b +00 +d5 +10 +6d +10 +9d +10 +99 +00 +86 +00 +5e +00 +57 +00 +34 +00 +48 +00 +26 +00 +40 +00 +28 +00 +4e +00 +34 +00 +4a +00 +49 +00 +91 +00 +5a +00 +e8 +00 +5e +00 +5c +00 +37 +00 +67 +00 +36 +00 +4f +00 +28 +00 +4d +00 +29 +00 +55 +00 +35 +00 +6a +00 +33 +00 +55 +00 +2c +00 +49 +00 +27 +00 +4a +00 +29 +00 +5b +00 +2b +00 +4d +00 +41 +00 +89 +00 +db +00 +38 +10 +67 +10 +2a +10 +7c +00 +68 +00 +4a +00 +50 +00 +2b +00 +48 +00 +24 +00 +3f +00 +21 +00 +3a +00 +22 +00 +42 +00 +1e +00 +41 +00 +21 +00 +37 +00 +25 +00 +3e +00 +2a +00 +49 +00 +24 +00 +37 +00 +1d +00 +44 +00 +1f +00 +49 +00 +23 +00 +51 +00 +3f +00 +93 +00 +2a +00 +53 +00 +1e +00 +41 +00 +26 +00 +4b +00 +2f +00 +56 +00 +2c +00 +63 +00 +74 +00 +0b +10 +bc +00 +b9 +00 +62 +00 +b2 +00 +5e +00 +e1 +00 +39 +00 +45 +00 +28 +00 +39 +00 +22 +00 +3e +00 +30 +00 +51 +00 +32 +00 +48 +00 +2c +00 +3c +00 +22 +00 +39 +00 +21 +00 +29 +00 +20 +00 +2f +00 +1f +00 +33 +00 +21 +00 +36 +00 +25 +00 +38 +00 +2f +00 +41 +00 +24 +00 +39 +00 +2e +00 +5e +00 +45 +00 +5e +00 +8c +00 +14 +10 +b8 +00 +ff +00 +5d +00 +66 +00 +45 +00 +ab +00 +5f +00 +d9 +00 +4e +00 +6e +00 +4d +00 +10 +10 +48 +00 +af +00 +42 +00 +62 +00 +2f +00 +54 +00 +34 +00 +4a +00 +2e +00 +3c +00 +29 +00 +69 +00 +33 +00 +6a +00 +2c +00 +4a +00 +29 +00 +50 +00 +27 +00 +4b +00 +23 +00 +38 +00 +20 +00 +4a +00 +28 +00 +4c +00 +25 +00 +3b +00 +27 +00 +45 +00 +24 +00 +4e +00 +2a +00 +46 +00 +26 +00 +5a +00 +29 +00 +48 +00 +24 +00 +4e +00 +38 +00 +5f +00 +33 +00 +47 +00 +24 +00 +3d +00 +1b +00 +37 +00 +20 +00 +33 +00 +1d +00 +00 +00 +00 +00 +35 +00 +ad +00 +37 +00 +87 +00 +30 +00 +99 +00 +3b +00 +b0 +00 +32 +00 +99 +00 +31 +00 +a8 +00 +38 +00 +a2 +00 +46 +00 +a3 +00 +40 +00 +aa +00 +32 +00 +ae +00 +33 +00 +a8 +00 +45 +00 +b1 +00 +36 +00 +ab +00 +32 +00 +93 +00 +2b +00 +6e +00 +1e +00 +52 +00 +1b +00 +59 +00 +3a +00 +f7 +00 +34 +00 +84 +00 +28 +00 +84 +00 +63 +00 +24 +10 +38 +00 +78 +00 +21 +00 +68 +00 +20 +00 +72 +00 +67 +00 +e1 +10 +79 +00 +a7 +00 +2c +00 +70 +00 +23 +00 +6b +00 +23 +00 +59 +00 +24 +00 +6d +00 +2a +00 +69 +00 +2e +00 +66 +00 +2a +00 +60 +00 +26 +00 +6a +00 +2a +00 +9e +00 +46 +00 +a9 +00 +35 +00 +d0 +00 +29 +10 +bf +30 +92 +10 +6c +30 +8f +10 +39 +30 +62 +00 +c2 +00 +38 +00 +c1 +00 +8c +00 +99 +20 +24 +10 +e5 +20 +d1 +00 +7c +10 +51 +00 +d8 +00 +6e +00 +81 +20 +b0 +10 +41 +30 +7e +00 +e5 +00 +33 +00 +87 +00 +79 +00 +f8 +10 +4b +00 +af +00 +35 +00 +8e +00 +38 +00 +8d +00 +3f +00 +56 +10 +6f +10 +ee +30 +b3 +00 +7a +10 +62 +00 +05 +10 +3c +00 +ac +00 +3d +00 +9c +00 +38 +00 +ce +00 +57 +00 +0e +10 +4f +00 +ee +00 +4c +00 +d5 +00 +35 +00 +a2 +00 +47 +00 +96 +10 +83 +00 +fa +10 +2e +10 +bb +10 +3d +00 +91 +00 +3c +00 +e7 +00 +56 +10 +ea +30 +8f +00 +c0 +00 +2d +00 +7d +00 +30 +00 +7c +00 +25 +00 +6b +00 +24 +00 +7f +00 +2e +00 +81 +00 +2c +00 +85 +00 +32 +00 +90 +00 +2f +00 +7e +00 +26 +00 +76 +00 +25 +00 +78 +00 +2a +00 +79 +00 +2c +00 +72 +00 +36 +00 +00 +10 +8f +00 +a4 +10 +ec +00 +5c +40 +26 +20 +c9 +40 +db +00 +92 +20 +e9 +10 +78 +50 +0c +20 +fd +60 +e5 +20 +c2 +80 +c5 +20 +84 +60 +3f +20 +d0 +50 +06 +10 +57 +30 +6d +10 +0b +30 +81 +00 +18 +10 +4e +00 +b1 +00 +4c +00 +21 +10 +fe +00 +56 +20 +8e +00 +4e +10 +47 +00 +12 +10 +1a +10 +20 +40 +a8 +00 +0b +10 +33 +00 +87 +00 +63 +00 +b0 +10 +d1 +00 +26 +30 +36 +10 +81 +30 +5f +10 +7a +30 +17 +10 +f7 +10 +3f +00 +88 +00 +2b +00 +62 +00 +21 +00 +62 +00 +1e +00 +63 +00 +1e +00 +51 +00 +1c +00 +54 +00 +1d +00 +51 +00 +1b +00 +51 +00 +1e +00 +49 +00 +1e +00 +55 +00 +27 +00 +5f +00 +25 +00 +9c +00 +61 +00 +d2 +00 +2b +00 +6f +00 +1d +00 +5c +00 +2f +00 +6f +00 +2e +00 +82 +00 +34 +00 +b2 +00 +31 +00 +6e +00 +21 +00 +56 +00 +1c +00 +47 +00 +26 +00 +59 +00 +25 +00 +5e +00 +25 +00 +5a +00 +2d +00 +85 +10 +da +00 +43 +20 +a5 +00 +ad +00 +20 +00 +56 +00 +21 +00 +4d +00 +17 +00 +4e +00 +21 +00 +4e +00 +1e +00 +5f +00 +21 +00 +51 +00 +1f +00 +44 +00 +1c +00 +4b +00 +22 +00 +4d +00 +1e +00 +47 +00 +1f +00 +4f +00 +1b +00 +4b +00 +16 +00 +36 +00 +1b +00 +46 +00 +14 +00 +3d +00 +17 +00 +40 +00 +1b +00 +4b +00 +1e +00 +53 +00 +1b +00 +50 +00 +1b +00 +4b +00 +25 +00 +54 +00 +20 +00 +8b +00 +9d +00 +4e +20 +77 +00 +e2 +00 +28 +00 +6f +00 +1f +00 +50 +00 +1d +00 +44 +00 +19 +00 +46 +00 +1b +00 +48 +00 +1f +00 +63 +00 +24 +00 +8d +00 +32 +00 +88 +00 +1f +00 +4c +00 +1a +00 +52 +00 +1d +00 +43 +00 +1c +00 +4a +00 +1a +00 +5e +00 +23 +00 +51 +00 +1e +00 +4a +00 +1c +00 +44 +00 +1b +00 +43 +00 +20 +00 +48 +00 +17 +00 +5b +00 +67 +00 +f3 +10 +3e +10 +e2 +30 +f9 +00 +05 +10 +43 +00 +97 +00 +20 +00 +44 +00 +1e +00 +43 +00 +20 +00 +40 +00 +17 +00 +43 +00 +18 +00 +44 +00 +1f +00 +3d +00 +10 +00 +3e +00 +34 +00 +65 +00 +22 +00 +4b +00 +18 +00 +46 +00 +10 +00 +41 +00 +1e +00 +41 +00 +21 +00 +5c +00 +3e +00 +76 +00 +1e +00 +48 +00 +1c +00 +46 +00 +16 +00 +40 +00 +1c +00 +4b +00 +22 +00 +91 +00 +fb +00 +b3 +20 +4d +00 +93 +00 +3a +00 +93 +00 +39 +00 +7a +00 +19 +00 +3f +00 +1d +00 +57 +00 +41 +00 +b1 +00 +23 +00 +50 +00 +20 +00 +48 +00 +11 +00 +37 +00 +0e +00 +2d +00 +0f +00 +35 +00 +13 +00 +2d +00 +15 +00 +3a +00 +14 +00 +39 +00 +2b +00 +93 +00 +17 +00 +49 +00 +19 +00 +3f +00 +42 +00 +a3 +00 +20 +00 +83 +00 +e7 +00 +ee +10 +4c +00 +84 +00 +24 +00 +50 +00 +36 +00 +8d +00 +2f +00 +86 +00 +26 +00 +77 +00 +38 +00 +99 +00 +3b +00 +86 +00 +1f +00 +55 +00 +25 +00 +4e +00 +16 +00 +3d +00 +17 +00 +4b +00 +33 +00 +68 +00 +23 +00 +52 +00 +1e +00 +44 +00 +19 +00 +3f +00 +1b +00 +3d +00 +17 +00 +47 +00 +1f +00 +6a +00 +21 +00 +45 +00 +11 +00 +4b +00 +22 +00 +4a +00 +24 +00 +4b +00 +1b +00 +4c +00 +1e +00 +55 +00 +1d +00 +57 +00 +1c +00 +50 +00 +23 +00 +52 +00 +18 +00 +3d +00 +19 +00 +31 +00 +13 +00 +2d +00 +13 +00 +33 +00 +00 +00 +00 +00 +9e +00 +63 +00 +9f +00 +68 +00 +a5 +00 +65 +00 +a1 +00 +6e +00 +9b +00 +6f +00 +a0 +00 +68 +00 +a0 +00 +66 +00 +99 +00 +6b +00 +a2 +00 +5f +00 +ad +00 +70 +00 +b9 +00 +6d +00 +ad +00 +6b +00 +a1 +00 +64 +00 +98 +00 +53 +00 +91 +00 +47 +00 +63 +00 +44 +00 +4f +00 +9e +00 +4b +10 +15 +10 +30 +10 +5a +00 +6f +00 +67 +00 +2e +10 +6c +00 +90 +00 +45 +00 +5c +00 +41 +00 +58 +00 +4f +00 +91 +00 +6b +00 +d8 +00 +d6 +00 +b0 +00 +a3 +00 +79 +00 +4f +00 +61 +00 +49 +00 +70 +00 +44 +00 +62 +00 +3b +00 +70 +00 +3c +00 +61 +00 +43 +00 +67 +00 +49 +00 +71 +00 +5f +00 +96 +00 +66 +00 +84 +00 +8e +00 +32 +10 +1b +10 +38 +20 +3e +20 +3d +50 +f9 +10 +cc +20 +c7 +00 +a4 +00 +92 +00 +d8 +00 +b5 +00 +56 +10 +d0 +00 +1e +10 +d8 +00 +5b +10 +f5 +00 +f5 +00 +d0 +00 +c3 +10 +f7 +00 +36 +10 +85 +00 +93 +00 +6d +00 +f5 +00 +1a +10 +2a +20 +87 +00 +9f +00 +4f +00 +98 +00 +49 +00 +94 +00 +b5 +00 +f7 +20 +95 +10 +f0 +10 +df +00 +f1 +00 +74 +00 +9f +00 +50 +00 +8d +00 +5e +00 +9c +00 +70 +00 +c9 +00 +82 +00 +d9 +00 +8f +00 +c6 +00 +7b +00 +9d +00 +69 +00 +a8 +00 +a2 +00 +6c +10 +03 +10 +85 +20 +cc +00 +f3 +00 +69 +00 +a8 +00 +b1 +00 +dc +10 +33 +10 +43 +20 +b9 +00 +82 +00 +48 +00 +76 +00 +45 +00 +7b +00 +53 +00 +70 +00 +55 +00 +72 +00 +51 +00 +8b +00 +55 +00 +83 +00 +66 +00 +87 +00 +79 +00 +8b +00 +80 +00 +81 +00 +61 +00 +76 +00 +53 +00 +7d +00 +62 +00 +98 +00 +f1 +00 +95 +20 +ec +20 +34 +50 +84 +30 +be +50 +03 +20 +5a +20 +c6 +20 +8c +40 +17 +40 +d2 +60 +6d +50 +b2 +80 +de +50 +a0 +80 +96 +40 +c5 +50 +f5 +30 +71 +50 +98 +30 +4f +50 +bc +10 +b5 +10 +d7 +00 +cb +00 +e9 +00 +4d +10 +3e +10 +80 +20 +0c +10 +6b +10 +a2 +00 +c7 +00 +c5 +00 +43 +10 +d1 +00 +e1 +10 +ef +00 +af +00 +f1 +00 +86 +10 +b1 +10 +1c +40 +27 +20 +5e +30 +1e +20 +c8 +30 +09 +20 +6a +30 +17 +10 +fc +00 +64 +00 +6d +00 +3e +00 +64 +00 +39 +00 +69 +00 +34 +00 +5c +00 +31 +00 +49 +00 +38 +00 +50 +00 +2d +00 +5a +00 +32 +00 +47 +00 +34 +00 +55 +00 +47 +00 +69 +00 +8f +00 +97 +00 +7a +00 +11 +10 +58 +00 +99 +00 +3a +00 +58 +00 +31 +00 +6b +00 +42 +00 +6c +00 +4e +00 +94 +00 +43 +00 +7a +00 +37 +00 +6b +00 +32 +00 +51 +00 +32 +00 +60 +00 +2e +00 +5d +00 +34 +00 +5f +00 +3c +00 +66 +00 +7c +00 +1a +10 +9c +00 +18 +10 +73 +00 +7a +00 +40 +00 +50 +00 +2b +00 +4d +00 +2a +00 +4b +00 +2a +00 +5d +00 +2c +00 +5a +00 +34 +00 +4c +00 +2a +00 +48 +00 +29 +00 +4c +00 +27 +00 +3e +00 +26 +00 +48 +00 +1c +00 +46 +00 +25 +00 +3b +00 +24 +00 +3c +00 +27 +00 +3d +00 +28 +00 +36 +00 +2f +00 +43 +00 +34 +00 +44 +00 +26 +00 +4a +00 +51 +00 +73 +00 +29 +00 +54 +00 +2f +00 +5c +00 +57 +00 +f0 +00 +96 +00 +ee +00 +fc +00 +ab +00 +ae +00 +73 +00 +4b +00 +46 +00 +29 +00 +43 +00 +27 +00 +45 +00 +29 +00 +56 +00 +3d +00 +60 +00 +4f +00 +71 +00 +3e +00 +60 +00 +35 +00 +50 +00 +2b +00 +4d +00 +27 +00 +4f +00 +3a +00 +73 +00 +35 +00 +5c +00 +2b +00 +48 +00 +27 +00 +48 +00 +24 +00 +4d +00 +21 +00 +43 +00 +23 +00 +47 +00 +3c +00 +86 +00 +ef +00 +f4 +30 +38 +10 +9c +10 +ad +00 +04 +10 +5b +00 +69 +00 +32 +00 +47 +00 +25 +00 +46 +00 +25 +00 +43 +00 +20 +00 +4d +00 +20 +00 +39 +00 +1a +00 +3a +00 +34 +00 +4d +00 +3a +00 +5a +00 +29 +00 +41 +00 +1d +00 +3e +00 +21 +00 +44 +00 +2a +00 +4b +00 +2b +00 +70 +00 +2b +00 +53 +00 +23 +00 +43 +00 +25 +00 +46 +00 +21 +00 +42 +00 +30 +00 +52 +00 +5e +00 +52 +10 +ab +00 +24 +10 +6f +00 +92 +00 +41 +00 +76 +00 +32 +00 +4e +00 +2a +00 +44 +00 +30 +00 +97 +00 +51 +00 +7e +00 +32 +00 +42 +00 +18 +00 +32 +00 +1a +00 +2d +00 +19 +00 +30 +00 +21 +00 +3d +00 +21 +00 +2e +00 +25 +00 +3b +00 +28 +00 +45 +00 +21 +00 +60 +00 +2a +00 +40 +00 +21 +00 +4a +00 +45 +00 +dd +00 +52 +00 +9d +00 +60 +00 +b7 +00 +59 +00 +58 +00 +39 +00 +61 +00 +49 +00 +7f +00 +43 +00 +5e +00 +3c +00 +58 +00 +59 +00 +82 +00 +3d +00 +55 +00 +28 +00 +4b +00 +26 +00 +41 +00 +19 +00 +37 +00 +34 +00 +f8 +00 +40 +00 +71 +00 +2d +00 +49 +00 +2a +00 +44 +00 +20 +00 +4d +00 +20 +00 +42 +00 +2e +00 +5e +00 +2b +00 +57 +00 +24 +00 +41 +00 +25 +00 +46 +00 +21 +00 +48 +00 +1c +00 +49 +00 +2b +00 +4f +00 +26 +00 +3e +00 +25 +00 +51 +00 +24 +00 +62 +00 +2f +00 +35 +00 +25 +00 +41 +00 +25 +00 +31 +00 +1c +00 +31 +00 +1b +00 +00 +00 +00 +00 +32 +00 +93 +00 +2f +00 +9a +00 +36 +00 +a1 +00 +3d +00 +a9 +00 +37 +00 +a6 +00 +36 +00 +a6 +00 +3b +00 +a9 +00 +37 +00 +9b +00 +32 +00 +95 +00 +33 +00 +96 +00 +38 +00 +98 +00 +3a +00 +a9 +00 +3f +00 +a7 +00 +39 +00 +a1 +00 +2f +00 +67 +00 +21 +00 +5b +00 +34 +00 +e1 +00 +db +00 +37 +20 +66 +00 +a1 +00 +29 +00 +78 +00 +42 +00 +b0 +00 +26 +00 +67 +00 +25 +00 +5e +00 +24 +00 +63 +00 +2f +00 +9e +00 +45 +00 +f1 +00 +bd +00 +ea +10 +38 +00 +70 +00 +2b +00 +76 +00 +24 +00 +6f +00 +25 +00 +61 +00 +1e +00 +65 +00 +22 +00 +62 +00 +26 +00 +64 +00 +2d +00 +70 +00 +30 +00 +7e +00 +31 +00 +9f +00 +4e +00 +19 +10 +95 +00 +a3 +20 +17 +10 +98 +20 +9b +00 +1c +10 +36 +00 +a0 +00 +44 +00 +e6 +00 +4f +00 +e5 +00 +3a +00 +d7 +00 +1f +10 +3d +30 +63 +00 +fb +00 +5e +00 +29 +10 +4e +00 +a3 +00 +2d +00 +82 +00 +3c +00 +63 +10 +8d +00 +0c +10 +35 +00 +90 +00 +2c +00 +88 +00 +39 +00 +c7 +00 +90 +00 +91 +10 +6c +00 +02 +10 +47 +00 +a3 +00 +3c +00 +8d +00 +35 +00 +8c +00 +35 +00 +97 +00 +3b +00 +c1 +00 +30 +00 +b9 +00 +41 +00 +a1 +00 +2b +00 +8d +00 +3b +00 +b5 +00 +50 +00 +2d +10 +61 +00 +26 +10 +47 +00 +a5 +00 +48 +00 +c7 +00 +87 +00 +bc +10 +65 +00 +f2 +00 +39 +00 +8b +00 +2b +00 +71 +00 +2b +00 +68 +00 +28 +00 +70 +00 +2a +00 +67 +00 +2a +00 +7b +00 +35 +00 +84 +00 +2e +00 +b2 +00 +3f +00 +99 +00 +36 +00 +8b +00 +28 +00 +89 +00 +35 +00 +75 +00 +35 +00 +fb +00 +98 +10 +77 +50 +5b +20 +5c +60 +7e +10 +b8 +20 +00 +10 +cd +30 +18 +20 +e6 +60 +f0 +20 +47 +80 +12 +30 +79 +80 +c3 +20 +5b +70 +e3 +10 +62 +50 +27 +20 +1d +60 +71 +10 +b5 +20 +6f +00 +17 +10 +5a +00 +89 +10 +26 +10 +74 +20 +80 +00 +34 +10 +5e +00 +df +00 +40 +00 +c7 +00 +5b +00 +1d +10 +54 +00 +e9 +00 +6a +00 +fa +10 +cd +00 +8b +20 +d0 +00 +41 +20 +aa +00 +fe +10 +c1 +00 +09 +20 +93 +00 +60 +10 +46 +00 +a3 +00 +2f +00 +74 +00 +2f +00 +77 +00 +29 +00 +57 +00 +1e +00 +55 +00 +1d +00 +48 +00 +1c +00 +49 +00 +22 +00 +5d +00 +23 +00 +60 +00 +33 +00 +8d +00 +6e +00 +82 +10 +54 +00 +b7 +00 +6a +00 +bb +00 +31 +00 +74 +00 +2a +00 +60 +00 +1f +00 +65 +00 +26 +00 +72 +00 +2c +00 +70 +00 +2d +00 +57 +00 +21 +00 +5a +00 +2a +00 +53 +00 +20 +00 +68 +00 +21 +00 +69 +00 +24 +00 +58 +00 +2b +00 +96 +00 +3e +00 +cf +00 +41 +00 +9c +00 +2d +00 +52 +00 +1e +00 +62 +00 +22 +00 +4a +00 +1f +00 +55 +00 +21 +00 +58 +00 +1a +00 +57 +00 +26 +00 +65 +00 +1f +00 +46 +00 +22 +00 +51 +00 +20 +00 +3f +00 +1b +00 +4c +00 +1b +00 +3d +00 +16 +00 +3b +00 +1e +00 +40 +00 +1d +00 +44 +00 +2c +00 +6d +00 +28 +00 +5a +00 +1e +00 +4c +00 +20 +00 +73 +00 +26 +00 +59 +00 +1b +00 +52 +00 +1e +00 +68 +00 +38 +00 +db +00 +95 +00 +0b +20 +d9 +00 +d3 +10 +44 +00 +64 +00 +1b +00 +43 +00 +16 +00 +47 +00 +1b +00 +49 +00 +1f +00 +5c +00 +20 +00 +67 +00 +19 +00 +59 +00 +1e +00 +56 +00 +1b +00 +4e +00 +18 +00 +4a +00 +23 +00 +58 +00 +55 +00 +79 +00 +1a +00 +44 +00 +1e +00 +47 +00 +14 +00 +3f +00 +19 +00 +44 +00 +20 +00 +3c +00 +1f +00 +4e +00 +22 +00 +b2 +00 +6c +00 +74 +10 +5b +00 +f9 +00 +48 +00 +88 +00 +20 +00 +4f +00 +1b +00 +3d +00 +1f +00 +44 +00 +1b +00 +49 +00 +1b +00 +46 +00 +14 +00 +3b +00 +1a +00 +50 +00 +31 +00 +69 +00 +24 +00 +52 +00 +17 +00 +3f +00 +20 +00 +3f +00 +1e +00 +4c +00 +19 +00 +50 +00 +22 +00 +50 +00 +1a +00 +44 +00 +20 +00 +37 +00 +22 +00 +44 +00 +22 +00 +4b +00 +29 +00 +71 +00 +3c +00 +9e +00 +50 +00 +b0 +00 +2b +00 +79 +00 +28 +00 +47 +00 +18 +00 +45 +00 +1f +00 +5a +00 +22 +00 +5c +00 +24 +00 +41 +00 +17 +00 +3e +00 +14 +00 +2e +00 +12 +00 +34 +00 +13 +00 +3c +00 +16 +00 +39 +00 +18 +00 +39 +00 +1d +00 +43 +00 +1d +00 +47 +00 +1c +00 +47 +00 +12 +00 +3f +00 +1a +00 +65 +00 +2b +00 +7f +00 +2c +00 +78 +00 +38 +00 +b4 +00 +21 +00 +55 +00 +2b +00 +7d +00 +2c +00 +57 +00 +15 +00 +5a +00 +35 +00 +78 +00 +2a +00 +64 +00 +22 +00 +48 +00 +18 +00 +40 +00 +1b +00 +3f +00 +1e +00 +79 +00 +57 +00 +ab +00 +2a +00 +61 +00 +1d +00 +47 +00 +16 +00 +4a +00 +1d +00 +4b +00 +12 +00 +38 +00 +1f +00 +58 +00 +23 +00 +47 +00 +1b +00 +46 +00 +18 +00 +40 +00 +21 +00 +51 +00 +22 +00 +52 +00 +22 +00 +54 +00 +19 +00 +3f +00 +1b +00 +58 +00 +27 +00 +48 +00 +14 +00 +44 +00 +18 +00 +3b +00 +12 +00 +35 +00 +17 +00 +39 +00 +00 +00 +00 +00 +9c +00 +6e +00 +aa +00 +69 +00 +95 +00 +6d +00 +97 +00 +76 +00 +a7 +00 +67 +00 +9d +00 +72 +00 +98 +00 +73 +00 +95 +00 +6c +00 +a7 +00 +70 +00 +a1 +00 +6b +00 +a1 +00 +73 +00 +a0 +00 +6c +00 +a1 +00 +6c +00 +99 +00 +67 +00 +94 +00 +75 +00 +9b +00 +6e +00 +b4 +00 +da +00 +7a +20 +b2 +00 +fc +00 +6c +00 +69 +00 +4c +00 +6c +00 +40 +00 +71 +00 +40 +00 +62 +00 +45 +00 +60 +00 +52 +00 +6f +00 +ca +00 +17 +20 +01 +20 +38 +30 +20 +10 +00 +10 +9d +00 +8c +00 +8d +00 +91 +00 +52 +00 +6d +00 +3b +00 +69 +00 +46 +00 +65 +00 +40 +00 +62 +00 +54 +00 +60 +00 +52 +00 +7c +00 +57 +00 +b0 +00 +78 +00 +ae +00 +c1 +00 +17 +10 +dc +00 +41 +10 +c7 +00 +03 +10 +90 +00 +99 +00 +6f +00 +ac +00 +a4 +00 +eb +00 +7d +00 +c0 +00 +9f +00 +cc +10 +20 +10 +c4 +10 +9c +00 +f9 +00 +98 +00 +bc +00 +66 +00 +86 +00 +55 +00 +93 +00 +68 +00 +c8 +00 +6f +00 +85 +00 +4d +00 +87 +00 +57 +00 +88 +00 +a4 +00 +e0 +00 +cc +00 +24 +10 +ca +00 +ae +00 +64 +00 +89 +00 +5a +00 +83 +00 +56 +00 +7e +00 +50 +00 +9e +00 +6e +00 +97 +00 +72 +00 +1f +10 +18 +10 +f9 +00 +6d +00 +9e +00 +5e +00 +b3 +00 +7f +00 +cd +00 +82 +00 +b6 +00 +68 +00 +99 +00 +8a +00 +05 +10 +f5 +00 +28 +10 +88 +00 +92 +00 +4a +00 +70 +00 +79 +00 +7a +00 +ae +00 +80 +00 +72 +00 +86 +00 +52 +00 +7f +00 +5a +00 +93 +00 +ce +00 +94 +10 +ff +10 +f1 +10 +2a +10 +d6 +00 +b3 +00 +95 +00 +6c +00 +8f +00 +5c +00 +96 +00 +cf +00 +d8 +10 +2a +20 +d1 +40 +78 +20 +f1 +20 +51 +20 +ac +30 +77 +30 +23 +60 +7b +40 +0b +70 +bd +40 +07 +70 +22 +50 +e5 +70 +66 +50 +10 +70 +62 +40 +e3 +60 +57 +40 +da +40 +f9 +10 +8e +10 +31 +10 +49 +10 +b5 +10 +c9 +30 +31 +20 +ef +10 +09 +10 +09 +10 +c7 +00 +cd +00 +0e +10 +33 +10 +06 +10 +fc +00 +c9 +00 +05 +10 +b1 +00 +79 +10 +ec +00 +9a +10 +f3 +00 +40 +10 +e7 +00 +3d +10 +c0 +00 +3d +10 +9f +00 +c1 +00 +59 +00 +73 +00 +3a +00 +74 +00 +34 +00 +69 +00 +34 +00 +57 +00 +2f +00 +4e +00 +30 +00 +4f +00 +34 +00 +6a +00 +3e +00 +61 +00 +38 +00 +66 +00 +5a +00 +2c +10 +ac +00 +f0 +00 +7a +00 +bf +00 +4d +00 +89 +00 +3b +00 +54 +00 +33 +00 +50 +00 +35 +00 +65 +00 +3d +00 +5c +00 +33 +00 +5b +00 +2f +00 +58 +00 +2b +00 +45 +00 +33 +00 +4e +00 +2a +00 +68 +00 +45 +00 +64 +00 +53 +00 +66 +00 +64 +00 +7b +00 +58 +00 +96 +00 +4f +00 +75 +00 +2e +00 +51 +00 +29 +00 +4b +00 +2b +00 +51 +00 +2a +00 +48 +00 +33 +00 +4e +00 +29 +00 +56 +00 +35 +00 +47 +00 +2a +00 +4f +00 +25 +00 +4c +00 +2c +00 +48 +00 +2c +00 +3f +00 +1c +00 +46 +00 +24 +00 +46 +00 +34 +00 +87 +00 +56 +00 +ab +00 +e2 +00 +d4 +00 +3f +00 +46 +00 +35 +00 +53 +00 +32 +00 +52 +00 +27 +00 +5d +00 +30 +00 +4d +00 +57 +00 +95 +00 +eb +10 +03 +40 +e4 +20 +2f +40 +62 +10 +58 +10 +73 +00 +59 +00 +3d +00 +4c +00 +2b +00 +43 +00 +27 +00 +52 +00 +34 +00 +47 +00 +3d +00 +5a +00 +32 +00 +5b +00 +2f +00 +53 +00 +26 +00 +3e +00 +34 +00 +5a +00 +35 +00 +5c +00 +32 +00 +54 +00 +27 +00 +53 +00 +28 +00 +49 +00 +26 +00 +4b +00 +24 +00 +33 +00 +29 +00 +46 +00 +47 +00 +67 +00 +6c +00 +b5 +00 +79 +00 +d7 +00 +9a +00 +00 +10 +52 +00 +6a +00 +24 +00 +3e +00 +2c +00 +4c +00 +25 +00 +4a +00 +25 +00 +3f +00 +26 +00 +37 +00 +2e +00 +66 +00 +a4 +00 +4e +10 +7e +00 +74 +00 +2e +00 +3a +00 +26 +00 +3f +00 +26 +00 +4b +00 +25 +00 +48 +00 +21 +00 +54 +00 +30 +00 +36 +00 +1f +00 +3d +00 +1f +00 +3e +00 +23 +00 +47 +00 +2a +00 +5a +00 +3d +00 +73 +00 +47 +00 +81 +00 +55 +00 +64 +00 +38 +00 +56 +00 +25 +00 +4c +00 +28 +00 +50 +00 +2b +00 +51 +00 +2a +00 +48 +00 +2b +00 +37 +00 +28 +00 +28 +00 +23 +00 +2d +00 +24 +00 +2f +00 +23 +00 +3d +00 +21 +00 +38 +00 +24 +00 +48 +00 +2a +00 +40 +00 +28 +00 +4c +00 +29 +00 +39 +00 +27 +00 +4a +00 +34 +00 +54 +00 +46 +00 +78 +00 +40 +00 +68 +00 +45 +00 +5b +00 +59 +00 +df +00 +81 +00 +72 +00 +3e +00 +4f +00 +34 +00 +66 +00 +31 +00 +61 +00 +34 +00 +4f +00 +29 +00 +3e +00 +21 +00 +4e +00 +21 +00 +42 +00 +2a +00 +84 +00 +3f +00 +79 +00 +2c +00 +50 +00 +2c +00 +4d +00 +20 +00 +53 +00 +1f +00 +3b +00 +21 +00 +4d +00 +27 +00 +49 +00 +23 +00 +41 +00 +23 +00 +45 +00 +1b +00 +42 +00 +1f +00 +48 +00 +27 +00 +58 +00 +22 +00 +47 +00 +26 +00 +4a +00 +22 +00 +57 +00 +1a +00 +45 +00 +28 +00 +32 +00 +20 +00 +36 +00 +21 +00 +39 +00 +1c +00 +00 +00 +00 +00 +33 +00 +9a +00 +3c +00 +a7 +00 +36 +00 +aa +00 +37 +00 +9a +00 +38 +00 +a0 +00 +3a +00 +a3 +00 +3a +00 +9a +00 +44 +00 +a1 +00 +31 +00 +a0 +00 +3c +00 +95 +00 +3b +00 +a5 +00 +39 +00 +a7 +00 +3a +00 +9a +00 +32 +00 +a2 +00 +40 +00 +ad +00 +41 +00 +b0 +00 +48 +00 +eb +00 +66 +00 +0e +10 +32 +00 +75 +00 +1f +00 +5b +00 +26 +00 +68 +00 +26 +00 +5f +00 +19 +00 +6b +00 +1f +00 +6b +00 +2f +00 +b4 +00 +4f +10 +8e +40 +a0 +10 +3b +20 +4b +00 +be +00 +81 +00 +c9 +10 +47 +00 +7f +00 +29 +00 +68 +00 +1e +00 +5e +00 +20 +00 +66 +00 +28 +00 +6b +00 +26 +00 +6f +00 +2b +00 +6e +00 +34 +00 +a1 +00 +46 +00 +a7 +00 +36 +00 +c7 +00 +53 +00 +fb +00 +3b +00 +9f +00 +30 +00 +84 +00 +2f +00 +a3 +00 +3f +00 +9b +00 +36 +00 +b0 +00 +72 +00 +5a +10 +66 +00 +e1 +00 +47 +00 +c2 +00 +31 +00 +8a +00 +35 +00 +7b +00 +30 +00 +ac +00 +32 +00 +99 +00 +31 +00 +87 +00 +32 +00 +8c +00 +39 +00 +b6 +00 +78 +00 +94 +10 +58 +00 +da +00 +3a +00 +91 +00 +36 +00 +7b +00 +30 +00 +86 +00 +29 +00 +78 +00 +2b +00 +7f +00 +2c +00 +8e +00 +55 +00 +df +10 +a7 +00 +e7 +00 +2c +00 +8d +00 +36 +00 +a0 +00 +43 +00 +bb +00 +4e +00 +a7 +00 +42 +00 +b6 +00 +5c +00 +ad +10 +55 +00 +ac +00 +2b +00 +7e +00 +36 +00 +8c +00 +68 +00 +92 +10 +6f +00 +b1 +00 +2e +00 +82 +00 +31 +00 +88 +00 +52 +00 +27 +10 +55 +10 +53 +50 +ea +10 +82 +30 +8f +00 +15 +10 +43 +00 +bb +00 +31 +00 +84 +00 +35 +00 +a3 +00 +94 +00 +39 +30 +58 +10 +f2 +20 +e3 +00 +0c +30 +92 +10 +e6 +40 +fc +10 +93 +60 +76 +20 +fb +60 +ac +20 +10 +80 +ec +20 +3b +80 +a0 +20 +04 +60 +99 +20 +ad +70 +89 +10 +c6 +20 +b4 +00 +06 +20 +9a +00 +7f +20 +f5 +10 +59 +50 +e2 +00 +69 +10 +4a +00 +df +00 +9a +00 +c3 +20 +96 +00 +fd +10 +7e +00 +12 +10 +57 +00 +fb +00 +60 +00 +37 +10 +59 +00 +1a +10 +4d +00 +02 +10 +5c +00 +e3 +00 +48 +00 +c3 +00 +35 +00 +7b +00 +28 +00 +6a +00 +2e +00 +74 +00 +1f +00 +4f +00 +1f +00 +4b +00 +19 +00 +52 +00 +25 +00 +67 +00 +32 +00 +7f +00 +30 +00 +6c +00 +2d +00 +8b +00 +47 +00 +da +00 +48 +00 +ac +00 +39 +00 +7a +00 +2c +00 +74 +00 +19 +00 +5f +00 +1e +00 +56 +00 +1f +00 +55 +00 +1d +00 +60 +00 +1f +00 +55 +00 +24 +00 +57 +00 +20 +00 +4c +00 +21 +00 +5c +00 +2d +00 +93 +00 +42 +00 +a6 +00 +2d +00 +70 +00 +38 +00 +78 +00 +27 +00 +6d +00 +23 +00 +61 +00 +1f +00 +54 +00 +1e +00 +4e +00 +1d +00 +4a +00 +1b +00 +48 +00 +18 +00 +4c +00 +1d +00 +4b +00 +19 +00 +49 +00 +1a +00 +49 +00 +1e +00 +45 +00 +1f +00 +4d +00 +1d +00 +3f +00 +1a +00 +42 +00 +1e +00 +41 +00 +22 +00 +79 +00 +4c +00 +26 +10 +57 +00 +80 +00 +1f +00 +4c +00 +1a +00 +4a +00 +1e +00 +4e +00 +18 +00 +4a +00 +1b +00 +60 +00 +54 +00 +59 +30 +37 +20 +a1 +50 +5a +10 +47 +30 +6b +00 +d1 +00 +22 +00 +5a +00 +14 +00 +53 +00 +20 +00 +5d +00 +24 +00 +73 +00 +2a +00 +56 +00 +21 +00 +50 +00 +21 +00 +55 +00 +20 +00 +3e +00 +1e +00 +5e +00 +18 +00 +4a +00 +25 +00 +47 +00 +21 +00 +4e +00 +1c +00 +41 +00 +14 +00 +48 +00 +1b +00 +3f +00 +16 +00 +3c +00 +3b +00 +91 +00 +24 +00 +7f +00 +2d +00 +8d +00 +2a +00 +a6 +00 +2b +00 +79 +00 +22 +00 +45 +00 +1a +00 +47 +00 +2b +00 +51 +00 +14 +00 +37 +00 +16 +00 +39 +00 +18 +00 +3d +00 +39 +00 +62 +10 +cd +00 +39 +10 +2b +00 +56 +00 +16 +00 +3b +00 +1b +00 +57 +00 +17 +00 +4c +00 +19 +00 +4a +00 +1c +00 +4d +00 +13 +00 +34 +00 +1d +00 +4f +00 +1d +00 +48 +00 +1e +00 +4c +00 +20 +00 +56 +00 +19 +00 +62 +00 +25 +00 +6d +00 +26 +00 +68 +00 +1d +00 +4d +00 +16 +00 +50 +00 +24 +00 +4c +00 +1d +00 +4c +00 +11 +00 +39 +00 +17 +00 +23 +00 +08 +00 +29 +00 +10 +00 +31 +00 +17 +00 +2c +00 +18 +00 +38 +00 +1a +00 +42 +00 +23 +00 +41 +00 +13 +00 +48 +00 +20 +00 +3f +00 +1b +00 +43 +00 +22 +00 +5c +00 +21 +00 +79 +00 +1a +00 +56 +00 +1c +00 +55 +00 +20 +00 +aa +00 +c8 +00 +61 +10 +2a +00 +4e +00 +2b +00 +77 +00 +20 +00 +5b +00 +21 +00 +55 +00 +1f +00 +3c +00 +19 +00 +48 +00 +1a +00 +42 +00 +15 +00 +42 +00 +33 +00 +94 +00 +27 +00 +6c +00 +24 +00 +75 +00 +28 +00 +54 +00 +1a +00 +42 +00 +12 +00 +3e +00 +22 +00 +4f +00 +1b +00 +46 +00 +1c +00 +44 +00 +16 +00 +3a +00 +14 +00 +44 +00 +1a +00 +4a +00 +1f +00 +49 +00 +18 +00 +40 +00 +16 +00 +42 +00 +18 +00 +3c +00 +17 +00 +37 +00 +16 +00 +3a +00 +17 +00 +38 +00 +15 +00 +33 +00 +00 +00 +00 +00 +97 +00 +66 +00 +91 +00 +6a +00 +93 +00 +72 +00 +92 +00 +75 +00 +a1 +00 +71 +00 +a5 +00 +6f +00 +ab +00 +6b +00 +9b +00 +6f +00 +9a +00 +6b +00 +a0 +00 +62 +00 +a8 +00 +6d +00 +a3 +00 +65 +00 +b2 +00 +5f +00 +ac +00 +65 +00 +82 +00 +4b +00 +78 +00 +4f +00 +77 +00 +63 +00 +bc +00 +71 +00 +8c +00 +4a +00 +5a +00 +45 +00 +69 +00 +45 +00 +5d +00 +37 +00 +6a +00 +37 +00 +65 +00 +4c +00 +63 +00 +a3 +00 +4c +10 +b9 +10 +b3 +40 +5f +10 +6a +10 +b2 +10 +5b +30 +56 +10 +64 +10 +73 +00 +81 +00 +4d +00 +6c +00 +43 +00 +6e +00 +4e +00 +75 +00 +59 +00 +81 +00 +4d +00 +6d +00 +6a +00 +7a +00 +76 +00 +8d +00 +83 +00 +94 +00 +7d +00 +d4 +00 +85 +00 +9f +00 +62 +00 +7e +00 +56 +00 +85 +00 +6b +00 +90 +00 +5c +00 +77 +00 +6a +00 +c5 +00 +79 +00 +d5 +00 +8f +00 +9d +00 +5f +00 +84 +00 +50 +00 +80 +00 +4c +00 +88 +00 +6a +00 +99 +00 +5d +00 +86 +00 +4e +00 +77 +00 +5f +00 +b2 +00 +c9 +10 +d5 +30 +c0 +20 +53 +20 +d1 +00 +bc +00 +63 +00 +81 +00 +5d +00 +8f +00 +4b +00 +87 +00 +56 +00 +77 +00 +5d +00 +b5 +00 +c7 +00 +02 +10 +e1 +00 +7e +10 +c4 +00 +ab +00 +65 +00 +90 +00 +66 +00 +bb +00 +65 +00 +eb +00 +78 +00 +b2 +00 +69 +00 +d3 +00 +75 +00 +b8 +00 +63 +00 +84 +00 +75 +00 +8e +00 +72 +10 +57 +30 +ad +10 +e7 +10 +94 +00 +8a +00 +60 +00 +a4 +00 +a4 +00 +d5 +00 +2d +10 +e3 +20 +a4 +20 +83 +50 +bd +20 +a4 +20 +90 +10 +69 +20 +c9 +00 +9f +00 +6e +00 +96 +00 +99 +00 +07 +10 +26 +10 +a7 +20 +d0 +10 +e8 +20 +5e +30 +86 +50 +a8 +30 +58 +50 +10 +30 +a0 +40 +0a +30 +06 +50 +ca +40 +4d +80 +a2 +50 +61 +80 +01 +50 +17 +60 +d0 +30 +a2 +50 +e6 +20 +c4 +30 +4b +10 +a3 +10 +d4 +20 +18 +60 +a9 +30 +b7 +40 +c2 +10 +16 +10 +06 +10 +44 +10 +c1 +10 +ec +20 +c6 +20 +18 +30 +81 +10 +25 +10 +b3 +00 +d4 +00 +96 +00 +e7 +00 +92 +00 +b4 +00 +8f +00 +ae +00 +74 +00 +b9 +00 +63 +00 +97 +00 +55 +00 +73 +00 +37 +00 +6a +00 +34 +00 +5a +00 +2f +00 +48 +00 +37 +00 +4d +00 +40 +00 +64 +00 +3c +00 +62 +00 +3b +00 +7a +00 +40 +00 +84 +00 +51 +00 +99 +00 +4d +00 +8c +00 +53 +00 +80 +00 +40 +00 +6d +00 +2f +00 +48 +00 +2c +00 +69 +00 +33 +00 +5d +00 +30 +00 +60 +00 +33 +00 +62 +00 +32 +00 +4f +00 +2e +00 +5d +00 +2f +00 +63 +00 +3c +00 +66 +00 +ac +00 +c0 +10 +6a +00 +7c +00 +71 +00 +8d +10 +6f +00 +80 +00 +3b +00 +5f +00 +35 +00 +66 +00 +2f +00 +52 +00 +2c +00 +5a +00 +2e +00 +4f +00 +2a +00 +46 +00 +32 +00 +55 +00 +2a +00 +48 +00 +22 +00 +49 +00 +2e +00 +4e +00 +2c +00 +56 +00 +27 +00 +4d +00 +29 +00 +3e +00 +28 +00 +48 +00 +25 +00 +47 +00 +31 +00 +72 +00 +3f +00 +a0 +00 +51 +00 +61 +00 +3a +00 +46 +00 +2f +00 +55 +00 +2b +00 +57 +00 +35 +00 +4c +00 +4c +00 +9b +00 +6b +10 +9a +40 +a3 +10 +a3 +20 +13 +20 +68 +20 +e5 +00 +b9 +00 +60 +00 +4b +00 +31 +00 +54 +00 +32 +00 +5e +00 +92 +00 +57 +10 +42 +00 +61 +00 +35 +00 +53 +00 +2e +00 +43 +00 +32 +00 +53 +00 +2a +00 +4e +00 +27 +00 +51 +00 +31 +00 +4b +00 +23 +00 +47 +00 +26 +00 +49 +00 +2a +00 +3f +00 +2a +00 +3e +00 +35 +00 +9c +00 +97 +00 +7d +00 +4d +00 +67 +00 +4e +00 +6d +00 +4e +00 +5c +00 +3b +00 +4b +00 +30 +00 +38 +00 +23 +00 +4b +00 +2c +00 +51 +00 +22 +00 +4c +00 +1c +00 +38 +00 +33 +00 +60 +00 +56 +00 +e0 +00 +5d +00 +ac +00 +3f +00 +44 +00 +2a +00 +42 +00 +31 +00 +56 +00 +3a +00 +57 +00 +35 +00 +40 +00 +24 +00 +39 +00 +27 +00 +4b +00 +1d +00 +4f +00 +27 +00 +3f +00 +21 +00 +49 +00 +2a +00 +50 +00 +30 +00 +52 +00 +2e +00 +73 +00 +2c +00 +4e +00 +24 +00 +4a +00 +28 +00 +4a +00 +2a +00 +3f +00 +25 +00 +36 +00 +2b +00 +37 +00 +20 +00 +2c +00 +1d +00 +34 +00 +1e +00 +3e +00 +21 +00 +41 +00 +25 +00 +44 +00 +23 +00 +39 +00 +29 +00 +35 +00 +2c +00 +47 +00 +2f +00 +56 +00 +3c +00 +78 +00 +bd +00 +97 +00 +56 +00 +5f +00 +42 +00 +52 +00 +40 +00 +5f +00 +87 +00 +da +10 +6a +00 +96 +00 +38 +00 +5a +00 +2b +00 +4f +00 +2e +00 +46 +00 +26 +00 +4e +00 +21 +00 +43 +00 +1f +00 +3b +00 +24 +00 +36 +00 +24 +00 +50 +00 +27 +00 +58 +00 +2c +00 +5d +00 +2e +00 +82 +00 +3d +00 +54 +00 +26 +00 +4c +00 +23 +00 +45 +00 +1b +00 +47 +00 +1d +00 +46 +00 +20 +00 +3e +00 +23 +00 +43 +00 +1d +00 +40 +00 +1f +00 +4f +00 +20 +00 +3c +00 +1a +00 +41 +00 +20 +00 +3b +00 +1c +00 +37 +00 +1c +00 +38 +00 +1e +00 +42 +00 +1f +00 +36 +00 +1c +00 +00 +00 +00 +00 +34 +00 +8f +00 +39 +00 +a1 +00 +3f +00 +a4 +00 +33 +00 +a2 +00 +3f +00 +9c +00 +41 +00 +aa +00 +41 +00 +ae +00 +37 +00 +98 +00 +36 +00 +a7 +00 +42 +00 +96 +00 +36 +00 +a9 +00 +3c +00 +9b +00 +37 +00 +98 +00 +41 +00 +89 +00 +2e +00 +6b +00 +2c +00 +6e +00 +28 +00 +87 +00 +36 +00 +85 +00 +23 +00 +67 +00 +20 +00 +5f +00 +22 +00 +6a +00 +1b +00 +62 +00 +26 +00 +51 +00 +1f +00 +62 +00 +29 +00 +83 +00 +81 +00 +fc +10 +cf +00 +d6 +10 +7e +00 +c0 +20 +6c +10 +a0 +20 +64 +00 +b5 +00 +2d +00 +82 +00 +31 +00 +7e +00 +23 +00 +7b +00 +33 +00 +99 +00 +2d +00 +89 +00 +35 +00 +99 +00 +40 +00 +9f +00 +2c +00 +72 +00 +29 +00 +9e +00 +2d +00 +92 +00 +34 +00 +83 +00 +2b +00 +81 +00 +2b +00 +82 +00 +2c +00 +79 +00 +26 +00 +7f +00 +3d +00 +bc +00 +31 +00 +94 +00 +2e +00 +7e +00 +2f +00 +7f +00 +36 +00 +91 +00 +2c +00 +a1 +00 +31 +00 +71 +00 +30 +00 +86 +00 +30 +00 +8e +00 +73 +00 +10 +30 +e4 +10 +bd +40 +42 +10 +1e +20 +4c +00 +a1 +00 +4b +00 +f3 +00 +39 +00 +89 +00 +29 +00 +72 +00 +2e +00 +77 +00 +58 +00 +af +10 +ba +00 +cd +10 +83 +00 +19 +10 +3f +00 +a6 +00 +39 +00 +97 +00 +3f +00 +b1 +00 +4c +00 +df +00 +3d +00 +a4 +00 +3f +00 +a1 +00 +32 +00 +7f +00 +2c +00 +95 +00 +95 +00 +ec +20 +7e +10 +b1 +20 +77 +00 +e7 +00 +3e +00 +a4 +00 +3e +00 +ed +00 +cc +00 +99 +20 +00 +10 +cb +30 +49 +10 +39 +40 +a2 +10 +b0 +20 +cd +00 +be +10 +37 +00 +95 +00 +46 +00 +ae +00 +51 +00 +47 +10 +8d +00 +04 +20 +1b +10 +cd +30 +e9 +10 +23 +60 +9d +10 +66 +30 +c5 +00 +90 +20 +8a +10 +96 +60 +f2 +20 +db +80 +c9 +20 +76 +70 +5b +20 +89 +50 +8c +10 +16 +50 +35 +10 +0e +20 +ad +00 +df +30 +81 +20 +63 +70 +20 +20 +98 +30 +a2 +00 +ca +10 +94 +00 +87 +20 +f1 +10 +30 +60 +b9 +10 +9d +30 +74 +00 +e6 +00 +37 +00 +b8 +00 +37 +00 +93 +00 +2e +00 +8d +00 +36 +00 +98 +00 +36 +00 +9d +00 +2b +00 +7c +00 +22 +00 +65 +00 +29 +00 +4d +00 +22 +00 +4f +00 +1c +00 +4c +00 +24 +00 +62 +00 +1e +00 +5a +00 +31 +00 +64 +00 +2d +00 +83 +00 +30 +00 +84 +00 +2e +00 +78 +00 +32 +00 +82 +00 +2b +00 +68 +00 +1e +00 +5c +00 +1d +00 +4d +00 +28 +00 +67 +00 +29 +00 +60 +00 +2f +00 +60 +00 +21 +00 +53 +00 +18 +00 +4f +00 +29 +00 +6b +00 +2d +00 +69 +00 +27 +00 +b2 +00 +6f +00 +f9 +00 +28 +00 +6e +00 +61 +00 +b9 +00 +23 +00 +5e +00 +22 +00 +59 +00 +25 +00 +59 +00 +18 +00 +62 +00 +18 +00 +57 +00 +21 +00 +59 +00 +25 +00 +63 +00 +1c +00 +46 +00 +1b +00 +44 +00 +1f +00 +44 +00 +19 +00 +54 +00 +1c +00 +4f +00 +22 +00 +41 +00 +18 +00 +45 +00 +26 +00 +4d +00 +1f +00 +56 +00 +25 +00 +6c +00 +27 +00 +67 +00 +1e +00 +59 +00 +1f +00 +47 +00 +23 +00 +4d +00 +1c +00 +51 +00 +17 +00 +54 +00 +32 +00 +2f +10 +a8 +00 +ce +10 +9c +00 +3d +20 +89 +00 +84 +10 +9b +00 +a1 +00 +28 +00 +5f +00 +28 +00 +52 +00 +29 +00 +e2 +00 +42 +00 +93 +00 +24 +00 +70 +00 +2e +00 +62 +00 +20 +00 +55 +00 +1e +00 +48 +00 +1a +00 +4f +00 +19 +00 +49 +00 +22 +00 +4e +00 +1a +00 +4b +00 +1c +00 +52 +00 +24 +00 +48 +00 +1b +00 +4a +00 +2a +00 +86 +00 +33 +00 +72 +00 +1f +00 +64 +00 +23 +00 +60 +00 +1f +00 +57 +00 +21 +00 +4a +00 +15 +00 +40 +00 +1f +00 +47 +00 +22 +00 +4c +00 +19 +00 +41 +00 +1c +00 +5c +00 +40 +00 +82 +00 +2b +00 +7d +00 +2a +00 +54 +00 +20 +00 +49 +00 +1e +00 +46 +00 +22 +00 +77 +00 +5c +00 +7e +00 +15 +00 +36 +00 +11 +00 +40 +00 +1b +00 +4e +00 +1b +00 +38 +00 +1e +00 +4a +00 +20 +00 +42 +00 +18 +00 +45 +00 +18 +00 +45 +00 +24 +00 +55 +00 +18 +00 +41 +00 +1a +00 +40 +00 +22 +00 +48 +00 +1c +00 +2d +00 +19 +00 +35 +00 +16 +00 +2f +00 +15 +00 +38 +00 +18 +00 +30 +00 +18 +00 +37 +00 +18 +00 +45 +00 +17 +00 +40 +00 +1b +00 +49 +00 +26 +00 +48 +00 +1c +00 +53 +00 +1e +00 +5b +00 +88 +00 +bc +10 +55 +00 +84 +00 +33 +00 +71 +00 +2b +00 +59 +00 +25 +00 +98 +00 +52 +00 +9b +00 +2e +00 +65 +00 +23 +00 +43 +00 +1d +00 +42 +00 +20 +00 +4a +00 +1a +00 +43 +00 +19 +00 +43 +00 +14 +00 +3d +00 +18 +00 +46 +00 +1a +00 +47 +00 +1e +00 +4a +00 +26 +00 +75 +00 +4b +00 +8d +00 +22 +00 +4c +00 +1f +00 +4b +00 +1b +00 +3d +00 +1e +00 +40 +00 +17 +00 +44 +00 +27 +00 +48 +00 +20 +00 +42 +00 +1e +00 +46 +00 +1b +00 +41 +00 +21 +00 +37 +00 +1f +00 +41 +00 +16 +00 +3e +00 +1b +00 +38 +00 +17 +00 +3c +00 +1f +00 +38 +00 +11 +00 +33 +00 +00 +00 +00 +00 +98 +00 +60 +00 +95 +00 +6e +00 +a1 +00 +6f +00 +a1 +00 +64 +00 +9a +00 +60 +00 +95 +00 +5b +00 +9e +00 +71 +00 +a1 +00 +6a +00 +b5 +00 +66 +00 +a4 +00 +66 +00 +8e +00 +71 +00 +94 +00 +6e +00 +9a +00 +6e +00 +9d +00 +59 +00 +79 +00 +3e +00 +5d +00 +3e +00 +63 +00 +57 +00 +80 +00 +65 +00 +81 +00 +38 +00 +58 +00 +3c +00 +62 +00 +50 +00 +68 +00 +43 +00 +6d +00 +3c +00 +5f +00 +3e +00 +67 +00 +70 +00 +de +00 +b1 +00 +39 +10 +b4 +00 +df +00 +c5 +00 +c1 +10 +df +00 +1a +10 +68 +00 +86 +00 +83 +00 +74 +10 +53 +00 +64 +00 +55 +00 +48 +10 +8f +00 +b6 +00 +74 +00 +f9 +00 +35 +10 +b7 +10 +98 +00 +7f +00 +4d +00 +6e +00 +69 +00 +8c +00 +b1 +00 +bf +00 +88 +00 +91 +00 +5e +00 +7c +00 +58 +00 +7b +00 +48 +00 +77 +00 +58 +00 +99 +00 +6d +00 +9b +00 +49 +00 +6f +00 +4a +00 +7b +00 +58 +00 +7c +00 +6b +00 +c6 +00 +80 +00 +88 +00 +57 +00 +6a +00 +4c +00 +90 +00 +77 +00 +d6 +00 +64 +10 +b2 +20 +28 +20 +d1 +30 +31 +10 +17 +10 +72 +00 +b4 +00 +6a +00 +be +00 +60 +00 +7f +00 +56 +00 +6b +00 +50 +00 +95 +00 +b8 +00 +61 +20 +c0 +10 +d7 +20 +46 +10 +56 +10 +95 +00 +b3 +00 +55 +00 +91 +00 +4d +00 +95 +00 +5b +00 +89 +00 +56 +00 +7f +00 +6a +00 +87 +00 +6d +00 +86 +00 +ae +00 +c1 +10 +8b +10 +a9 +20 +fe +00 +2d +10 +a4 +00 +d0 +00 +f7 +00 +56 +10 +36 +20 +8d +40 +58 +20 +6e +20 +e2 +20 +79 +50 +ac +30 +01 +60 +ac +20 +a5 +20 +10 +10 +fd +00 +87 +00 +84 +00 +7c +00 +b3 +00 +ff +00 +93 +10 +41 +30 +71 +50 +ea +30 +06 +50 +50 +30 +15 +40 +5a +20 +20 +20 +28 +30 +6a +40 +fc +30 +37 +80 +17 +50 +15 +80 +f8 +30 +7d +40 +87 +20 +63 +40 +1a +20 +67 +20 +36 +10 +73 +10 +d5 +10 +07 +50 +11 +30 +23 +50 +06 +20 +02 +40 +ca +20 +eb +30 +d1 +30 +72 +60 +e0 +30 +32 +60 +f3 +10 +d4 +10 +c2 +00 +b0 +00 +72 +00 +89 +00 +5c +00 +76 +00 +51 +00 +7f +00 +52 +00 +89 +00 +54 +00 +6f +00 +43 +00 +60 +00 +41 +00 +5b +00 +30 +00 +58 +00 +2e +00 +4e +00 +2a +00 +5a +00 +34 +00 +70 +00 +3a +00 +63 +00 +35 +00 +6f +00 +48 +00 +7b +00 +3e +00 +71 +00 +3c +00 +63 +00 +3f +00 +63 +00 +37 +00 +7c +00 +3d +00 +68 +00 +35 +00 +4c +00 +30 +00 +57 +00 +2c +00 +53 +00 +2b +00 +61 +00 +46 +00 +63 +00 +31 +00 +48 +00 +35 +00 +6a +00 +3b +00 +5f +00 +48 +00 +8a +00 +50 +00 +7f +00 +43 +00 +6b +00 +3c +00 +6c +00 +35 +00 +4a +00 +30 +00 +57 +00 +2b +00 +54 +00 +2a +00 +5a +00 +28 +00 +52 +00 +2a +00 +58 +00 +2b +00 +4f +00 +29 +00 +4a +00 +24 +00 +4b +00 +29 +00 +4c +00 +23 +00 +52 +00 +29 +00 +54 +00 +30 +00 +44 +00 +2a +00 +47 +00 +24 +00 +44 +00 +2d +00 +5b +00 +3e +00 +6f +00 +35 +00 +5e +00 +29 +00 +52 +00 +25 +00 +43 +00 +21 +00 +4e +00 +34 +00 +52 +00 +73 +00 +c8 +00 +ce +00 +03 +10 +b2 +00 +2e +10 +e8 +00 +16 +10 +be +00 +f8 +00 +5f +00 +77 +00 +3f +00 +50 +00 +35 +00 +5b +00 +40 +00 +69 +00 +42 +00 +71 +00 +3e +00 +6a +00 +89 +00 +1d +10 +68 +00 +65 +00 +40 +00 +4b +00 +37 +00 +4c +00 +3b +00 +51 +00 +34 +00 +4b +00 +2b +00 +82 +00 +33 +00 +47 +00 +2c +00 +3d +00 +2b +00 +57 +00 +39 +00 +66 +00 +44 +00 +5d +00 +45 +00 +4f +00 +36 +00 +4c +00 +33 +00 +3d +00 +34 +00 +40 +00 +23 +00 +4f +00 +24 +00 +41 +00 +2a +00 +48 +00 +21 +00 +3d +00 +40 +00 +82 +00 +40 +00 +6d +00 +44 +00 +58 +00 +3c +00 +47 +00 +5f +00 +b0 +00 +2d +00 +59 +00 +5b +00 +af +10 +54 +00 +5c +00 +2f +00 +3d +00 +2a +00 +3d +00 +26 +00 +3f +00 +24 +00 +5a +00 +2b +00 +4f +00 +25 +00 +3e +00 +29 +00 +47 +00 +2a +00 +44 +00 +22 +00 +35 +00 +33 +00 +8d +00 +4d +00 +53 +00 +2d +00 +42 +00 +21 +00 +39 +00 +1e +00 +32 +00 +1e +00 +3b +00 +28 +00 +35 +00 +2c +00 +42 +00 +38 +00 +3e +00 +30 +00 +3f +00 +2a +00 +35 +00 +37 +00 +54 +00 +6b +00 +60 +00 +43 +00 +54 +00 +5b +00 +c0 +10 +25 +10 +1d +10 +6c +00 +c1 +00 +b5 +00 +0c +10 +56 +00 +6f +00 +51 +00 +83 +00 +48 +00 +8a +00 +35 +00 +50 +00 +22 +00 +49 +00 +25 +00 +45 +00 +2d +00 +47 +00 +1e +00 +44 +00 +2a +00 +34 +00 +26 +00 +46 +00 +32 +00 +4a +00 +2f +00 +42 +00 +28 +00 +51 +00 +2b +00 +90 +00 +3b +00 +5a +00 +2d +00 +54 +00 +21 +00 +41 +00 +1f +00 +37 +00 +23 +00 +41 +00 +1f +00 +3e +00 +1c +00 +3d +00 +1d +00 +41 +00 +1e +00 +3c +00 +1a +00 +37 +00 +1c +00 +39 +00 +1e +00 +35 +00 +23 +00 +40 +00 +25 +00 +42 +00 +27 +00 +46 +00 +22 +00 +3d +00 +19 +00 +00 +00 +00 +00 +39 +00 +8e +00 +2d +00 +9b +00 +33 +00 +9d +00 +3c +00 +a1 +00 +37 +00 +a6 +00 +3c +00 +a3 +00 +37 +00 +9a +00 +2f +00 +9d +00 +33 +00 +93 +00 +3c +00 +a0 +00 +43 +00 +9f +00 +38 +00 +9d +00 +35 +00 +a0 +00 +32 +00 +88 +00 +21 +00 +6b +00 +1f +00 +5d +00 +21 +00 +66 +00 +26 +00 +af +00 +40 +00 +74 +00 +24 +00 +56 +00 +23 +00 +66 +00 +1f +00 +67 +00 +20 +00 +62 +00 +26 +00 +5c +00 +22 +00 +72 +00 +30 +00 +e2 +00 +43 +00 +c8 +00 +4d +00 +df +00 +5c +00 +09 +10 +3e +00 +9b +00 +32 +00 +a1 +00 +49 +00 +d0 +00 +2f +00 +7b +00 +3d +00 +b8 +00 +3c +00 +a6 +00 +6f +00 +4f +20 +bc +00 +29 +10 +2b +00 +6d +00 +30 +00 +78 +00 +3a +00 +28 +10 +af +00 +19 +10 +36 +00 +7f +00 +2d +00 +73 +00 +24 +00 +6f +00 +2d +00 +6d +00 +2e +00 +7d +00 +2b +00 +7d +00 +2b +00 +7a +00 +2b +00 +77 +00 +37 +00 +86 +00 +bf +00 +aa +10 +3d +00 +78 +00 +2c +00 +73 +00 +3c +00 +96 +00 +51 +00 +5b +10 +0d +10 +7d +40 +3b +10 +ed +10 +4f +00 +ad +00 +39 +00 +a8 +00 +42 +00 +a3 +00 +34 +00 +93 +00 +29 +00 +7e +00 +3a +00 +b9 +00 +7e +00 +6a +20 +1b +10 +3d +20 +be +00 +34 +10 +4f +00 +99 +00 +33 +00 +82 +00 +36 +00 +80 +00 +28 +00 +7b +00 +24 +00 +a2 +00 +42 +00 +89 +00 +37 +00 +a5 +00 +84 +00 +ca +10 +86 +00 +5c +10 +46 +00 +c6 +00 +af +00 +b0 +20 +6e +10 +92 +40 +9a +10 +34 +30 +68 +10 +a4 +50 +fe +10 +ae +50 +77 +20 +3a +50 +4e +10 +15 +20 +44 +00 +a3 +00 +3a +00 +a9 +00 +45 +00 +da +00 +19 +10 +86 +50 +a2 +20 +71 +70 +62 +20 +a0 +40 +e0 +00 +55 +20 +0c +10 +ce +40 +f4 +10 +f5 +40 +1a +20 +8e +70 +28 +20 +64 +40 +e1 +00 +63 +20 +e8 +00 +00 +30 +9f +00 +74 +10 +78 +00 +aa +10 +3e +10 +b0 +40 +0c +10 +49 +20 +69 +10 +dc +40 +2e +20 +aa +60 +a8 +20 +f5 +60 +60 +10 +9f +20 +64 +00 +f9 +00 +34 +00 +88 +00 +37 +00 +7e +00 +38 +00 +7e +00 +24 +00 +7b +00 +26 +00 +90 +00 +2b +00 +66 +00 +25 +00 +57 +00 +18 +00 +51 +00 +1d +00 +4d +00 +25 +00 +66 +00 +22 +00 +63 +00 +28 +00 +5a +00 +29 +00 +7c +00 +37 +00 +7a +00 +23 +00 +6c +00 +28 +00 +5f +00 +21 +00 +55 +00 +22 +00 +70 +00 +2a +00 +63 +00 +28 +00 +57 +00 +20 +00 +54 +00 +28 +00 +5f +00 +22 +00 +5c +00 +2a +00 +bb +00 +3c +00 +69 +00 +20 +00 +5b +00 +26 +00 +63 +00 +2c +00 +65 +00 +1f +00 +70 +00 +22 +00 +68 +00 +27 +00 +60 +00 +21 +00 +5b +00 +1c +00 +4f +00 +1f +00 +58 +00 +1f +00 +5b +00 +1e +00 +5e +00 +21 +00 +5a +00 +23 +00 +5b +00 +24 +00 +53 +00 +1b +00 +4b +00 +1f +00 +47 +00 +20 +00 +3f +00 +1c +00 +5c +00 +1d +00 +57 +00 +1c +00 +45 +00 +18 +00 +3e +00 +1c +00 +50 +00 +20 +00 +5d +00 +1f +00 +58 +00 +23 +00 +5b +00 +1c +00 +4e +00 +15 +00 +4a +00 +1f +00 +6d +00 +8f +00 +6d +10 +45 +00 +e3 +00 +46 +00 +de +00 +3f +00 +de +00 +c5 +00 +3c +10 +34 +00 +8b +00 +1e +00 +59 +00 +22 +00 +4f +00 +21 +00 +72 +00 +22 +00 +75 +00 +26 +00 +66 +00 +39 +00 +e8 +00 +bd +00 +f3 +00 +2a +00 +68 +00 +29 +00 +61 +00 +2b +00 +63 +00 +2e +00 +61 +00 +1f +00 +3c +00 +25 +00 +4b +00 +18 +00 +4f +00 +16 +00 +47 +00 +21 +00 +5a +00 +1d +00 +57 +00 +1f +00 +84 +00 +26 +00 +6a +00 +21 +00 +4e +00 +18 +00 +4b +00 +1e +00 +46 +00 +1f +00 +44 +00 +1b +00 +41 +00 +16 +00 +34 +00 +1d +00 +41 +00 +26 +00 +63 +00 +24 +00 +58 +00 +1a +00 +43 +00 +1a +00 +76 +00 +40 +00 +6f +00 +21 +00 +77 +00 +3c +00 +87 +00 +28 +00 +4c +00 +19 +00 +38 +00 +1e +00 +43 +00 +1b +00 +47 +00 +22 +00 +58 +00 +1c +00 +48 +00 +18 +00 +4a +00 +1a +00 +4d +00 +1a +00 +48 +00 +22 +00 +41 +00 +51 +00 +ec +00 +24 +00 +4e +00 +17 +00 +27 +00 +10 +00 +3a +00 +14 +00 +33 +00 +11 +00 +46 +00 +1a +00 +56 +00 +30 +00 +6e +00 +26 +00 +55 +00 +1b +00 +3f +00 +26 +00 +57 +00 +43 +00 +78 +10 +47 +00 +70 +00 +18 +00 +63 +00 +72 +00 +3b +10 +4d +00 +a0 +00 +36 +00 +e0 +00 +55 +00 +c1 +00 +2f +00 +77 +00 +26 +00 +98 +00 +27 +00 +58 +00 +19 +00 +45 +00 +1c +00 +3b +00 +1b +00 +50 +00 +16 +00 +3f +00 +1b +00 +41 +00 +11 +00 +3a +00 +1c +00 +65 +00 +2d +00 +49 +00 +1e +00 +4c +00 +21 +00 +6f +00 +32 +00 +78 +00 +27 +00 +51 +00 +20 +00 +53 +00 +1a +00 +42 +00 +1a +00 +38 +00 +1d +00 +41 +00 +19 +00 +38 +00 +1a +00 +39 +00 +1b +00 +38 +00 +1f +00 +43 +00 +14 +00 +3d +00 +19 +00 +41 +00 +16 +00 +3a +00 +1e +00 +54 +00 +1c +00 +46 +00 +16 +00 +37 +00 +15 +00 +36 +00 +00 +00 +00 +00 +8e +00 +5c +00 +97 +00 +70 +00 +9a +00 +6f +00 +9d +00 +6e +00 +ab +00 +6a +00 +97 +00 +6e +00 +a6 +00 +63 +00 +97 +00 +6d +00 +a5 +00 +69 +00 +9a +00 +68 +00 +9a +00 +6a +00 +94 +00 +66 +00 +94 +00 +60 +00 +93 +00 +51 +00 +6c +00 +3a +00 +4e +00 +3b +00 +5d +00 +48 +00 +6d +00 +49 +00 +70 +00 +44 +00 +5b +00 +3f +00 +59 +00 +3b +00 +56 +00 +41 +00 +61 +00 +41 +00 +5c +00 +50 +00 +65 +00 +8e +00 +a1 +00 +b0 +00 +d3 +00 +e4 +00 +cd +00 +d2 +00 +f8 +00 +b8 +00 +9e +00 +63 +00 +77 +00 +55 +00 +7c +00 +4e +00 +79 +00 +5a +00 +7d +00 +5e +00 +93 +00 +7d +00 +b5 +00 +95 +00 +f4 +00 +8f +00 +98 +00 +53 +00 +76 +00 +68 +00 +92 +00 +73 +10 +99 +30 +46 +10 +09 +10 +8e +00 +86 +00 +5a +00 +7e +00 +4a +00 +76 +00 +44 +00 +7e +00 +4b +00 +81 +00 +57 +00 +75 +00 +4f +00 +80 +00 +4e +00 +82 +00 +79 +00 +99 +10 +ba +00 +ed +00 +58 +00 +85 +00 +49 +00 +7b +00 +8f +00 +5c +10 +2f +20 +8e +40 +09 +20 +70 +20 +0d +10 +ef +00 +7a +00 +ad +00 +bf +00 +76 +10 +d9 +00 +ec +00 +4d +00 +61 +00 +52 +00 +8c +00 +70 +00 +ce +00 +9c +00 +26 +10 +bf +00 +f1 +00 +78 +00 +9c +00 +52 +00 +7b +00 +44 +00 +67 +00 +4f +00 +6c +00 +87 +00 +aa +00 +e5 +00 +9d +10 +a8 +00 +92 +00 +c1 +00 +45 +10 +f8 +00 +42 +10 +be +00 +ca +00 +91 +00 +36 +10 +72 +10 +39 +40 +da +20 +a9 +40 +6c +20 +eb +30 +6a +30 +8b +40 +13 +30 +c9 +60 +95 +30 +5b +50 +0a +20 +54 +10 +ae +00 +8e +00 +6d +00 +a1 +00 +c2 +00 +bb +10 +ea +20 +29 +70 +7c +40 +7d +70 +ea +30 +d1 +30 +4a +30 +e3 +30 +51 +20 +a0 +30 +7c +20 +00 +40 +90 +30 +d8 +40 +46 +20 +fb +10 +8f +10 +c6 +10 +23 +10 +83 +10 +f7 +00 +21 +10 +2f +10 +51 +20 +03 +20 +e6 +20 +af +10 +b2 +10 +f7 +10 +07 +60 +87 +30 +4a +60 +83 +20 +24 +30 +6d +10 +42 +10 +a0 +00 +aa +00 +65 +00 +a9 +00 +50 +00 +7c +00 +47 +00 +6d +00 +45 +00 +81 +00 +3e +00 +77 +00 +3f +00 +71 +00 +36 +00 +50 +00 +39 +00 +46 +00 +37 +00 +6b +00 +30 +00 +64 +00 +3b +00 +5e +00 +47 +00 +97 +00 +83 +00 +8f +00 +50 +00 +7b +00 +40 +00 +5e +00 +36 +00 +50 +00 +24 +00 +68 +00 +3d +00 +61 +00 +31 +00 +57 +00 +3b +00 +57 +00 +40 +00 +5d +00 +3c +00 +56 +00 +3a +00 +57 +00 +3c +00 +8f +00 +68 +00 +81 +00 +2c +00 +5a +00 +3f +00 +73 +00 +42 +00 +5f +00 +3d +00 +5a +00 +3f +00 +5e +00 +4d +00 +61 +00 +56 +00 +63 +00 +45 +00 +5d +00 +39 +00 +51 +00 +2e +00 +51 +00 +29 +00 +56 +00 +29 +00 +4c +00 +2b +00 +56 +00 +31 +00 +5b +00 +2c +00 +49 +00 +28 +00 +3f +00 +23 +00 +4c +00 +2a +00 +5b +00 +2e +00 +57 +00 +25 +00 +45 +00 +21 +00 +47 +00 +38 +00 +46 +00 +30 +00 +55 +00 +2a +00 +53 +00 +2d +00 +4b +00 +28 +00 +45 +00 +24 +00 +4c +00 +57 +00 +12 +10 +d0 +00 +62 +10 +a5 +00 +a1 +00 +9f +00 +92 +00 +9a +00 +72 +10 +87 +00 +b6 +00 +52 +00 +6f +00 +34 +00 +5c +00 +63 +00 +c9 +00 +c6 +00 +a7 +00 +5b +00 +58 +00 +4d +00 +d6 +00 +f1 +00 +20 +30 +3e +10 +25 +10 +6f +00 +65 +00 +6e +00 +0e +10 +60 +00 +f5 +00 +43 +00 +5d +00 +2e +00 +4b +00 +40 +00 +53 +00 +3a +00 +47 +00 +37 +00 +5d +00 +55 +00 +53 +00 +54 +00 +63 +00 +bf +00 +e0 +10 +59 +00 +54 +00 +3f +00 +86 +00 +44 +00 +4c +00 +2f +00 +45 +00 +26 +00 +3e +00 +25 +00 +34 +00 +22 +00 +3f +00 +2a +00 +53 +00 +2e +00 +4e +00 +39 +00 +4d +00 +2f +00 +46 +00 +2b +00 +65 +00 +39 +00 +62 +00 +6d +00 +10 +10 +92 +00 +78 +00 +3f +00 +43 +00 +22 +00 +3e +00 +25 +00 +43 +00 +28 +00 +4b +00 +29 +00 +54 +00 +27 +00 +45 +00 +23 +00 +47 +00 +2b +00 +48 +00 +26 +00 +4d +00 +28 +00 +69 +00 +3f +00 +91 +00 +33 +00 +3a +00 +20 +00 +2e +00 +1a +00 +3b +00 +21 +00 +3a +00 +38 +00 +82 +00 +b5 +00 +45 +10 +1b +10 +99 +00 +5b +00 +53 +00 +68 +00 +67 +00 +8f +00 +d8 +10 +3d +10 +25 +10 +6e +00 +5c +00 +5b +00 +a6 +00 +4d +00 +96 +00 +60 +00 +95 +00 +6b +00 +bb +00 +62 +00 +ef +00 +47 +00 +65 +00 +3b +00 +5e +00 +2c +00 +4a +00 +23 +00 +3d +00 +1c +00 +3f +00 +1a +00 +44 +00 +1f +00 +47 +00 +18 +00 +42 +00 +2d +00 +95 +00 +65 +00 +16 +10 +44 +00 +4f +00 +2f +00 +69 +00 +30 +00 +73 +00 +38 +00 +71 +00 +31 +00 +50 +00 +26 +00 +41 +00 +20 +00 +45 +00 +19 +00 +43 +00 +1b +00 +38 +00 +1d +00 +40 +00 +25 +00 +4b +00 +1e +00 +40 +00 +1c +00 +3e +00 +1d +00 +40 +00 +23 +00 +3f +00 +20 +00 +4a +00 +24 +00 +44 +00 +25 +00 +3d +00 +21 +00 +45 +00 +1e +00 +00 +00 +00 +00 +39 +00 +a7 +00 +39 +00 +a8 +00 +41 +00 +9a +00 +3e +00 +97 +00 +2d +00 +a6 +00 +2c +00 +a8 +00 +3a +00 +9a +00 +33 +00 +a8 +00 +3a +00 +9e +00 +35 +00 +9c +00 +37 +00 +94 +00 +3a +00 +92 +00 +36 +00 +87 +00 +32 +00 +77 +00 +22 +00 +4c +00 +1e +00 +60 +00 +26 +00 +5e +00 +25 +00 +79 +00 +27 +00 +5f +00 +19 +00 +51 +00 +22 +00 +5c +00 +1f +00 +64 +00 +29 +00 +70 +00 +21 +00 +62 +00 +2e +00 +a0 +00 +7a +00 +42 +10 +5c +00 +e5 +10 +d4 +00 +7c +10 +5a +00 +ec +00 +31 +00 +84 +00 +2c +00 +78 +00 +37 +00 +7d +00 +28 +00 +6a +00 +31 +00 +89 +00 +7c +00 +6b +10 +45 +00 +ad +00 +40 +00 +aa +00 +28 +00 +7e +00 +33 +00 +77 +00 +4b +00 +a2 +10 +7e +10 +a7 +30 +a8 +00 +06 +10 +3a +00 +83 +00 +2b +00 +6f +00 +2c +00 +6e +00 +28 +00 +80 +00 +2a +00 +79 +00 +30 +00 +8e +00 +2e +00 +6d +00 +2a +00 +8f +00 +5e +00 +da +00 +38 +00 +89 +00 +2e +00 +76 +00 +35 +00 +a3 +00 +b0 +00 +08 +40 +82 +10 +64 +30 +ea +00 +a0 +10 +41 +00 +ab +00 +6e +00 +9b +10 +f6 +00 +e2 +10 +47 +00 +99 +00 +2d +00 +71 +00 +2c +00 +94 +00 +38 +00 +cb +00 +48 +00 +ed +00 +33 +00 +aa +00 +3d +00 +88 +00 +2a +00 +6e +00 +2c +00 +64 +00 +2f +00 +da +00 +b2 +00 +ee +10 +e1 +00 +53 +10 +4a +00 +03 +10 +a3 +00 +ec +10 +5a +00 +dd +00 +35 +00 +b5 +00 +4e +00 +3d +10 +20 +10 +34 +50 +1f +20 +c5 +30 +66 +10 +45 +50 +21 +10 +e3 +20 +03 +20 +76 +60 +00 +20 +3a +40 +70 +00 +d4 +00 +32 +00 +8f +00 +3d +00 +b5 +00 +6e +00 +3e +20 +3d +20 +aa +70 +9c +20 +5e +70 +55 +20 +ec +50 +41 +10 +72 +20 +a2 +00 +41 +20 +45 +10 +bb +40 +22 +10 +7b +20 +79 +00 +61 +10 +99 +00 +b8 +10 +66 +00 +06 +10 +54 +00 +24 +10 +ab +00 +47 +30 +a6 +00 +de +10 +a9 +00 +46 +20 +84 +10 +9f +40 +37 +10 +b1 +30 +ca +00 +bf +10 +56 +00 +c5 +00 +42 +00 +9e +00 +41 +00 +8f +00 +34 +00 +75 +00 +27 +00 +76 +00 +2c +00 +73 +00 +29 +00 +68 +00 +1f +00 +57 +00 +25 +00 +46 +00 +24 +00 +5c +00 +21 +00 +69 +00 +20 +00 +6b +00 +25 +00 +6e +00 +5e +00 +88 +10 +63 +00 +28 +10 +30 +00 +6b +00 +25 +00 +4e +00 +21 +00 +62 +00 +23 +00 +66 +00 +26 +00 +57 +00 +25 +00 +59 +00 +29 +00 +5a +00 +2b +00 +6c +00 +28 +00 +5d +00 +28 +00 +6c +00 +2a +00 +77 +00 +21 +00 +69 +00 +1b +00 +51 +00 +26 +00 +62 +00 +1f +00 +58 +00 +25 +00 +5e +00 +23 +00 +7c +00 +88 +00 +27 +10 +2d +00 +6e +00 +24 +00 +52 +00 +20 +00 +58 +00 +21 +00 +5a +00 +20 +00 +50 +00 +24 +00 +4f +00 +23 +00 +5d +00 +1d +00 +45 +00 +15 +00 +47 +00 +1c +00 +4a +00 +1c +00 +4d +00 +1d +00 +57 +00 +1c +00 +45 +00 +15 +00 +48 +00 +20 +00 +3e +00 +1d +00 +4f +00 +1b +00 +46 +00 +21 +00 +49 +00 +1d +00 +4e +00 +20 +00 +52 +00 +1e +00 +5f +00 +31 +00 +af +00 +58 +00 +fc +00 +7d +00 +c3 +00 +2a +00 +85 +00 +40 +00 +a3 +00 +3a +00 +80 +00 +2c +00 +55 +00 +22 +00 +8f +00 +df +00 +b5 +20 +53 +00 +7d +00 +1f +00 +58 +00 +34 +00 +f8 +00 +ff +00 +05 +30 +cd +00 +eb +00 +29 +00 +71 +00 +31 +00 +9d +00 +40 +00 +75 +00 +23 +00 +4c +00 +1d +00 +79 +00 +4c +00 +5e +00 +1b +00 +59 +00 +33 +00 +a0 +00 +59 +00 +93 +00 +23 +00 +af +00 +6b +00 +bf +00 +24 +00 +58 +00 +24 +00 +5e +00 +23 +00 +41 +00 +15 +00 +52 +00 +1b +00 +43 +00 +12 +00 +34 +00 +1d +00 +4d +00 +28 +00 +56 +00 +1d +00 +48 +00 +22 +00 +43 +00 +15 +00 +53 +00 +1c +00 +5b +00 +20 +00 +88 +00 +b3 +00 +3b +10 +2f +00 +54 +00 +1b +00 +3d +00 +18 +00 +47 +00 +21 +00 +53 +00 +26 +00 +56 +00 +22 +00 +52 +00 +1e +00 +56 +00 +1c +00 +4e +00 +1f +00 +46 +00 +18 +00 +51 +00 +26 +00 +51 +00 +1f +00 +48 +00 +11 +00 +2d +00 +12 +00 +2a +00 +0f +00 +33 +00 +18 +00 +42 +00 +4a +00 +49 +10 +29 +10 +61 +30 +60 +00 +92 +00 +31 +00 +07 +10 +9c +00 +fa +00 +f5 +00 +6f +20 +71 +00 +f7 +00 +29 +00 +60 +00 +2f +00 +87 +00 +30 +00 +8e +00 +38 +00 +9b +00 +37 +00 +9e +00 +25 +00 +62 +00 +22 +00 +4d +00 +24 +00 +58 +00 +1d +00 +48 +00 +1f +00 +44 +00 +11 +00 +37 +00 +12 +00 +43 +00 +1a +00 +43 +00 +19 +00 +3f +00 +2b +00 +91 +00 +3d +00 +7f +00 +26 +00 +6d +00 +29 +00 +68 +00 +34 +00 +8a +00 +2d +00 +60 +00 +20 +00 +57 +00 +1a +00 +3a +00 +16 +00 +39 +00 +16 +00 +40 +00 +19 +00 +35 +00 +19 +00 +4d +00 +1a +00 +3e +00 +1a +00 +36 +00 +18 +00 +3e +00 +14 +00 +39 +00 +19 +00 +40 +00 +16 +00 +4e +00 +20 +00 +41 +00 +18 +00 +46 +00 +1f +00 +51 +00 +00 +00 +00 +00 +89 +00 +6f +00 +a3 +00 +68 +00 +af +00 +6c +00 +9f +00 +60 +00 +9e +00 +6b +00 +a7 +00 +66 +00 +a1 +00 +70 +00 +98 +00 +6d +00 +a7 +00 +7a +00 +b0 +00 +73 +00 +9d +00 +6c +00 +a1 +00 +6e +00 +9f +00 +61 +00 +8e +00 +4d +00 +63 +00 +3a +00 +51 +00 +33 +00 +64 +00 +3c +00 +65 +00 +40 +00 +67 +00 +3b +00 +56 +00 +31 +00 +5a +00 +39 +00 +67 +00 +35 +00 +61 +00 +46 +00 +64 +00 +8b +00 +a0 +00 +1b +10 +da +10 +c2 +00 +e9 +00 +f4 +00 +8b +30 +be +20 +ea +30 +0f +10 +b0 +00 +6d +00 +78 +00 +5f +00 +78 +00 +52 +00 +73 +00 +59 +00 +8b +00 +78 +00 +d4 +00 +ae +00 +5b +10 +89 +00 +b1 +00 +6a +00 +9b +00 +5b +00 +7a +00 +68 +00 +b1 +00 +e9 +00 +7e +20 +c0 +10 +e4 +30 +55 +10 +85 +10 +6b +00 +87 +00 +53 +00 +79 +00 +53 +00 +6d +00 +5c +00 +7c +00 +a9 +00 +fd +00 +82 +00 +a5 +00 +5b +00 +87 +00 +56 +00 +9d +00 +4c +00 +a0 +00 +57 +00 +7c +00 +58 +00 +80 +00 +7f +00 +e5 +00 +e3 +00 +2e +20 +d3 +10 +ad +40 +36 +10 +cd +00 +75 +00 +cc +00 +c2 +00 +8f +10 +9a +00 +d4 +00 +5b +00 +7b +00 +4d +00 +84 +00 +5f +00 +98 +00 +7a +00 +b4 +00 +6d +00 +a6 +00 +54 +00 +86 +00 +42 +00 +6d +00 +3f +00 +5f +00 +3d +00 +6d +00 +ad +00 +aa +10 +6a +10 +89 +20 +dd +00 +48 +10 +ff +00 +4f +10 +9a +00 +eb +00 +87 +00 +9b +00 +66 +00 +b2 +00 +d2 +00 +9f +10 +5b +20 +e6 +50 +3e +30 +72 +30 +cb +20 +7d +30 +33 +20 +5e +30 +66 +30 +ea +50 +2e +30 +bb +20 +ed +00 +a1 +00 +64 +00 +a1 +00 +a3 +00 +f3 +00 +71 +10 +95 +20 +52 +30 +b9 +70 +ed +40 +db +70 +2a +30 +21 +30 +96 +10 +ae +10 +20 +20 +67 +30 +f5 +20 +2a +40 +1f +20 +7e +10 +03 +10 +53 +10 +dd +00 +48 +10 +c3 +00 +e8 +00 +d4 +00 +4f +10 +a3 +10 +29 +30 +cc +10 +36 +30 +87 +10 +02 +20 +86 +10 +60 +20 +ab +10 +98 +20 +1e +10 +0c +10 +9d +00 +ab +00 +5b +00 +82 +00 +47 +00 +8e +00 +48 +00 +74 +00 +5b +00 +6a +00 +44 +00 +73 +00 +3f +00 +6e +00 +39 +00 +57 +00 +39 +00 +5c +00 +44 +00 +5c +00 +42 +00 +64 +00 +4c +00 +6e +00 +45 +00 +7f +00 +54 +00 +cc +00 +6b +00 +b4 +00 +4a +00 +60 +00 +3f +00 +59 +00 +39 +00 +57 +00 +3a +00 +60 +00 +2f +00 +5f +00 +39 +00 +6a +00 +49 +00 +ae +00 +5a +00 +74 +00 +38 +00 +71 +00 +3c +00 +5a +00 +2e +00 +5c +00 +3c +00 +5e +00 +35 +00 +59 +00 +36 +00 +56 +00 +29 +00 +67 +00 +2d +00 +64 +00 +87 +00 +26 +30 +33 +10 +24 +10 +5a +00 +66 +00 +32 +00 +56 +00 +29 +00 +61 +00 +2d +00 +53 +00 +26 +00 +4b +00 +31 +00 +4f +00 +22 +00 +4f +00 +21 +00 +4c +00 +1f +00 +46 +00 +28 +00 +4b +00 +21 +00 +52 +00 +23 +00 +51 +00 +27 +00 +3f +00 +29 +00 +3b +00 +2a +00 +50 +00 +27 +00 +56 +00 +23 +00 +4a +00 +2b +00 +45 +00 +2a +00 +69 +00 +39 +00 +74 +00 +42 +00 +69 +00 +4b +00 +90 +00 +ba +00 +97 +10 +84 +00 +99 +00 +56 +00 +7a +00 +48 +00 +79 +00 +37 +00 +57 +00 +35 +00 +5b +00 +5d +00 +dc +00 +17 +10 +98 +10 +69 +00 +78 +00 +4a +00 +6e +00 +65 +00 +d0 +00 +94 +00 +6a +10 +87 +00 +87 +00 +45 +00 +5d +00 +3e +00 +70 +00 +37 +00 +61 +00 +26 +00 +4e +00 +61 +00 +b8 +00 +43 +00 +47 +00 +57 +00 +df +00 +77 +10 +c1 +20 +a7 +00 +e8 +00 +79 +00 +82 +00 +3e +00 +73 +00 +34 +00 +50 +00 +1f +00 +4b +00 +22 +00 +41 +00 +26 +00 +43 +00 +21 +00 +3e +00 +26 +00 +48 +00 +23 +00 +4d +00 +2c +00 +50 +00 +28 +00 +4e +00 +29 +00 +40 +00 +2e +00 +4e +00 +56 +00 +7c +00 +16 +10 +00 +30 +da +00 +c0 +00 +65 +00 +56 +00 +32 +00 +46 +00 +2b +00 +4e +00 +25 +00 +49 +00 +21 +00 +53 +00 +28 +00 +5c +00 +32 +00 +5c +00 +2c +00 +45 +00 +2a +00 +43 +00 +24 +00 +50 +00 +27 +00 +44 +00 +23 +00 +30 +00 +26 +00 +33 +00 +21 +00 +30 +00 +1f +00 +38 +00 +38 +00 +68 +00 +ab +00 +01 +30 +21 +10 +c4 +10 +cc +00 +57 +10 +39 +10 +89 +20 +e8 +00 +3c +10 +db +00 +11 +20 +0f +10 +ab +00 +74 +00 +8b +00 +61 +00 +41 +10 +57 +00 +72 +00 +3f +00 +74 +00 +40 +00 +6e +00 +2c +00 +57 +00 +2c +00 +4c +00 +30 +00 +42 +00 +21 +00 +4c +00 +26 +00 +43 +00 +1c +00 +4b +00 +26 +00 +42 +00 +1f +00 +3e +00 +1f +00 +45 +00 +2c +00 +6f +00 +33 +00 +5c +00 +31 +00 +64 +00 +2a +00 +6a +00 +30 +00 +7a +00 +2d +00 +4f +00 +21 +00 +38 +00 +20 +00 +37 +00 +24 +00 +36 +00 +22 +00 +39 +00 +1c +00 +48 +00 +22 +00 +43 +00 +1e +00 +3d +00 +21 +00 +3c +00 +1f +00 +3b +00 +25 +00 +31 +00 +20 +00 +4a +00 +21 +00 +48 +00 +1f +00 +3a +00 +2a +00 +4d +00 +26 +00 +00 +00 +00 +00 +3a +00 +a4 +00 +36 +00 +93 +00 +3c +00 +94 +00 +33 +00 +a6 +00 +3f +00 +98 +00 +3a +00 +9d +00 +3a +00 +99 +00 +3e +00 +a8 +00 +42 +00 +a9 +00 +3b +00 +9a +00 +3c +00 +99 +00 +36 +00 +99 +00 +36 +00 +a4 +00 +29 +00 +79 +00 +1d +00 +58 +00 +1f +00 +58 +00 +20 +00 +63 +00 +26 +00 +71 +00 +21 +00 +64 +00 +25 +00 +51 +00 +20 +00 +61 +00 +24 +00 +52 +00 +25 +00 +6e +00 +31 +00 +b9 +00 +a8 +00 +88 +20 +8d +00 +28 +10 +44 +00 +c7 +00 +e6 +00 +6c +40 +b2 +10 +ad +20 +48 +00 +94 +00 +24 +00 +7c +00 +30 +00 +81 +00 +31 +00 +77 +00 +2a +00 +93 +00 +35 +00 +a7 +00 +52 +00 +e8 +00 +5d +00 +e8 +00 +33 +00 +7c +00 +25 +00 +72 +00 +2d +00 +d4 +00 +6f +00 +4a +10 +aa +00 +cb +10 +7a +00 +03 +10 +38 +00 +92 +00 +2a +00 +75 +00 +2a +00 +7c +00 +50 +00 +c1 +10 +b6 +00 +09 +10 +35 +00 +7f +00 +27 +00 +82 +00 +31 +00 +92 +00 +27 +00 +71 +00 +2d +00 +76 +00 +2f +00 +8b +00 +40 +00 +e8 +00 +7e +00 +a6 +10 +ab +00 +c7 +10 +46 +00 +99 +00 +3c +00 +bf +00 +41 +00 +d3 +00 +31 +00 +82 +00 +2a +00 +73 +00 +44 +00 +85 +00 +35 +00 +8d +00 +34 +00 +89 +00 +2c +00 +98 +00 +2a +00 +57 +00 +22 +00 +5e +00 +2c +00 +74 +00 +31 +00 +ce +00 +b0 +00 +54 +20 +9a +00 +29 +10 +4f +00 +fe +00 +5a +00 +d5 +00 +3d +00 +9c +00 +36 +00 +8a +00 +33 +00 +cf +00 +68 +00 +25 +20 +7b +10 +43 +50 +2f +10 +ae +30 +00 +10 +37 +20 +4b +10 +80 +50 +d5 +10 +d7 +50 +f3 +00 +64 +10 +39 +00 +8d +00 +32 +00 +b5 +00 +7c +00 +6a +20 +aa +00 +97 +20 +f2 +10 +9a +70 +ae +20 +3d +50 +c3 +00 +b7 +10 +7b +00 +31 +20 +eb +10 +ab +40 +66 +10 +b5 +30 +9c +00 +23 +10 +54 +00 +f7 +00 +56 +00 +f2 +00 +4b +00 +cb +00 +53 +00 +6d +10 +b4 +00 +ef +10 +7e +00 +ac +10 +78 +00 +7b +10 +77 +00 +90 +10 +80 +00 +73 +10 +d3 +00 +8c +10 +43 +00 +88 +00 +2e +00 +79 +00 +2d +00 +7d +00 +2b +00 +81 +00 +2a +00 +7a +00 +29 +00 +63 +00 +23 +00 +58 +00 +20 +00 +61 +00 +25 +00 +75 +00 +2a +00 +6b +00 +2c +00 +6d +00 +25 +00 +77 +00 +2f +00 +81 +00 +4a +00 +a9 +00 +33 +00 +7a +00 +26 +00 +55 +00 +21 +00 +64 +00 +23 +00 +63 +00 +25 +00 +59 +00 +26 +00 +5f +00 +20 +00 +61 +00 +49 +00 +b0 +00 +31 +00 +6e +00 +1e +00 +5c +00 +25 +00 +62 +00 +27 +00 +5b +00 +26 +00 +61 +00 +1b +00 +57 +00 +1e +00 +50 +00 +22 +00 +5d +00 +27 +00 +88 +00 +a1 +00 +87 +10 +74 +00 +be +00 +37 +00 +64 +00 +22 +00 +5f +00 +32 +00 +55 +00 +20 +00 +4d +00 +1c +00 +4d +00 +1e +00 +53 +00 +18 +00 +4e +00 +16 +00 +47 +00 +14 +00 +46 +00 +22 +00 +46 +00 +28 +00 +4c +00 +1c +00 +40 +00 +20 +00 +5b +00 +1b +00 +48 +00 +20 +00 +5c +00 +21 +00 +55 +00 +18 +00 +4f +00 +1d +00 +4e +00 +1c +00 +4a +00 +20 +00 +4d +00 +24 +00 +65 +00 +2a +00 +be +00 +3c +00 +92 +00 +2c +00 +68 +00 +24 +00 +63 +00 +2c +00 +5b +00 +1f +00 +50 +00 +1a +00 +6d +00 +6e +00 +14 +20 +75 +00 +b5 +00 +2e +00 +59 +00 +22 +00 +6f +00 +35 +00 +ad +00 +3a +00 +ae +00 +24 +00 +61 +00 +26 +00 +56 +00 +24 +00 +60 +00 +22 +00 +56 +00 +1d +00 +94 +00 +3a +00 +76 +00 +23 +00 +62 +00 +52 +00 +d6 +10 +c9 +00 +33 +10 +4f +00 +bc +00 +39 +00 +67 +00 +1c +00 +53 +00 +1c +00 +4c +00 +1e +00 +4d +00 +1b +00 +46 +00 +1a +00 +33 +00 +14 +00 +43 +00 +26 +00 +4c +00 +1b +00 +3a +00 +1f +00 +42 +00 +1a +00 +44 +00 +1b +00 +54 +00 +29 +00 +87 +00 +77 +00 +3f +20 +f6 +00 +8e +10 +44 +00 +91 +00 +2c +00 +51 +00 +25 +00 +8d +00 +26 +00 +56 +00 +22 +00 +57 +00 +2a +00 +58 +00 +23 +00 +5b +00 +3a +00 +72 +00 +13 +00 +42 +00 +23 +00 +38 +00 +12 +00 +35 +00 +15 +00 +35 +00 +14 +00 +34 +00 +13 +00 +2f +00 +13 +00 +2f +00 +14 +00 +45 +00 +21 +00 +cc +00 +95 +00 +42 +10 +5c +00 +3e +10 +34 +10 +1c +20 +6a +00 +13 +10 +56 +00 +6e +10 +51 +10 +67 +20 +4e +00 +ae +00 +2a +00 +7d +00 +43 +00 +9a +00 +32 +00 +6c +00 +1e +00 +62 +00 +26 +00 +4a +00 +21 +00 +53 +00 +1f +00 +4d +00 +1d +00 +48 +00 +21 +00 +41 +00 +1f +00 +40 +00 +17 +00 +4a +00 +1f +00 +3b +00 +1e +00 +3e +00 +1d +00 +5c +00 +31 +00 +75 +00 +2c +00 +5d +00 +21 +00 +5f +00 +27 +00 +62 +00 +27 +00 +5d +00 +22 +00 +4a +00 +1b +00 +48 +00 +18 +00 +47 +00 +17 +00 +3d +00 +1d +00 +41 +00 +1d +00 +3b +00 +1b +00 +43 +00 +1d +00 +38 +00 +16 +00 +33 +00 +18 +00 +39 +00 +14 +00 +3b +00 +20 +00 +41 +00 +1e +00 +44 +00 +1a +00 +3a +00 +1c +00 +48 +00 +00 +00 +00 +00 +9b +00 +64 +00 +9b +00 +71 +00 +9f +00 +69 +00 +98 +00 +5f +00 +96 +00 +68 +00 +ad +00 +60 +00 +95 +00 +76 +00 +9d +00 +5e +00 +ab +00 +6c +00 +a1 +00 +6c +00 +a4 +00 +5f +00 +aa +00 +73 +00 +98 +00 +66 +00 +89 +00 +4b +00 +58 +00 +33 +00 +4c +00 +3d +00 +5d +00 +66 +00 +7d +00 +4f +00 +65 +00 +3f +00 +57 +00 +3d +00 +57 +00 +3a +00 +63 +00 +3b +00 +5f +00 +9a +00 +b2 +00 +5f +10 +61 +20 +9f +10 +80 +20 +c9 +00 +d1 +00 +a3 +00 +0a +10 +32 +10 +77 +30 +4b +10 +15 +10 +7f +00 +89 +00 +75 +00 +87 +00 +70 +00 +86 +00 +d9 +00 +ea +00 +8f +00 +92 +00 +66 +00 +9c +00 +8d +00 +c3 +00 +7b +00 +97 +00 +4b +00 +6e +00 +5a +00 +83 +00 +83 +00 +ed +00 +9e +00 +e8 +00 +9c +00 +d7 +00 +6a +00 +a0 +00 +53 +00 +8a +00 +4a +00 +6a +00 +5d +00 +a0 +00 +c8 +00 +6d +20 +b6 +00 +8f +00 +56 +00 +74 +00 +47 +00 +76 +00 +52 +00 +6f +00 +3f +00 +80 +00 +3f +00 +7c +00 +60 +00 +94 +00 +91 +00 +fb +00 +ba +00 +08 +10 +9b +00 +c6 +00 +5c +00 +81 +00 +62 +00 +a5 +00 +65 +00 +80 +00 +46 +00 +76 +00 +5c +00 +82 +00 +8b +00 +8e +00 +7b +00 +80 +00 +59 +00 +85 +00 +41 +00 +6c +00 +3c +00 +54 +00 +46 +00 +a0 +00 +4f +00 +7b +00 +70 +00 +cd +00 +92 +00 +0e +10 +9f +00 +ae +00 +65 +00 +b0 +00 +7f +00 +9d +00 +5d +00 +8d +00 +58 +00 +85 +00 +a2 +00 +ed +00 +c6 +10 +af +30 +97 +30 +c2 +40 +b1 +20 +da +20 +6f +20 +ac +30 +82 +30 +a3 +40 +4f +20 +e3 +20 +03 +10 +b7 +00 +6d +00 +9b +00 +a9 +00 +ff +00 +80 +20 +cb +40 +ae +20 +af +20 +15 +30 +79 +60 +4b +20 +76 +20 +7f +10 +9a +10 +67 +30 +93 +60 +20 +40 +b3 +50 +9d +20 +a8 +20 +18 +10 +e9 +00 +a0 +00 +c9 +00 +8f +00 +c3 +00 +9a +00 +f4 +00 +1e +10 +ec +10 +f5 +10 +8e +10 +a8 +10 +5a +10 +5d +10 +34 +10 +f1 +00 +0c +10 +b3 +00 +1b +10 +8f +00 +e8 +00 +5b +00 +75 +00 +46 +00 +7f +00 +9a +00 +ec +00 +af +00 +ae +00 +6d +00 +6f +00 +3a +00 +6a +00 +6d +00 +79 +00 +ba +00 +93 +00 +bc +00 +a1 +00 +7f +00 +99 +00 +5b +00 +70 +00 +4c +00 +7e +00 +68 +00 +21 +10 +6f +00 +90 +00 +49 +00 +81 +00 +40 +00 +6e +00 +39 +00 +6a +00 +3c +00 +5c +00 +3e +00 +4b +00 +2e +00 +4d +00 +34 +00 +64 +00 +32 +00 +6f +00 +37 +00 +50 +00 +2f +00 +4f +00 +35 +00 +56 +00 +34 +00 +62 +00 +3c +00 +54 +00 +3c +00 +50 +00 +2d +00 +4e +00 +42 +00 +67 +00 +52 +00 +9a +00 +52 +00 +c1 +00 +5f +00 +86 +00 +39 +00 +5f +00 +31 +00 +67 +00 +35 +00 +57 +00 +31 +00 +4d +00 +2a +00 +43 +00 +31 +00 +4f +00 +1f +00 +43 +00 +30 +00 +4a +00 +28 +00 +46 +00 +23 +00 +44 +00 +2e +00 +45 +00 +2a +00 +6d +00 +68 +00 +60 +00 +2d +00 +48 +00 +2c +00 +5c +00 +2e +00 +4e +00 +2d +00 +45 +00 +28 +00 +4d +00 +27 +00 +4d +00 +33 +00 +56 +00 +43 +00 +6b +00 +4a +00 +73 +00 +3b +00 +61 +00 +36 +00 +4d +00 +37 +00 +51 +00 +30 +00 +52 +00 +2e +00 +56 +00 +55 +00 +06 +10 +80 +00 +d6 +00 +70 +00 +8b +00 +8d +00 +67 +00 +57 +00 +8b +00 +52 +00 +87 +00 +4b +00 +67 +00 +40 +00 +64 +00 +36 +00 +4d +00 +29 +00 +55 +00 +36 +00 +57 +00 +7f +00 +69 +10 +ca +00 +7f +00 +59 +00 +94 +00 +a6 +00 +04 +10 +69 +00 +b5 +00 +5b +00 +78 +00 +3c +00 +5b +00 +33 +00 +44 +00 +27 +00 +48 +00 +27 +00 +46 +00 +27 +00 +34 +00 +1d +00 +3f +00 +28 +00 +56 +00 +2f +00 +4f +00 +29 +00 +44 +00 +31 +00 +50 +00 +30 +00 +52 +00 +40 +00 +76 +00 +12 +10 +12 +20 +3c +10 +3d +20 +e5 +00 +fb +00 +86 +00 +5b +00 +2d +00 +59 +00 +50 +00 +81 +00 +2d +00 +4b +00 +34 +00 +59 +00 +3a +00 +63 +00 +2a +00 +53 +00 +2b +00 +4b +00 +22 +00 +37 +00 +1f +00 +38 +00 +1c +00 +30 +00 +1a +00 +2e +00 +18 +00 +31 +00 +1a +00 +2d +00 +1e +00 +36 +00 +34 +00 +5a +00 +62 +00 +9f +00 +67 +00 +d1 +00 +9b +00 +11 +10 +8d +00 +f3 +00 +89 +00 +c4 +00 +b1 +00 +f8 +10 +e2 +00 +44 +10 +73 +00 +71 +00 +3f +00 +85 +00 +3c +00 +98 +00 +38 +00 +5c +00 +36 +00 +4a +00 +30 +00 +4e +00 +2c +00 +6f +00 +35 +00 +53 +00 +25 +00 +47 +00 +27 +00 +47 +00 +27 +00 +4d +00 +26 +00 +45 +00 +23 +00 +36 +00 +28 +00 +4a +00 +2b +00 +68 +00 +3e +00 +79 +00 +2b +00 +68 +00 +27 +00 +52 +00 +35 +00 +66 +00 +2d +00 +55 +00 +22 +00 +43 +00 +27 +00 +42 +00 +23 +00 +49 +00 +25 +00 +38 +00 +1c +00 +43 +00 +20 +00 +3f +00 +1c +00 +42 +00 +22 +00 +3e +00 +1c +00 +40 +00 +1c +00 +49 +00 +25 +00 +3b +00 +2b +00 +3b +00 +32 +00 +3f +00 +6a +00 +c0 +00 +36 +00 +00 +00 +00 +00 +34 +00 +96 +00 +35 +00 +95 +00 +32 +00 +b3 +00 +3b +00 +9e +00 +3a +00 +9a +00 +38 +00 +a5 +00 +39 +00 +94 +00 +2f +00 +9e +00 +3c +00 +a9 +00 +3e +00 +a9 +00 +3a +00 +95 +00 +39 +00 +a2 +00 +35 +00 +91 +00 +2c +00 +6d +00 +1c +00 +5e +00 +1c +00 +54 +00 +29 +00 +f2 +00 +55 +00 +85 +00 +1f +00 +60 +00 +24 +00 +6a +00 +25 +00 +6a +00 +27 +00 +64 +00 +25 +00 +d6 +00 +f2 +00 +eb +20 +02 +10 +58 +20 +91 +00 +31 +10 +44 +00 +ac +00 +52 +00 +5f +10 +af +00 +c0 +10 +4e +00 +aa +00 +3a +00 +e0 +00 +3f +00 +a2 +00 +5f +00 +e0 +10 +9b +00 +fe +00 +32 +00 +96 +00 +30 +00 +90 +00 +58 +00 +bf +00 +34 +00 +75 +00 +2a +00 +71 +00 +25 +00 +87 +00 +35 +00 +d4 +00 +36 +00 +b4 +00 +38 +00 +91 +00 +40 +00 +aa +00 +2e +00 +68 +00 +2d +00 +6f +00 +2a +00 +bf +00 +57 +00 +fb +00 +3a +00 +6e +00 +2a +00 +72 +00 +26 +00 +75 +00 +22 +00 +6d +00 +27 +00 +7c +00 +2b +00 +82 +00 +28 +00 +a9 +00 +3a +00 +d0 +00 +47 +00 +ae +00 +29 +00 +7d +00 +29 +00 +7f +00 +2f +00 +91 +00 +34 +00 +7e +00 +2f +00 +75 +00 +35 +00 +10 +10 +5b +00 +aa +00 +2f +00 +70 +00 +27 +00 +69 +00 +22 +00 +64 +00 +27 +00 +60 +00 +25 +00 +76 +00 +2b +00 +8f +00 +3f +00 +ba +00 +39 +00 +b8 +00 +2e +00 +8f +00 +35 +00 +98 +00 +31 +00 +8d +00 +2e +00 +8d +00 +30 +00 +aa +00 +55 +00 +ad +10 +98 +10 +35 +60 +c1 +10 +37 +40 +41 +10 +14 +30 +cb +10 +0c +60 +52 +10 +b8 +20 +7c +00 +3d +10 +31 +00 +99 +00 +39 +00 +ac +00 +66 +00 +1f +30 +4a +20 +2a +60 +f2 +00 +d2 +20 +2f +10 +02 +30 +8d +00 +4d +10 +33 +10 +a3 +50 +ca +20 +06 +70 +26 +20 +17 +40 +a5 +00 +57 +10 +53 +00 +e0 +00 +45 +00 +f7 +00 +58 +00 +bd +00 +5e +00 +7c +10 +9a +10 +c1 +40 +5d +10 +b5 +30 +11 +10 +87 +20 +63 +00 +06 +10 +3a +00 +a4 +00 +42 +00 +ba +00 +40 +00 +91 +00 +2b +00 +7a +00 +39 +00 +c8 +00 +30 +10 +9a +20 +5d +00 +8e +00 +2b +00 +61 +00 +25 +00 +8c +00 +b7 +00 +af +20 +65 +00 +5b +10 +dd +00 +3b +10 +41 +00 +88 +00 +2b +00 +6b +00 +2f +00 +a7 +00 +57 +00 +b8 +00 +30 +00 +80 +00 +2c +00 +69 +00 +24 +00 +67 +00 +23 +00 +6d +00 +24 +00 +61 +00 +24 +00 +51 +00 +25 +00 +5b +00 +23 +00 +5e +00 +22 +00 +64 +00 +1b +00 +53 +00 +1c +00 +54 +00 +20 +00 +5e +00 +30 +00 +5a +00 +1d +00 +57 +00 +1b +00 +63 +00 +23 +00 +5b +00 +23 +00 +73 +00 +27 +00 +92 +00 +31 +00 +8f +00 +26 +00 +65 +00 +25 +00 +67 +00 +29 +00 +6c +00 +23 +00 +56 +00 +20 +00 +4d +00 +19 +00 +4f +00 +1e +00 +4a +00 +1c +00 +40 +00 +1d +00 +4f +00 +1b +00 +50 +00 +1e +00 +4a +00 +18 +00 +4d +00 +23 +00 +80 +00 +29 +00 +4b +00 +1c +00 +51 +00 +21 +00 +4d +00 +20 +00 +46 +00 +22 +00 +56 +00 +1e +00 +4d +00 +1d +00 +52 +00 +1a +00 +4b +00 +25 +00 +63 +00 +25 +00 +62 +00 +1e +00 +4e +00 +18 +00 +4b +00 +1c +00 +40 +00 +16 +00 +44 +00 +1b +00 +4e +00 +2e +00 +96 +00 +39 +00 +8b +00 +7e +00 +82 +10 +34 +00 +75 +00 +25 +00 +66 +00 +28 +00 +67 +00 +21 +00 +5a +00 +1e +00 +57 +00 +1f +00 +4e +00 +19 +00 +44 +00 +1b +00 +8b +00 +cf +00 +c3 +20 +37 +00 +64 +00 +2e +00 +cd +00 +74 +00 +bd +00 +29 +00 +78 +00 +1f +00 +53 +00 +13 +00 +43 +00 +1f +00 +42 +00 +1f +00 +4f +00 +1a +00 +3c +00 +14 +00 +49 +00 +1c +00 +43 +00 +28 +00 +59 +00 +1a +00 +51 +00 +1e +00 +4b +00 +20 +00 +45 +00 +21 +00 +4c +00 +2e +00 +5b +10 +9a +00 +03 +30 +71 +10 +f6 +10 +40 +00 +8a +00 +24 +00 +55 +00 +42 +00 +86 +00 +34 +00 +63 +00 +22 +00 +70 +00 +3e +00 +78 +00 +2a +00 +62 +00 +1b +00 +4c +00 +1e +00 +3b +00 +17 +00 +38 +00 +14 +00 +2a +00 +15 +00 +29 +00 +0e +00 +2e +00 +12 +00 +32 +00 +12 +00 +36 +00 +18 +00 +46 +00 +19 +00 +63 +00 +25 +00 +82 +00 +29 +00 +9a +00 +2e +00 +a3 +00 +37 +00 +a5 +00 +3a +00 +0e +10 +ac +00 +6c +10 +66 +00 +cd +00 +32 +00 +79 +00 +29 +00 +86 +00 +37 +00 +78 +00 +2a +00 +53 +00 +22 +00 +5d +00 +1a +00 +5c +00 +24 +00 +5e +00 +1a +00 +55 +00 +26 +00 +52 +00 +1f +00 +50 +00 +1b +00 +49 +00 +18 +00 +3e +00 +15 +00 +49 +00 +2b +00 +4e +00 +2b +00 +88 +00 +38 +00 +7d +00 +27 +00 +5d +00 +24 +00 +53 +00 +1d +00 +4d +00 +1c +00 +4a +00 +1c +00 +44 +00 +1f +00 +4b +00 +16 +00 +44 +00 +19 +00 +3c +00 +1c +00 +39 +00 +17 +00 +41 +00 +17 +00 +3d +00 +17 +00 +3c +00 +15 +00 +42 +00 +18 +00 +3f +00 +16 +00 +3c +00 +18 +00 +4a +00 +2c +00 +03 +10 +53 +00 +84 +00 +00 +00 +00 +00 +85 +00 +5f +00 +9a +00 +68 +00 +95 +00 +6e +00 +9b +00 +64 +00 +97 +00 +63 +00 +a6 +00 +73 +00 +9d +00 +6a +00 +90 +00 +6f +00 +a8 +00 +79 +00 +a3 +00 +6d +00 +a3 +00 +66 +00 +a5 +00 +6b +00 +92 +00 +5c +00 +75 +00 +3e +00 +5d +00 +3a +00 +51 +00 +4d +00 +78 +00 +0a +10 +f9 +10 +74 +00 +76 +00 +34 +00 +5a +00 +38 +00 +6a +00 +41 +00 +66 +00 +44 +00 +64 +00 +af +00 +25 +20 +43 +20 +cb +30 +24 +10 +1f +10 +92 +00 +b2 +00 +80 +00 +ba +00 +f9 +00 +a0 +20 +51 +10 +14 +10 +9d +00 +b0 +00 +10 +10 +5c +10 +3b +10 +74 +10 +70 +20 +41 +30 +1b +10 +a7 +00 +95 +00 +af +00 +df +00 +16 +20 +db +00 +a4 +00 +57 +00 +76 +00 +48 +00 +7e +00 +6e +00 +93 +00 +95 +00 +f9 +00 +8f +00 +a9 +00 +68 +00 +aa +00 +84 +00 +c5 +00 +4d +00 +71 +00 +5c +00 +82 +00 +b8 +00 +25 +10 +95 +00 +94 +00 +4e +00 +68 +00 +50 +00 +79 +00 +51 +00 +84 +00 +40 +00 +67 +00 +51 +00 +72 +00 +55 +00 +8e +00 +70 +00 +ae +00 +77 +00 +ab +00 +60 +00 +6e +00 +44 +00 +8b +00 +59 +00 +8f +00 +45 +00 +69 +00 +77 +00 +87 +00 +bf +00 +c5 +00 +43 +10 +8f +30 +08 +10 +a0 +00 +4a +00 +70 +00 +43 +00 +60 +00 +40 +00 +6e +00 +3b +00 +62 +00 +40 +00 +76 +00 +55 +00 +96 +00 +71 +00 +a6 +00 +5e +00 +7d +00 +5c +00 +90 +00 +6f +00 +9f +00 +64 +00 +93 +00 +58 +00 +84 +00 +7f +00 +d5 +00 +2b +10 +f1 +20 +65 +20 +16 +50 +81 +20 +af +40 +a7 +20 +ea +40 +eb +20 +d6 +30 +ac +10 +61 +10 +d9 +00 +af +00 +70 +00 +8f +00 +9a +00 +dd +00 +2e +20 +ce +50 +7f +40 +c1 +60 +fa +20 +3c +20 +bb +10 +af +10 +23 +20 +6b +40 +4c +30 +28 +70 +c1 +30 +38 +50 +c2 +10 +e8 +10 +e0 +00 +f8 +00 +85 +00 +cd +00 +8b +00 +25 +10 +8d +00 +c7 +00 +15 +10 +81 +30 +e9 +20 +7f +60 +0e +40 +23 +60 +c5 +20 +5c +30 +2d +10 +d3 +00 +94 +00 +ad +00 +5a +00 +8d +00 +51 +00 +84 +00 +57 +00 +98 +00 +32 +10 +f0 +30 +58 +10 +a3 +10 +9b +00 +87 +00 +57 +00 +7b +00 +99 +10 +14 +40 +9d +20 +a7 +20 +dd +10 +de +30 +fc +00 +08 +10 +6a +00 +73 +00 +41 +00 +7c +00 +47 +00 +8c +00 +51 +00 +7e +00 +46 +00 +76 +00 +4d +00 +5d +00 +3a +00 +61 +00 +34 +00 +69 +00 +2a +00 +58 +00 +2c +00 +5f +00 +37 +00 +59 +00 +2f +00 +5e +00 +32 +00 +4f +00 +2a +00 +51 +00 +2c +00 +50 +00 +38 +00 +68 +00 +37 +00 +68 +00 +39 +00 +69 +00 +a8 +00 +bd +00 +a9 +00 +94 +00 +77 +00 +7f +00 +59 +00 +78 +00 +7f +00 +7d +00 +44 +00 +5f +00 +36 +00 +63 +00 +37 +00 +70 +00 +38 +00 +58 +00 +2f +00 +5e +00 +2e +00 +46 +00 +2a +00 +4c +00 +2d +00 +61 +00 +27 +00 +54 +00 +34 +00 +54 +00 +4d +00 +5e +00 +3d +00 +51 +00 +3a +00 +46 +00 +30 +00 +4f +00 +26 +00 +44 +00 +22 +00 +60 +00 +34 +00 +58 +00 +34 +00 +4d +00 +2a +00 +4c +00 +2f +00 +58 +00 +2e +00 +51 +00 +3b +00 +68 +00 +34 +00 +4a +00 +2e +00 +40 +00 +23 +00 +44 +00 +27 +00 +43 +00 +2c +00 +50 +00 +3b +00 +65 +00 +44 +00 +7c +00 +e9 +00 +31 +20 +3c +10 +27 +10 +79 +00 +62 +00 +45 +00 +65 +00 +35 +00 +52 +00 +34 +00 +4e +00 +2f +00 +52 +00 +2e +00 +4e +00 +31 +00 +4e +00 +45 +00 +93 +00 +66 +00 +c1 +00 +55 +00 +68 +00 +4c +00 +8d +00 +5e +00 +94 +00 +4f +00 +5c +00 +34 +00 +53 +00 +2e +00 +44 +00 +2a +00 +4c +00 +29 +00 +40 +00 +28 +00 +41 +00 +2a +00 +4f +00 +2e +00 +63 +00 +5c +00 +6f +00 +4f +00 +50 +00 +6c +00 +ee +00 +4b +00 +57 +00 +49 +00 +77 +00 +86 +00 +f2 +00 +11 +10 +0d +30 +70 +10 +32 +10 +7b +00 +6e +00 +36 +00 +74 +00 +3b +00 +67 +00 +2e +00 +4f +00 +48 +00 +0c +10 +82 +00 +ab +00 +33 +00 +48 +00 +24 +00 +3a +00 +22 +00 +2a +00 +20 +00 +2b +00 +1e +00 +2e +00 +1d +00 +30 +00 +1b +00 +34 +00 +1e +00 +2a +00 +21 +00 +45 +00 +39 +00 +4b +00 +38 +00 +5a +00 +4a +00 +5c +00 +55 +00 +63 +00 +4e +00 +72 +00 +75 +00 +d5 +00 +ca +00 +ef +00 +62 +00 +d0 +00 +6d +00 +9a +00 +5c +00 +6a +00 +3f +00 +7e +00 +31 +00 +7e +00 +36 +00 +91 +00 +3b +00 +55 +00 +41 +00 +58 +00 +2f +00 +50 +00 +2f +00 +72 +00 +2c +00 +60 +00 +2f +00 +5b +00 +30 +00 +40 +00 +27 +00 +37 +00 +2e +00 +4a +00 +2a +00 +68 +00 +31 +00 +7d +00 +30 +00 +66 +00 +2c +00 +62 +00 +2b +00 +51 +00 +30 +00 +54 +00 +28 +00 +3d +00 +21 +00 +48 +00 +21 +00 +42 +00 +1c +00 +37 +00 +1e +00 +3f +00 +1f +00 +35 +00 +26 +00 +3a +00 +2a +00 +41 +00 +23 +00 +40 +00 +24 +00 +3b +00 +25 +00 +40 +00 +25 +00 +44 +00 +4f +00 +a8 +00 +56 +00 +b0 +00 +3c +00 +00 +00 +00 +00 +3a +00 +8e +00 +34 +00 +96 +00 +32 +00 +a3 +00 +2d +00 +8b +00 +3c +00 +a4 +00 +3c +00 +a7 +00 +34 +00 +a1 +00 +32 +00 +a4 +00 +39 +00 +a1 +00 +3a +00 +98 +00 +38 +00 +a0 +00 +30 +00 +8f +00 +3a +00 +87 +00 +23 +00 +6b +00 +23 +00 +6e +00 +1b +00 +57 +00 +3d +00 +94 +10 +a2 +00 +fc +00 +24 +00 +6f +00 +25 +00 +67 +00 +26 +00 +5e +00 +23 +00 +6e +00 +2e +00 +97 +00 +b4 +00 +17 +40 +13 +10 +f1 +10 +41 +00 +b0 +00 +34 +00 +8d +00 +36 +00 +e8 +00 +ef +00 +3e +30 +78 +00 +c9 +00 +6a +00 +08 +20 +9f +00 +c4 +10 +14 +10 +f3 +40 +22 +10 +cb +10 +3a +00 +b8 +00 +7a +00 +b9 +10 +95 +00 +8d +10 +44 +00 +86 +00 +29 +00 +7b +00 +2a +00 +77 +00 +2c +00 +a2 +00 +bf +00 +f0 +10 +4f +00 +80 +00 +3e +00 +fc +00 +37 +00 +9a +00 +2e +00 +72 +00 +33 +00 +4b +10 +7a +00 +11 +10 +2e +00 +80 +00 +26 +00 +81 +00 +2a +00 +a1 +00 +35 +00 +80 +00 +26 +00 +79 +00 +30 +00 +73 +00 +2e +00 +9b +00 +39 +00 +8e +00 +37 +00 +86 +00 +2f +00 +72 +00 +39 +00 +6b +00 +32 +00 +74 +00 +2c +00 +b4 +00 +b7 +00 +df +10 +d4 +00 +5c +20 +e5 +00 +20 +20 +3f +00 +6f +00 +22 +00 +70 +00 +2b +00 +77 +00 +22 +00 +7f +00 +2a +00 +79 +00 +2b +00 +7f +00 +32 +00 +9a +00 +2c +00 +73 +00 +25 +00 +83 +00 +34 +00 +8b +00 +56 +00 +ae +00 +33 +00 +91 +00 +32 +00 +98 +00 +41 +00 +f1 +00 +b3 +00 +35 +20 +ca +00 +59 +20 +73 +10 +07 +40 +4f +10 +75 +30 +c3 +00 +7b +20 +89 +00 +0e +10 +43 +00 +99 +00 +36 +00 +9f +00 +57 +00 +de +10 +33 +20 +c8 +70 +ae +20 +9e +60 +c8 +00 +b5 +10 +d9 +00 +d8 +30 +ad +10 +c2 +30 +5f +10 +21 +40 +1b +10 +1a +20 +77 +00 +21 +10 +50 +00 +dc +00 +54 +00 +de +00 +48 +00 +cc +00 +45 +00 +01 +10 +fd +00 +69 +40 +78 +20 +bf +60 +fe +10 +97 +40 +28 +10 +27 +20 +4d +00 +aa +00 +31 +00 +93 +00 +34 +00 +71 +00 +2d +00 +83 +00 +41 +00 +c9 +10 +04 +10 +e9 +10 +6d +00 +dd +00 +2e +00 +91 +00 +56 +00 +00 +20 +24 +20 +3f +50 +41 +10 +19 +30 +c3 +00 +8c +10 +4b +00 +bc +00 +24 +00 +73 +00 +25 +00 +6e +00 +2a +00 +7f +00 +2f +00 +7b +00 +31 +00 +6b +00 +27 +00 +5e +00 +1e +00 +51 +00 +26 +00 +53 +00 +24 +00 +4f +00 +2a +00 +66 +00 +1f +00 +5a +00 +1b +00 +50 +00 +26 +00 +52 +00 +1f +00 +54 +00 +20 +00 +5a +00 +2d +00 +55 +00 +2a +00 +5f +00 +32 +00 +10 +10 +35 +10 +95 +20 +95 +00 +ca +00 +2e +00 +7d +00 +56 +00 +ec +00 +52 +00 +94 +00 +26 +00 +64 +00 +20 +00 +7c +00 +2a +00 +5d +00 +24 +00 +5a +00 +22 +00 +56 +00 +1b +00 +4b +00 +1e +00 +4d +00 +22 +00 +54 +00 +22 +00 +5c +00 +52 +00 +1a +10 +2e +00 +5e +00 +23 +00 +55 +00 +1c +00 +4a +00 +1c +00 +4a +00 +23 +00 +49 +00 +24 +00 +60 +00 +15 +00 +4d +00 +19 +00 +52 +00 +2f +00 +67 +00 +1f +00 +49 +00 +19 +00 +51 +00 +22 +00 +4d +00 +1a +00 +41 +00 +1d +00 +4a +00 +1b +00 +44 +00 +1a +00 +52 +00 +18 +00 +54 +00 +1d +00 +65 +00 +4b +00 +69 +10 +f5 +00 +55 +20 +dd +00 +1e +10 +2c +00 +64 +00 +1b +00 +46 +00 +1d +00 +53 +00 +18 +00 +51 +00 +1a +00 +4a +00 +1a +00 +43 +00 +1c +00 +5f +00 +31 +00 +73 +00 +26 +00 +73 +00 +27 +00 +60 +00 +27 +00 +62 +00 +26 +00 +52 +00 +24 +00 +57 +00 +1b +00 +48 +00 +1a +00 +44 +00 +1b +00 +4a +00 +16 +00 +3f +00 +1c +00 +49 +00 +24 +00 +5b +00 +53 +00 +ca +10 +68 +00 +8c +00 +29 +00 +9d +00 +9b +00 +a3 +00 +25 +00 +74 +00 +3a +00 +a9 +00 +41 +00 +13 +10 +cd +00 +5d +20 +61 +00 +a6 +00 +20 +00 +51 +00 +27 +00 +93 +00 +24 +00 +5c +00 +1b +00 +6b +00 +3c +00 +e7 +00 +39 +00 +64 +00 +1c +00 +40 +00 +13 +00 +2f +00 +15 +00 +29 +00 +11 +00 +30 +00 +15 +00 +31 +00 +12 +00 +2d +00 +10 +00 +2c +00 +17 +00 +3f +00 +1b +00 +55 +00 +1b +00 +47 +00 +1b +00 +47 +00 +1c +00 +57 +00 +20 +00 +58 +00 +1c +00 +68 +00 +3b +00 +c3 +00 +42 +00 +8c +00 +35 +00 +9a +00 +9c +00 +7d +10 +36 +00 +69 +00 +29 +00 +5f +00 +2c +00 +81 +00 +44 +00 +77 +00 +22 +00 +6e +00 +29 +00 +60 +00 +23 +00 +73 +00 +3f +00 +7c +00 +2c +00 +61 +00 +30 +00 +5d +00 +14 +00 +3b +00 +15 +00 +58 +00 +27 +00 +59 +00 +30 +00 +6a +00 +27 +00 +57 +00 +26 +00 +51 +00 +1e +00 +56 +00 +1a +00 +43 +00 +1c +00 +3b +00 +1b +00 +40 +00 +1c +00 +42 +00 +10 +00 +37 +00 +19 +00 +3c +00 +17 +00 +3a +00 +16 +00 +3e +00 +1e +00 +4e +00 +17 +00 +43 +00 +1b +00 +41 +00 +1c +00 +3b +00 +17 +00 +43 +00 +1c +00 +81 +00 +70 +00 +a1 +00 +23 +00 +6a +00 +00 +00 +00 +00 +8e +00 +6a +00 +95 +00 +73 +00 +9e +00 +68 +00 +a5 +00 +75 +00 +91 +00 +57 +00 +a0 +00 +78 +00 +8b +00 +6e +00 +94 +00 +60 +00 +a4 +00 +77 +00 +9d +00 +6e +00 +a0 +00 +67 +00 +a4 +00 +6b +00 +9a +00 +4f +00 +81 +00 +3d +00 +61 +00 +38 +00 +66 +00 +4d +00 +88 +00 +87 +00 +fb +00 +79 +00 +84 +00 +3d +00 +60 +00 +3a +00 +67 +00 +4a +00 +64 +00 +4c +00 +6a +00 +99 +00 +09 +10 +5b +10 +94 +20 +f5 +00 +e8 +00 +64 +00 +7f +00 +74 +00 +97 +00 +1f +10 +7c +20 +a6 +10 +1a +20 +1f +10 +9e +10 +4f +20 +0e +30 +75 +30 +fb +40 +25 +30 +68 +40 +98 +10 +f8 +00 +7e +10 +26 +20 +3b +20 +fc +10 +c0 +00 +cd +00 +64 +00 +7e +00 +58 +00 +7b +00 +5b +00 +87 +00 +80 +00 +41 +10 +d7 +00 +28 +10 +6a +00 +92 +00 +66 +00 +c7 +00 +69 +00 +73 +00 +6f +00 +ab +00 +ab +10 +71 +30 +f6 +00 +cd +00 +4e +00 +79 +00 +4e +00 +84 +00 +60 +00 +a5 +00 +4d +00 +6e +00 +3d +00 +7b +00 +53 +00 +7d +00 +4f +00 +88 +00 +50 +00 +76 +00 +4e +00 +73 +00 +4b +00 +78 +00 +40 +00 +70 +00 +41 +00 +75 +00 +e1 +00 +d8 +30 +c2 +10 +19 +30 +62 +10 +18 +20 +b2 +00 +bc +00 +53 +00 +73 +00 +40 +00 +73 +00 +35 +00 +71 +00 +61 +00 +7a +00 +6b +00 +9e +00 +5d +00 +84 +00 +5c +00 +7b +00 +4c +00 +69 +00 +47 +00 +6e +00 +6e +00 +6a +10 +7b +00 +9f +00 +59 +00 +9c +00 +72 +00 +b3 +00 +b1 +00 +2f +10 +f6 +00 +f8 +10 +60 +10 +25 +20 +7a +10 +e3 +20 +6a +10 +e8 +10 +25 +20 +2b +30 +0d +10 +d8 +00 +88 +00 +9f +00 +8d +00 +ad +00 +95 +10 +18 +50 +6f +40 +f1 +70 +f1 +40 +e9 +50 +0c +20 +74 +10 +73 +10 +c7 +20 +dc +20 +05 +40 +e3 +10 +19 +20 +3c +10 +47 +10 +c0 +00 +e9 +00 +9d +00 +da +00 +9a +00 +c0 +00 +9e +00 +c3 +00 +d7 +00 +39 +10 +39 +10 +0d +30 +63 +20 +29 +40 +da +20 +4e +30 +4d +20 +c0 +10 +f2 +00 +be +00 +78 +00 +87 +00 +56 +00 +84 +00 +48 +00 +87 +00 +88 +00 +0c +10 +88 +00 +ef +00 +9d +00 +9f +00 +6e +10 +df +20 +32 +30 +cf +50 +c9 +20 +52 +40 +58 +20 +f5 +10 +db +00 +f0 +00 +77 +00 +7f +00 +4a +00 +6a +00 +46 +00 +7a +00 +52 +00 +7e +00 +3e +00 +77 +00 +3d +00 +5d +00 +39 +00 +59 +00 +2b +00 +51 +00 +35 +00 +50 +00 +38 +00 +9f +00 +37 +00 +60 +00 +35 +00 +5e +00 +34 +00 +50 +00 +36 +00 +59 +00 +34 +00 +50 +00 +3d +00 +65 +00 +36 +00 +69 +00 +55 +00 +ca +00 +ee +00 +15 +40 +a4 +10 +59 +20 +b4 +00 +8d +00 +76 +00 +33 +10 +ff +00 +88 +10 +96 +00 +88 +00 +46 +00 +6e +00 +38 +00 +75 +00 +3a +00 +63 +00 +32 +00 +5d +00 +3a +00 +5d +00 +2c +00 +4a +00 +2c +00 +58 +00 +29 +00 +58 +00 +55 +00 +4b +10 +90 +00 +bf +00 +4e +00 +60 +00 +2b +00 +57 +00 +2f +00 +46 +00 +28 +00 +49 +00 +24 +00 +47 +00 +22 +00 +46 +00 +22 +00 +3f +00 +2c +00 +4b +00 +2d +00 +4c +00 +2c +00 +49 +00 +32 +00 +4c +00 +28 +00 +43 +00 +27 +00 +39 +00 +28 +00 +45 +00 +27 +00 +43 +00 +2a +00 +55 +00 +32 +00 +5c +00 +7a +00 +a5 +10 +cc +10 +04 +20 +a3 +00 +06 +10 +79 +00 +9c +00 +3f +00 +42 +00 +28 +00 +47 +00 +1e +00 +45 +00 +30 +00 +49 +00 +32 +00 +43 +00 +3b +00 +57 +00 +3c +00 +65 +00 +40 +00 +5e +00 +40 +00 +54 +00 +38 +00 +56 +00 +3a +00 +54 +00 +35 +00 +5b +00 +37 +00 +53 +00 +33 +00 +50 +00 +2d +00 +50 +00 +2e +00 +49 +00 +2e +00 +49 +00 +2d +00 +48 +00 +53 +00 +d2 +00 +c6 +00 +ba +10 +6a +00 +79 +00 +44 +00 +8b +00 +63 +00 +cb +00 +e7 +00 +76 +10 +c2 +00 +16 +10 +b2 +00 +15 +10 +ff +00 +98 +10 +84 +00 +68 +00 +36 +00 +52 +00 +2a +00 +54 +00 +2e +00 +4a +00 +37 +00 +65 +00 +32 +00 +5b +00 +31 +00 +4d +00 +23 +00 +34 +00 +25 +00 +29 +00 +1a +00 +2a +00 +1c +00 +33 +00 +1b +00 +2e +00 +1b +00 +2a +00 +23 +00 +32 +00 +1d +00 +48 +00 +2d +00 +57 +00 +2b +00 +3c +00 +22 +00 +4e +00 +2d +00 +58 +00 +36 +00 +6c +00 +5f +00 +85 +00 +4f +00 +80 +00 +56 +00 +77 +00 +44 +00 +df +00 +5a +00 +b8 +00 +53 +00 +73 +00 +37 +00 +66 +00 +5a +00 +0a +10 +ac +00 +94 +00 +62 +00 +66 +00 +4a +00 +64 +00 +5b +00 +aa +00 +45 +00 +6e +00 +64 +00 +bc +00 +9d +00 +69 +00 +50 +00 +3e +00 +32 +00 +67 +00 +25 +00 +5b +00 +28 +00 +57 +00 +28 +00 +52 +00 +26 +00 +4f +00 +26 +00 +4d +00 +24 +00 +4b +00 +20 +00 +33 +00 +21 +00 +47 +00 +1d +00 +44 +00 +24 +00 +3e +00 +1e +00 +38 +00 +23 +00 +46 +00 +24 +00 +38 +00 +22 +00 +44 +00 +1e +00 +46 +00 +28 +00 +40 +00 +2d +00 +4b +00 +28 +00 +52 +00 +36 +00 +6a +00 +4a +00 +6b +00 +3a +00 +00 +00 +00 +00 +34 +00 +95 +00 +30 +00 +97 +00 +39 +00 +94 +00 +2f +00 +9d +00 +40 +00 +9a +00 +33 +00 +97 +00 +32 +00 +a5 +00 +2e +00 +97 +00 +32 +00 +9f +00 +33 +00 +a3 +00 +3a +00 +9c +00 +38 +00 +98 +00 +36 +00 +78 +00 +23 +00 +6c +00 +24 +00 +6c +00 +25 +00 +63 +00 +2f +00 +e3 +00 +43 +00 +a4 +00 +2b +00 +5a +00 +26 +00 +62 +00 +23 +00 +6f +00 +28 +00 +76 +00 +27 +00 +8a +00 +5a +00 +ed +10 +9c +00 +2b +10 +2c +00 +86 +00 +2e +00 +87 +00 +35 +00 +f0 +00 +53 +10 +9d +30 +8e +00 +89 +10 +42 +10 +d0 +30 +21 +20 +7a +60 +8e +20 +d1 +50 +6f +10 +93 +20 +8d +00 +c4 +20 +45 +10 +63 +40 +03 +10 +83 +10 +45 +00 +a9 +00 +29 +00 +94 +00 +30 +00 +a0 +00 +3b +00 +92 +00 +48 +00 +c9 +00 +46 +00 +a4 +00 +31 +00 +9a +00 +34 +00 +97 +00 +29 +00 +7f +00 +77 +00 +5d +30 +4c +10 +1a +20 +44 +00 +8d +00 +35 +00 +91 +00 +38 +00 +ad +00 +3a +00 +93 +00 +32 +00 +78 +00 +28 +00 +6f +00 +2f +00 +86 +00 +32 +00 +77 +00 +2c +00 +7a +00 +2d +00 +6c +00 +2a +00 +61 +00 +21 +00 +61 +00 +2b +00 +c6 +00 +e4 +00 +4b +20 +95 +00 +b5 +10 +77 +00 +0e +10 +32 +00 +6b +00 +29 +00 +77 +00 +28 +00 +6f +00 +2f +00 +ba +00 +8e +00 +3d +10 +60 +00 +02 +10 +35 +00 +80 +00 +29 +00 +67 +00 +2a +00 +69 +00 +29 +00 +85 +00 +4c +00 +b9 +00 +3b +00 +94 +00 +3a +00 +0b +10 +4b +00 +ba +00 +58 +00 +31 +10 +88 +00 +9d +10 +58 +00 +40 +10 +7f +00 +9a +10 +aa +00 +f4 +20 +f5 +00 +e0 +10 +53 +00 +eb +00 +45 +00 +a9 +00 +3e +00 +4d +10 +9b +10 +14 +70 +ee +20 +07 +80 +47 +20 +ee +30 +72 +00 +4b +10 +e7 +00 +45 +30 +21 +10 +ba +20 +8f +00 +60 +10 +5a +00 +e1 +00 +5a +00 +ed +00 +5a +00 +f0 +00 +56 +00 +68 +10 +56 +00 +ef +00 +54 +00 +3a +10 +9e +00 +ba +20 +b0 +10 +0a +50 +a1 +10 +0e +50 +fa +00 +93 +10 +4e +00 +94 +00 +2f +00 +78 +00 +28 +00 +79 +00 +2c +00 +a3 +00 +4a +00 +dc +00 +3e +00 +a0 +00 +60 +00 +5f +20 +2b +20 +5f +60 +08 +20 +dd +40 +dd +10 +5f +40 +dd +00 +56 +10 +37 +00 +8e +00 +33 +00 +a7 +00 +31 +00 +87 +00 +33 +00 +8f +00 +2c +00 +8e +00 +2a +00 +73 +00 +23 +00 +53 +00 +21 +00 +5a +00 +25 +00 +54 +00 +23 +00 +61 +00 +3c +00 +7d +00 +29 +00 +6b +00 +27 +00 +62 +00 +32 +00 +70 +00 +32 +00 +85 +00 +29 +00 +6f +00 +23 +00 +61 +00 +2d +00 +70 +00 +2f +00 +f8 +00 +14 +10 +23 +20 +93 +00 +0c +10 +33 +00 +a9 +00 +98 +00 +4f +10 +6f +00 +da +00 +38 +00 +71 +00 +27 +00 +6a +00 +2e +00 +67 +00 +24 +00 +54 +00 +25 +00 +50 +00 +1f +00 +4f +00 +1d +00 +56 +00 +19 +00 +5b +00 +23 +00 +5f +00 +4e +00 +a2 +00 +32 +00 +82 +00 +1e +00 +48 +00 +19 +00 +49 +00 +14 +00 +4f +00 +1c +00 +42 +00 +1d +00 +4d +00 +1d +00 +42 +00 +1a +00 +48 +00 +1b +00 +51 +00 +1d +00 +46 +00 +1a +00 +48 +00 +1b +00 +42 +00 +16 +00 +40 +00 +1b +00 +4a +00 +1c +00 +44 +00 +1d +00 +53 +00 +19 +00 +4b +00 +1e +00 +73 +00 +fc +00 +aa +30 +71 +00 +0f +10 +43 +00 +98 +00 +23 +00 +57 +00 +1f +00 +44 +00 +17 +00 +49 +00 +1d +00 +50 +00 +1e +00 +49 +00 +1f +00 +5f +00 +4b +00 +65 +00 +23 +00 +5c +00 +1b +00 +4b +00 +17 +00 +49 +00 +1e +00 +57 +00 +1e +00 +5f +00 +24 +00 +5f +00 +24 +00 +5f +00 +21 +00 +52 +00 +1e +00 +3d +00 +1f +00 +5a +00 +1f +00 +53 +00 +1d +00 +5a +00 +2e +00 +b2 +00 +49 +00 +b5 +00 +24 +00 +6b +00 +2d +00 +74 +00 +76 +00 +a6 +10 +dd +00 +c1 +10 +47 +00 +b8 +00 +40 +00 +76 +10 +7b +00 +b6 +00 +18 +00 +4d +00 +19 +00 +42 +00 +1a +00 +4b +00 +24 +00 +51 +00 +26 +00 +57 +00 +1f +00 +5c +00 +19 +00 +3a +00 +11 +00 +32 +00 +15 +00 +2e +00 +11 +00 +2d +00 +16 +00 +31 +00 +10 +00 +30 +00 +13 +00 +30 +00 +16 +00 +42 +00 +1e +00 +53 +00 +18 +00 +43 +00 +1e +00 +48 +00 +18 +00 +4c +00 +20 +00 +6e +00 +36 +00 +80 +00 +50 +00 +8c +00 +22 +00 +5f +00 +25 +00 +6e +00 +31 +00 +8a +00 +40 +00 +89 +00 +24 +00 +55 +00 +31 +00 +8b +00 +8d +00 +2c +20 +47 +00 +99 +00 +24 +00 +7a +00 +2e +00 +78 +00 +3d +00 +8a +00 +35 +00 +b9 +00 +bc +00 +ad +10 +34 +00 +5e +00 +19 +00 +4a +00 +25 +00 +59 +00 +21 +00 +55 +00 +28 +00 +5b +00 +17 +00 +4b +00 +20 +00 +51 +00 +1d +00 +40 +00 +1d +00 +41 +00 +13 +00 +45 +00 +1c +00 +49 +00 +18 +00 +3f +00 +1a +00 +3a +00 +18 +00 +47 +00 +20 +00 +40 +00 +17 +00 +37 +00 +1b +00 +48 +00 +1b +00 +41 +00 +16 +00 +38 +00 +18 +00 +43 +00 +1a +00 +5d +00 +19 +00 +66 +00 +20 +00 +65 +00 +00 +00 +00 +00 +93 +00 +6c +00 +96 +00 +5f +00 +8e +00 +69 +00 +87 +00 +64 +00 +96 +00 +7b +00 +94 +00 +6d +00 +9f +00 +67 +00 +a4 +00 +69 +00 +a7 +00 +60 +00 +9b +00 +66 +00 +a5 +00 +67 +00 +9c +00 +62 +00 +8c +00 +4c +00 +6e +00 +3e +00 +69 +00 +3b +00 +62 +00 +63 +00 +9b +00 +e2 +00 +04 +10 +99 +00 +70 +00 +45 +00 +74 +00 +52 +00 +74 +00 +b0 +00 +ce +00 +66 +00 +78 +00 +7d +00 +d5 +00 +ed +00 +63 +10 +9c +00 +99 +00 +50 +00 +7a +00 +6a +00 +a3 +00 +19 +10 +0c +30 +46 +10 +c4 +10 +8b +20 +95 +40 +c0 +30 +db +60 +cf +40 +f7 +70 +42 +40 +0e +50 +ab +20 +21 +30 +63 +30 +47 +50 +9e +20 +ce +20 +1c +10 +d6 +00 +73 +00 +98 +00 +63 +00 +8f +00 +68 +00 +99 +00 +a4 +00 +ec +00 +ba +00 +bf +00 +7d +00 +9a +00 +8e +00 +cb +00 +ab +00 +ba +00 +95 +00 +dd +00 +ca +10 +33 +40 +1d +10 +fd +00 +6b +00 +97 +00 +65 +00 +b8 +00 +67 +00 +8a +00 +53 +00 +89 +00 +4b +00 +77 +00 +47 +00 +71 +00 +46 +00 +85 +00 +4f +00 +75 +00 +44 +00 +69 +00 +35 +00 +68 +00 +39 +00 +68 +00 +46 +00 +62 +00 +87 +00 +2a +10 +5c +10 +55 +20 +01 +10 +21 +10 +7b +00 +74 +00 +4f +00 +68 +00 +43 +00 +77 +00 +39 +00 +72 +00 +6b +00 +43 +10 +af +00 +45 +10 +d7 +00 +70 +10 +95 +00 +a3 +00 +4b +00 +72 +00 +40 +00 +71 +00 +59 +00 +a3 +00 +60 +00 +a7 +00 +67 +00 +8b +00 +6a +00 +a4 +00 +86 +00 +c3 +00 +a1 +00 +ef +00 +d5 +00 +9b +10 +e4 +00 +16 +10 +53 +10 +26 +20 +63 +10 +fa +10 +64 +20 +69 +30 +51 +10 +f2 +00 +9e +00 +b5 +00 +2a +10 +7b +20 +1b +30 +a7 +70 +e5 +40 +19 +70 +52 +20 +cc +10 +d4 +10 +c0 +30 +e4 +20 +eb +30 +5c +20 +f8 +20 +56 +10 +17 +10 +e3 +00 +11 +10 +4a +10 +32 +10 +cf +00 +09 +10 +80 +10 +54 +20 +de +00 +e0 +00 +dd +00 +3e +10 +1f +10 +fe +10 +db +10 +1d +40 +c5 +30 +f2 +50 +52 +20 +5c +10 +b0 +00 +9b +00 +52 +00 +83 +00 +54 +00 +6a +00 +5a +00 +9d +00 +6d +00 +9c +00 +c8 +00 +93 +10 +6c +20 +4c +50 +94 +20 +f3 +40 +08 +40 +b6 +60 +96 +20 +b5 +30 +40 +10 +d5 +00 +7b +00 +83 +00 +46 +00 +86 +00 +69 +00 +df +00 +58 +00 +87 +00 +45 +00 +72 +00 +49 +00 +63 +00 +3c +00 +64 +00 +37 +00 +58 +00 +47 +00 +b6 +00 +4d +00 +89 +00 +39 +00 +76 +00 +39 +00 +66 +00 +30 +00 +5b +00 +3d +00 +79 +00 +56 +00 +8c +00 +4d +00 +74 +00 +38 +00 +5c +00 +49 +00 +62 +00 +86 +00 +ff +00 +81 +00 +33 +10 +8a +00 +a1 +00 +b4 +00 +55 +10 +8f +00 +cc +00 +88 +00 +8a +00 +53 +00 +6b +00 +3b +00 +69 +00 +2a +00 +59 +00 +3b +00 +60 +00 +2f +00 +57 +00 +2f +00 +57 +00 +2f +00 +67 +00 +34 +00 +55 +00 +38 +00 +6e +00 +3e +00 +83 +00 +3b +00 +57 +00 +2a +00 +48 +00 +2a +00 +4c +00 +28 +00 +40 +00 +26 +00 +50 +00 +28 +00 +43 +00 +26 +00 +3c +00 +30 +00 +4b +00 +2a +00 +45 +00 +24 +00 +46 +00 +2b +00 +3c +00 +29 +00 +49 +00 +2a +00 +46 +00 +25 +00 +47 +00 +22 +00 +45 +00 +2d +00 +49 +00 +2b +00 +48 +00 +5b +00 +f9 +00 +8b +00 +18 +10 +8d +00 +bf +00 +50 +00 +61 +00 +3a +00 +44 +00 +25 +00 +4f +00 +28 +00 +4c +00 +27 +00 +5b +00 +2d +00 +4c +00 +41 +00 +e9 +00 +65 +00 +5d +00 +37 +00 +50 +00 +30 +00 +48 +00 +2b +00 +49 +00 +2b +00 +4e +00 +4d +00 +d0 +00 +a4 +00 +86 +00 +66 +00 +6a +00 +3e +00 +4b +00 +32 +00 +58 +00 +38 +00 +5f +00 +7a +00 +72 +00 +66 +00 +74 +00 +5c +00 +7f +00 +47 +00 +62 +00 +40 +00 +63 +00 +42 +00 +93 +00 +78 +00 +d1 +10 +a7 +00 +f5 +00 +7c +00 +91 +00 +60 +00 +8d +00 +52 +00 +69 +00 +2f +00 +48 +00 +27 +00 +48 +00 +25 +00 +55 +00 +34 +00 +5b +00 +3e +00 +97 +00 +3b +00 +4a +00 +25 +00 +2d +00 +24 +00 +2f +00 +16 +00 +2e +00 +18 +00 +2b +00 +16 +00 +2b +00 +20 +00 +35 +00 +1b +00 +34 +00 +25 +00 +44 +00 +25 +00 +50 +00 +24 +00 +4c +00 +2e +00 +4c +00 +2e +00 +5b +00 +31 +00 +55 +00 +4b +00 +b9 +00 +51 +00 +63 +00 +39 +00 +5d +00 +36 +00 +80 +00 +40 +00 +73 +00 +3e +00 +65 +00 +72 +00 +b8 +00 +8b +00 +7f +10 +9a +10 +46 +20 +c5 +00 +88 +00 +a2 +00 +e0 +10 +92 +00 +85 +00 +78 +00 +a9 +00 +95 +10 +21 +40 +98 +10 +31 +10 +6e +00 +52 +00 +44 +00 +49 +00 +30 +00 +5d +00 +42 +00 +f1 +00 +36 +00 +56 +00 +3a +00 +45 +00 +2e +00 +45 +00 +28 +00 +42 +00 +22 +00 +45 +00 +20 +00 +4b +00 +24 +00 +3f +00 +21 +00 +3e +00 +24 +00 +3c +00 +1e +00 +43 +00 +20 +00 +46 +00 +20 +00 +44 +00 +24 +00 +3f +00 +1d +00 +50 +00 +2e +00 +3d +00 +29 +00 +4a +00 +2d +00 +55 +00 +2e +00 +3f +00 +3a +00 +00 +00 +00 +00 +2f +00 +89 +00 +37 +00 +91 +00 +31 +00 +9b +00 +36 +00 +95 +00 +3c +00 +99 +00 +31 +00 +96 +00 +3e +00 +9a +00 +35 +00 +a8 +00 +2f +00 +a1 +00 +31 +00 +a8 +00 +35 +00 +a5 +00 +34 +00 +92 +00 +2b +00 +77 +00 +2c +00 +6c +00 +24 +00 +68 +00 +28 +00 +72 +00 +70 +00 +ba +10 +6f +00 +eb +00 +2c +00 +6c +00 +22 +00 +70 +00 +57 +00 +4c +20 +9f +00 +e3 +00 +27 +00 +85 +00 +42 +00 +f6 +00 +51 +00 +a9 +00 +2e +00 +7d +00 +35 +00 +80 +00 +38 +00 +e5 +00 +ce +00 +ed +10 +bb +00 +04 +40 +ff +10 +be +50 +c9 +20 +38 +80 +18 +30 +ea +70 +8c +10 +6a +30 +0b +20 +9a +60 +2f +20 +e6 +40 +e5 +00 +8b +10 +42 +00 +9e +00 +3a +00 +9f +00 +38 +00 +a4 +00 +40 +00 +e6 +00 +8e +00 +68 +10 +4a +00 +af +00 +45 +00 +21 +10 +8e +00 +27 +20 +58 +00 +9b +00 +4b +00 +91 +10 +d4 +00 +e1 +10 +4a +00 +a1 +00 +2f +00 +c0 +00 +55 +00 +c6 +00 +3d +00 +89 +00 +33 +00 +74 +00 +28 +00 +6b +00 +24 +00 +7b +00 +39 +00 +75 +00 +2b +00 +73 +00 +28 +00 +65 +00 +27 +00 +61 +00 +2a +00 +5d +00 +2a +00 +85 +00 +4e +00 +3a +10 +92 +00 +6f +10 +47 +00 +b5 +00 +29 +00 +6c +00 +24 +00 +70 +00 +2a +00 +7c +00 +2b +00 +8d +00 +42 +00 +b1 +00 +39 +00 +01 +10 +dd +00 +fb +10 +35 +00 +72 +00 +29 +00 +75 +00 +30 +00 +94 +00 +87 +00 +15 +10 +3c +00 +93 +00 +2a +00 +93 +00 +37 +00 +91 +00 +41 +00 +c8 +00 +5f +00 +12 +10 +62 +00 +1a +10 +51 +00 +35 +10 +8b +00 +a4 +10 +07 +10 +8e +40 +fe +10 +85 +30 +61 +00 +ba +00 +40 +00 +e0 +00 +19 +10 +0f +50 +08 +20 +68 +70 +03 +20 +62 +30 +71 +00 +bf +10 +a8 +10 +d1 +40 +52 +10 +89 +30 +60 +10 +4a +20 +6d +00 +fd +00 +17 +10 +f7 +30 +b2 +00 +34 +10 +63 +00 +4e +20 +b2 +00 +69 +10 +4b +00 +d1 +00 +50 +00 +1b +10 +69 +00 +8f +10 +37 +10 +9d +50 +a2 +20 +e2 +50 +ac +00 +0b +10 +2f +00 +79 +00 +2d +00 +76 +00 +30 +00 +87 +00 +2d +00 +80 +00 +2d +00 +db +00 +e3 +00 +87 +30 +4b +10 +70 +30 +9b +10 +d5 +50 +ae +10 +b7 +30 +b9 +00 +74 +10 +44 +00 +94 +00 +32 +00 +94 +00 +35 +00 +ad +00 +6c +00 +b6 +00 +2f +00 +77 +00 +32 +00 +72 +00 +23 +00 +6f +00 +26 +00 +6d +00 +28 +00 +6c +00 +57 +00 +be +00 +31 +00 +7d +00 +2a +00 +68 +00 +25 +00 +69 +00 +2e +00 +62 +00 +3a +00 +de +00 +58 +00 +92 +00 +2b +00 +61 +00 +25 +00 +61 +00 +27 +00 +84 +00 +49 +00 +c3 +00 +3d +00 +b3 +00 +3b +00 +17 +10 +8d +00 +fc +00 +3f +00 +32 +10 +49 +00 +74 +00 +29 +00 +60 +00 +21 +00 +54 +00 +25 +00 +64 +00 +22 +00 +64 +00 +2a +00 +56 +00 +28 +00 +4f +00 +28 +00 +5d +00 +25 +00 +6c +00 +2c +00 +66 +00 +28 +00 +5c +00 +22 +00 +58 +00 +16 +00 +47 +00 +1a +00 +4e +00 +1c +00 +46 +00 +18 +00 +40 +00 +1e +00 +3d +00 +12 +00 +4c +00 +24 +00 +4d +00 +1c +00 +46 +00 +1d +00 +3a +00 +17 +00 +40 +00 +1c +00 +45 +00 +1b +00 +4c +00 +17 +00 +4a +00 +1a +00 +44 +00 +22 +00 +49 +00 +1b +00 +57 +00 +3a +00 +a7 +00 +44 +00 +b1 +00 +28 +00 +5d +00 +15 +00 +4d +00 +12 +00 +43 +00 +18 +00 +53 +00 +1c +00 +59 +00 +1f +00 +53 +00 +1a +00 +5c +00 +47 +00 +ec +00 +28 +00 +57 +00 +1c +00 +4f +00 +1b +00 +41 +00 +1e +00 +44 +00 +1e +00 +70 +00 +8e +00 +e7 +10 +73 +00 +35 +10 +3c +00 +69 +00 +21 +00 +5e +00 +2b +00 +6c +00 +3a +00 +98 +10 +9a +00 +08 +10 +33 +00 +7c +00 +1f +00 +70 +00 +24 +00 +55 +00 +23 +00 +57 +00 +24 +00 +8c +00 +46 +00 +b8 +00 +4b +00 +a2 +00 +2d +00 +75 +00 +29 +00 +7b +00 +21 +00 +52 +00 +19 +00 +3c +00 +19 +00 +49 +00 +20 +00 +4d +00 +25 +00 +4f +00 +1e +00 +41 +00 +18 +00 +39 +00 +17 +00 +33 +00 +11 +00 +30 +00 +0f +00 +30 +00 +10 +00 +2c +00 +17 +00 +31 +00 +15 +00 +39 +00 +1a +00 +3a +00 +1d +00 +49 +00 +24 +00 +4f +00 +1b +00 +4f +00 +2a +00 +63 +00 +28 +00 +67 +00 +1d +00 +68 +00 +2e +00 +71 +00 +29 +00 +5e +00 +25 +00 +63 +00 +25 +00 +72 +00 +25 +00 +5c +00 +26 +00 +e6 +00 +8d +00 +f6 +00 +6f +00 +0f +30 +69 +10 +b2 +10 +72 +00 +98 +10 +a9 +00 +84 +10 +48 +00 +9d +00 +ed +00 +a3 +30 +b7 +10 +f3 +20 +5f +00 +aa +00 +35 +00 +61 +00 +1f +00 +4a +00 +1e +00 +69 +00 +4c +00 +98 +00 +27 +00 +51 +00 +27 +00 +75 +00 +2e +00 +4b +00 +1b +00 +37 +00 +14 +00 +4c +00 +19 +00 +37 +00 +18 +00 +3b +00 +1a +00 +40 +00 +17 +00 +41 +00 +19 +00 +41 +00 +15 +00 +45 +00 +15 +00 +47 +00 +1d +00 +35 +00 +1a +00 +49 +00 +1e +00 +48 +00 +19 +00 +3e +00 +1f +00 +3e +00 +14 +00 +51 +00 +00 +00 +00 +00 +9a +00 +67 +00 +8e +00 +67 +00 +90 +00 +6f +00 +9b +00 +77 +00 +95 +00 +6c +00 +9a +00 +77 +00 +a4 +00 +63 +00 +9f +00 +6e +00 +a9 +00 +67 +00 +a9 +00 +6a +00 +95 +00 +75 +00 +9a +00 +61 +00 +73 +00 +51 +00 +75 +00 +47 +00 +71 +00 +4f +00 +74 +00 +99 +00 +33 +10 +13 +20 +8f +30 +01 +10 +a4 +00 +5a +00 +6b +00 +57 +00 +a0 +00 +c0 +00 +58 +20 +af +00 +82 +00 +65 +00 +8c +00 +7d +00 +be +00 +62 +00 +82 +00 +61 +00 +90 +00 +c2 +00 +c7 +00 +db +00 +28 +10 +83 +10 +ed +20 +6f +30 +d3 +50 +7a +40 +6a +70 +74 +50 +be +80 +25 +40 +63 +40 +c7 +30 +49 +60 +56 +40 +ac +70 +19 +30 +50 +30 +18 +10 +d3 +00 +a6 +00 +c5 +00 +89 +00 +9b +00 +92 +00 +dd +00 +28 +20 +51 +40 +cc +10 +59 +10 +96 +00 +aa +00 +73 +00 +de +00 +9c +00 +66 +10 +8f +00 +b2 +00 +eb +00 +a4 +10 +c8 +00 +d1 +00 +5d +00 +8e +00 +e9 +00 +c7 +20 +dc +00 +d0 +00 +5e +00 +7b +00 +47 +00 +77 +00 +4a +00 +6d +00 +63 +00 +8c +00 +53 +00 +77 +00 +3f +00 +6a +00 +44 +00 +5f +00 +39 +00 +63 +00 +42 +00 +61 +00 +5f +00 +9a +00 +96 +00 +07 +10 +8b +00 +a2 +00 +54 +00 +66 +00 +45 +00 +6a +00 +39 +00 +7b +00 +36 +00 +73 +00 +54 +00 +94 +00 +ae +00 +ee +00 +14 +10 +bf +10 +a8 +00 +f5 +00 +4d +00 +71 +00 +4b +00 +8a +00 +53 +00 +cd +00 +6c +00 +d2 +00 +50 +00 +8c +00 +42 +00 +95 +00 +5d +00 +96 +00 +81 +00 +55 +10 +e3 +00 +0e +10 +26 +10 +2a +10 +ed +10 +f7 +20 +54 +20 +71 +30 +cd +20 +c2 +50 +1f +20 +cd +10 +b9 +00 +aa +00 +f3 +00 +1a +20 +a0 +30 +06 +70 +f0 +30 +96 +40 +c9 +20 +a5 +20 +b5 +10 +12 +40 +c9 +20 +ef +40 +f4 +10 +7f +20 +0c +10 +5b +10 +b3 +10 +09 +40 +5e +20 +51 +30 +38 +10 +0a +10 +c0 +00 +1d +10 +c4 +00 +e5 +00 +a7 +00 +c0 +00 +b7 +00 +f8 +00 +19 +10 +19 +20 +f4 +10 +fe +40 +1b +20 +00 +30 +fe +00 +aa +00 +5a +00 +6a +00 +48 +00 +73 +00 +4d +00 +77 +00 +85 +00 +a8 +00 +ad +10 +53 +30 +b4 +10 +42 +20 +8d +10 +16 +30 +54 +20 +9f +30 +26 +20 +1a +20 +06 +10 +e8 +00 +87 +00 +9e +00 +59 +00 +96 +00 +4e +00 +9d +00 +55 +00 +96 +00 +4b +00 +6e +00 +53 +00 +71 +00 +49 +00 +69 +00 +3a +00 +76 +00 +3b +00 +74 +00 +3c +00 +7c +00 +3d +00 +6e +00 +36 +00 +6a +00 +3b +00 +6d +00 +3a +00 +80 +00 +46 +00 +b0 +00 +50 +00 +7e +00 +44 +00 +65 +00 +44 +00 +56 +00 +5b +00 +8c +00 +6d +00 +97 +00 +7e +00 +8f +00 +19 +10 +c8 +20 +d4 +00 +d6 +00 +6d +00 +9f +00 +71 +00 +86 +00 +44 +00 +5e +00 +35 +00 +62 +00 +35 +00 +62 +00 +30 +00 +65 +00 +31 +00 +5c +00 +2c +00 +58 +00 +2e +00 +5d +00 +4a +00 +6c +00 +3d +00 +59 +00 +33 +00 +4a +00 +2d +00 +50 +00 +2b +00 +4d +00 +2c +00 +48 +00 +25 +00 +48 +00 +27 +00 +48 +00 +23 +00 +3d +00 +24 +00 +4c +00 +28 +00 +45 +00 +20 +00 +4c +00 +26 +00 +37 +00 +22 +00 +41 +00 +3c +00 +61 +00 +3a +00 +4d +00 +21 +00 +3e +00 +35 +00 +5c +00 +2b +00 +4a +00 +44 +00 +6e +00 +5a +00 +8b +00 +56 +00 +68 +00 +3f +00 +5a +00 +2b +00 +4d +00 +2c +00 +4c +00 +2e +00 +51 +00 +32 +00 +53 +00 +45 +00 +49 +00 +30 +00 +79 +00 +35 +00 +6c +00 +36 +00 +4d +00 +25 +00 +5b +00 +25 +00 +4e +00 +2c +00 +59 +00 +44 +00 +89 +00 +b1 +00 +9e +20 +58 +10 +20 +10 +6c +00 +62 +00 +46 +00 +71 +00 +69 +00 +a9 +00 +53 +10 +a9 +30 +10 +10 +c9 +00 +68 +00 +64 +00 +3d +00 +4b +00 +2e +00 +50 +00 +3a +00 +56 +00 +49 +00 +82 +00 +4c +00 +8b +00 +4b +00 +6f +00 +4b +00 +69 +00 +2e +00 +52 +00 +29 +00 +44 +00 +26 +00 +43 +00 +28 +00 +63 +00 +31 +00 +a4 +00 +3b +00 +48 +00 +26 +00 +47 +00 +1d +00 +32 +00 +17 +00 +31 +00 +1b +00 +2e +00 +18 +00 +2e +00 +1c +00 +2f +00 +1e +00 +34 +00 +23 +00 +34 +00 +28 +00 +4b +00 +22 +00 +59 +00 +21 +00 +40 +00 +21 +00 +4e +00 +33 +00 +4f +00 +2b +00 +5b +00 +2d +00 +5d +00 +2b +00 +4e +00 +36 +00 +52 +00 +27 +00 +5e +00 +33 +00 +5e +00 +36 +00 +7c +00 +65 +00 +52 +10 +aa +00 +09 +10 +db +00 +e6 +20 +45 +10 +20 +10 +35 +10 +7d +30 +1f +10 +37 +10 +bf +00 +ba +10 +44 +10 +50 +20 +d9 +00 +2f +10 +cd +00 +42 +20 +ea +00 +90 +00 +47 +00 +4a +00 +2d +00 +53 +00 +2e +00 +56 +00 +2f +00 +4d +00 +49 +00 +e1 +00 +31 +00 +4c +00 +25 +00 +44 +00 +1c +00 +37 +00 +25 +00 +3c +00 +1d +00 +4f +00 +22 +00 +44 +00 +22 +00 +3a +00 +23 +00 +46 +00 +21 +00 +3d +00 +20 +00 +47 +00 +33 +00 +42 +00 +24 +00 +3a +00 +22 +00 +43 +00 +27 +00 +3e +00 +21 +00 +3f +00 +2e +00 +00 +00 +00 +00 +3b +00 +92 +00 +34 +00 +a9 +00 +3a +00 +a0 +00 +37 +00 +99 +00 +37 +00 +97 +00 +38 +00 +a2 +00 +38 +00 +98 +00 +36 +00 +a3 +00 +35 +00 +9f +00 +38 +00 +9c +00 +3a +00 +ae +00 +35 +00 +91 +00 +2d +00 +71 +00 +3a +00 +7c +00 +2f +00 +73 +00 +2d +00 +88 +00 +c4 +00 +aa +30 +72 +10 +9e +20 +4b +00 +8b +00 +22 +00 +74 +00 +3c +00 +b6 +00 +61 +00 +ff +00 +34 +00 +75 +00 +27 +00 +91 +00 +36 +00 +85 +00 +2c +00 +83 +00 +4f +00 +73 +10 +c9 +00 +ca +10 +63 +00 +af +10 +c9 +10 +71 +60 +05 +20 +6e +60 +e7 +20 +f2 +80 +da +20 +ea +50 +68 +10 +7e +40 +72 +20 +62 +70 +cf +20 +01 +60 +d1 +00 +6f +10 +57 +00 +4d +10 +8a +00 +fd +00 +3d +00 +cf +00 +ac +00 +28 +40 +4e +20 +16 +50 +8e +00 +f2 +00 +3a +00 +95 +00 +2e +00 +c7 +00 +4f +00 +bb +00 +42 +00 +0d +20 +c3 +00 +38 +10 +3c +00 +91 +00 +32 +00 +09 +10 +25 +10 +f7 +10 +4a +00 +9a +00 +2e +00 +7b +00 +32 +00 +76 +00 +2b +00 +ca +00 +9d +00 +0e +10 +24 +00 +69 +00 +23 +00 +68 +00 +25 +00 +67 +00 +24 +00 +5f +00 +23 +00 +63 +00 +3a +00 +aa +00 +42 +00 +ad +00 +22 +00 +7a +00 +25 +00 +6f +00 +2b +00 +60 +00 +23 +00 +66 +00 +2a +00 +7b +00 +4d +00 +93 +10 +aa +00 +0a +20 +93 +00 +3d +10 +43 +00 +92 +00 +2e +00 +76 +00 +31 +00 +75 +00 +30 +00 +8c +00 +3c +00 +88 +00 +34 +00 +86 +00 +32 +00 +91 +00 +3a +00 +94 +00 +85 +00 +37 +10 +9d +00 +04 +20 +0e +10 +7d +40 +d3 +10 +6e +40 +da +10 +df +40 +27 +10 +b1 +20 +78 +00 +d4 +00 +42 +00 +be +00 +c8 +00 +22 +50 +c9 +20 +98 +70 +a1 +10 +5a +30 +c5 +00 +0a +20 +27 +10 +87 +30 +e1 +00 +fa +10 +7e +00 +6a +10 +95 +00 +ee +10 +f3 +10 +8f +40 +c4 +00 +90 +10 +49 +00 +f9 +00 +50 +00 +f5 +00 +40 +00 +b7 +00 +44 +00 +bc +00 +4c +00 +16 +10 +0d +10 +8e +20 +c2 +00 +1f +20 +a6 +00 +97 +10 +39 +00 +8c +00 +2d +00 +6d +00 +26 +00 +6e +00 +2b +00 +7b +00 +d7 +00 +a1 +30 +48 +10 +67 +20 +84 +00 +8b +10 +99 +00 +7a +20 +24 +10 +1f +30 +89 +00 +7a +10 +c8 +00 +4b +10 +3f +00 +8f +00 +34 +00 +93 +00 +3b +00 +90 +00 +46 +00 +9a +00 +41 +00 +c8 +00 +34 +00 +88 +00 +29 +00 +6e +00 +28 +00 +65 +00 +26 +00 +6f +00 +23 +00 +6d +00 +20 +00 +62 +00 +26 +00 +5f +00 +26 +00 +6a +00 +27 +00 +78 +00 +37 +00 +7e +00 +2d +00 +65 +00 +29 +00 +6c +00 +2b +00 +66 +00 +3e +00 +ad +00 +33 +00 +7b +00 +79 +00 +ed +10 +70 +00 +3d +10 +43 +00 +87 +00 +25 +00 +87 +00 +2c +00 +76 +00 +1d +00 +63 +00 +23 +00 +66 +00 +2b +00 +66 +00 +1f +00 +5f +00 +26 +00 +62 +00 +26 +00 +61 +00 +1f +00 +8e +00 +2a +00 +5d +00 +27 +00 +4b +00 +2d +00 +5a +00 +1a +00 +4c +00 +1b +00 +4d +00 +1c +00 +3e +00 +24 +00 +4c +00 +17 +00 +4c +00 +1c +00 +3d +00 +18 +00 +3d +00 +18 +00 +49 +00 +1e +00 +4b +00 +1d +00 +4c +00 +25 +00 +7c +00 +66 +00 +84 +00 +1c +00 +46 +00 +20 +00 +68 +00 +28 +00 +53 +00 +14 +00 +4e +00 +1b +00 +68 +00 +20 +00 +60 +00 +26 +00 +4a +00 +19 +00 +4e +00 +20 +00 +53 +00 +23 +00 +53 +00 +23 +00 +5c +00 +1e +00 +64 +00 +1d +00 +4d +00 +21 +00 +5b +00 +20 +00 +59 +00 +1d +00 +47 +00 +17 +00 +58 +00 +22 +00 +4d +00 +22 +00 +56 +00 +2f +00 +ab +00 +e3 +00 +3b +30 +5f +00 +a8 +00 +2c +00 +6f +00 +33 +00 +a2 +00 +4f +00 +87 +10 +b8 +10 +72 +20 +46 +00 +94 +00 +26 +00 +5a +00 +21 +00 +56 +00 +1d +00 +4d +00 +22 +00 +62 +00 +23 +00 +72 +00 +2b +00 +62 +00 +21 +00 +64 +00 +1b +00 +59 +00 +1c +00 +4e +00 +1b +00 +50 +00 +24 +00 +4e +00 +28 +00 +7f +00 +22 +00 +5a +00 +18 +00 +3b +00 +1a +00 +32 +00 +16 +00 +2f +00 +17 +00 +27 +00 +14 +00 +30 +00 +18 +00 +2f +00 +18 +00 +39 +00 +13 +00 +42 +00 +1d +00 +37 +00 +21 +00 +4e +00 +1c +00 +54 +00 +23 +00 +49 +00 +20 +00 +4d +00 +1b +00 +4d +00 +1e +00 +57 +00 +25 +00 +4b +00 +1c +00 +51 +00 +1c +00 +52 +00 +1f +00 +48 +00 +1e +00 +51 +00 +2b +00 +83 +00 +6b +00 +49 +10 +3c +00 +f9 +00 +74 +00 +74 +10 +76 +00 +6c +10 +08 +10 +a1 +10 +55 +00 +d9 +00 +56 +00 +40 +10 +8c +00 +4c +10 +37 +00 +bd +00 +b5 +00 +f4 +10 +39 +00 +5a +00 +24 +00 +46 +00 +19 +00 +56 +00 +23 +00 +4d +00 +21 +00 +74 +00 +23 +00 +61 +00 +16 +00 +39 +00 +17 +00 +42 +00 +15 +00 +37 +00 +21 +00 +40 +00 +1b +00 +3e +00 +21 +00 +37 +00 +19 +00 +3d +00 +1c +00 +45 +00 +20 +00 +3c +00 +20 +00 +46 +00 +53 +00 +56 +00 +12 +00 +48 +00 +18 +00 +4b +00 +20 +00 +3f +00 +19 +00 +53 +00 +00 +00 +00 +00 +89 +00 +6c +00 +a3 +00 +62 +00 +99 +00 +63 +00 +98 +00 +6a +00 +91 +00 +6f +00 +96 +00 +62 +00 +a8 +00 +63 +00 +a4 +00 +71 +00 +a3 +00 +5a +00 +9b +00 +72 +00 +9a +00 +64 +00 +9e +00 +60 +00 +81 +00 +4c +00 +8e +00 +58 +00 +7d +00 +4e +00 +72 +00 +b6 +00 +ca +10 +71 +20 +94 +40 +ad +10 +6b +10 +81 +00 +80 +00 +5e +00 +8a +00 +61 +00 +b8 +00 +65 +00 +95 +00 +6a +00 +7f +00 +7a +00 +82 +00 +5a +00 +82 +00 +d8 +00 +05 +10 +fa +10 +01 +40 +70 +10 +80 +10 +be +10 +9a +40 +79 +30 +b7 +50 +7c +40 +b7 +70 +ec +40 +36 +70 +e6 +30 +95 +50 +25 +30 +d5 +30 +aa +20 +36 +50 +66 +20 +e8 +20 +00 +10 +fc +00 +8e +10 +e2 +20 +f5 +00 +d6 +00 +0a +10 +a8 +20 +3e +30 +d8 +60 +bb +20 +6a +30 +de +00 +a2 +00 +66 +00 +9f +00 +5b +00 +9f +00 +7b +00 +a9 +00 +c4 +00 +ca +10 +c0 +00 +a9 +00 +6e +00 +a4 +00 +f5 +00 +be +20 +41 +10 +20 +10 +75 +00 +9b +00 +40 +00 +75 +00 +45 +00 +73 +00 +5c +00 +34 +10 +7a +00 +a7 +00 +34 +00 +5a +00 +45 +00 +6a +00 +44 +00 +6a +00 +3f +00 +5f +00 +4d +00 +63 +00 +62 +00 +80 +00 +66 +00 +7b +00 +4b +00 +6e +00 +40 +00 +65 +00 +3a +00 +5d +00 +56 +00 +6e +00 +a1 +00 +ba +00 +11 +10 +ce +10 +49 +10 +a5 +10 +8f +00 +b2 +00 +54 +00 +70 +00 +3a +00 +78 +00 +56 +00 +7e +00 +4f +00 +95 +00 +51 +00 +85 +00 +5f +00 +85 +00 +5b +00 +94 +00 +7c +00 +be +00 +50 +10 +e2 +10 +4b +20 +b3 +30 +7f +30 +43 +60 +11 +40 +b6 +60 +d8 +30 +de +30 +a6 +10 +5d +10 +cf +00 +9f +00 +d6 +00 +38 +10 +8a +20 +3f +60 +72 +30 +ae +40 +4c +20 +3b +20 +6e +10 +b4 +10 +10 +10 +d7 +10 +1b +10 +59 +10 +33 +10 +ca +20 +3b +30 +e4 +50 +02 +20 +70 +20 +21 +10 +03 +10 +aa +00 +db +00 +ab +00 +c0 +00 +a6 +00 +b8 +00 +a4 +00 +ae +00 +cd +00 +cf +10 +2a +10 +cf +10 +e2 +00 +1b +10 +ba +00 +12 +10 +91 +00 +88 +00 +48 +00 +76 +00 +4f +00 +70 +00 +e4 +00 +8a +20 +19 +20 +d8 +20 +43 +10 +6d +10 +1d +10 +4e +10 +b9 +10 +89 +30 +41 +10 +7c +10 +e3 +00 +f6 +10 +b4 +00 +fd +00 +78 +00 +8b +00 +5f +00 +93 +00 +54 +00 +c8 +00 +56 +00 +9e +00 +6b +00 +b5 +00 +51 +00 +77 +00 +3e +00 +69 +00 +3a +00 +62 +00 +45 +00 +6c +00 +3b +00 +6c +00 +35 +00 +64 +00 +32 +00 +61 +00 +39 +00 +61 +00 +42 +00 +7a +00 +47 +00 +83 +00 +a9 +00 +e8 +00 +51 +00 +6f +00 +5b +00 +9f +00 +7c +00 +8f +00 +6d +00 +06 +10 +79 +00 +d6 +00 +6f +00 +ab +00 +59 +00 +6b +00 +3d +00 +67 +00 +44 +00 +68 +00 +37 +00 +66 +00 +39 +00 +67 +00 +2d +00 +58 +00 +37 +00 +57 +00 +4a +00 +6c +00 +3d +00 +61 +00 +3a +00 +6b +00 +3f +00 +55 +00 +31 +00 +47 +00 +31 +00 +4a +00 +2c +00 +51 +00 +2c +00 +49 +00 +2f +00 +54 +00 +23 +00 +42 +00 +24 +00 +46 +00 +26 +00 +3d +00 +2a +00 +3c +00 +27 +00 +47 +00 +24 +00 +4c +00 +40 +00 +76 +00 +89 +00 +9a +00 +4e +00 +5f +00 +2f +00 +52 +00 +36 +00 +58 +00 +2e +00 +48 +00 +2b +00 +4d +00 +42 +00 +55 +00 +36 +00 +4b +00 +2c +00 +40 +00 +30 +00 +43 +00 +2a +00 +52 +00 +4a +00 +62 +00 +6d +00 +75 +00 +61 +00 +51 +00 +32 +00 +4a +00 +26 +00 +45 +00 +31 +00 +55 +00 +28 +00 +41 +00 +2d +00 +4f +00 +31 +00 +4b +00 +39 +00 +6e +00 +78 +00 +79 +10 +d7 +00 +2a +10 +74 +00 +88 +00 +64 +00 +c7 +00 +c9 +00 +4d +10 +07 +10 +6c +30 +9d +10 +60 +10 +94 +00 +6f +00 +3f +00 +4f +00 +2f +00 +53 +00 +38 +00 +4f +00 +38 +00 +52 +00 +41 +00 +58 +00 +3b +00 +4e +00 +2e +00 +54 +00 +27 +00 +43 +00 +27 +00 +4f +00 +23 +00 +46 +00 +2f +00 +93 +00 +4e +00 +58 +00 +28 +00 +3a +00 +22 +00 +3a +00 +24 +00 +30 +00 +1f +00 +2e +00 +21 +00 +32 +00 +1c +00 +3c +00 +1a +00 +37 +00 +1f +00 +3c +00 +23 +00 +49 +00 +28 +00 +48 +00 +21 +00 +55 +00 +24 +00 +4d +00 +2c +00 +43 +00 +21 +00 +50 +00 +2a +00 +57 +00 +2c +00 +55 +00 +28 +00 +42 +00 +26 +00 +4f +00 +2c +00 +51 +00 +2b +00 +53 +00 +31 +00 +55 +00 +4a +00 +87 +00 +63 +00 +a9 +00 +8c +00 +c7 +00 +a3 +00 +d9 +00 +a7 +00 +ee +00 +b0 +00 +34 +10 +d1 +00 +e1 +00 +bb +00 +15 +10 +a2 +00 +a5 +00 +7b +00 +a5 +00 +5b +00 +ae +00 +53 +00 +62 +00 +2f +00 +4e +00 +2a +00 +5b +00 +24 +00 +4e +00 +2a +00 +54 +00 +2e +00 +4c +00 +23 +00 +41 +00 +25 +00 +42 +00 +19 +00 +3c +00 +24 +00 +48 +00 +1f +00 +43 +00 +1e +00 +46 +00 +22 +00 +43 +00 +24 +00 +42 +00 +25 +00 +40 +00 +2d +00 +64 +00 +2d +00 +4d +00 +24 +00 +47 +00 +25 +00 +42 +00 +23 +00 +46 +00 +41 +00 +00 +00 +00 +00 +34 +00 +9d +00 +3c +00 +9b +00 +35 +00 +98 +00 +35 +00 +94 +00 +2f +00 +8b +00 +35 +00 +9b +00 +37 +00 +95 +00 +3e +00 +b7 +00 +3b +00 +9d +00 +3d +00 +9d +00 +3b +00 +9d +00 +36 +00 +93 +00 +28 +00 +79 +00 +2c +00 +8c +00 +39 +00 +88 +00 +28 +00 +8f +00 +be +00 +08 +40 +b7 +10 +41 +30 +7b +00 +e5 +00 +33 +00 +6f +00 +2b +00 +9b +00 +2f +00 +88 +00 +2f +00 +80 +00 +32 +00 +a9 +00 +35 +00 +79 +00 +3f +00 +34 +10 +2e +10 +19 +40 +57 +10 +54 +20 +67 +00 +5f +10 +e6 +00 +a4 +30 +a1 +10 +47 +60 +b1 +20 +fc +70 +db +10 +e8 +40 +bf +10 +e2 +40 +3c +10 +4a +30 +e1 +00 +51 +20 +92 +00 +1d +10 +7c +00 +ac +20 +d1 +00 +ab +10 +4f +00 +ef +00 +83 +10 +85 +50 +2a +20 +5d +40 +de +00 +54 +10 +40 +00 +93 +00 +2b +00 +9b +00 +2c +00 +8d +00 +35 +00 +cf +00 +50 +00 +ca +00 +33 +00 +91 +00 +39 +00 +0a +10 +f5 +00 +21 +30 +75 +00 +c9 +00 +2f +00 +78 +00 +23 +00 +72 +00 +26 +00 +84 +00 +30 +00 +a0 +00 +34 +00 +62 +00 +2b +00 +6f +00 +30 +00 +7f +00 +2b +00 +7a +00 +26 +00 +5c +00 +1f +00 +64 +00 +22 +00 +6c +00 +31 +00 +d6 +00 +31 +00 +5b +00 +27 +00 +67 +00 +2b +00 +81 +00 +48 +00 +2c +10 +9b +00 +a5 +10 +c2 +00 +1d +20 +70 +00 +e7 +00 +38 +00 +81 +00 +2c +00 +74 +00 +2e +00 +7f +00 +2c +00 +84 +00 +33 +00 +81 +00 +39 +00 +89 +00 +3b +00 +91 +00 +35 +00 +a5 +00 +83 +00 +0b +30 +fc +00 +66 +30 +cc +10 +36 +50 +19 +20 +2e +60 +7d +20 +76 +70 +a1 +10 +d1 +20 +68 +00 +d8 +00 +37 +00 +ae +00 +8c +00 +a1 +30 +e0 +10 +f2 +40 +32 +10 +40 +30 +15 +10 +0c +20 +6e +00 +5f +10 +7b +00 +6b +10 +67 +00 +9b +10 +61 +10 +9a +50 +90 +20 +9b +40 +b2 +00 +47 +10 +60 +00 +ff +00 +4e +00 +f5 +00 +4e +00 +0d +10 +4d +00 +e3 +00 +40 +00 +b1 +00 +4f +00 +3a +10 +6b +00 +12 +10 +50 +00 +d3 +00 +50 +00 +e5 +00 +3d +00 +93 +00 +35 +00 +73 +00 +2e +00 +ca +00 +2c +10 +71 +30 +b6 +00 +9b +10 +40 +00 +e1 +00 +55 +00 +c0 +10 +10 +10 +16 +20 +66 +00 +e1 +00 +4a +00 +dc +00 +7c +00 +59 +10 +47 +00 +92 +00 +34 +00 +87 +00 +37 +00 +8e +00 +38 +00 +84 +00 +33 +00 +92 +00 +23 +00 +72 +00 +26 +00 +6e +00 +27 +00 +68 +00 +27 +00 +77 +00 +29 +00 +65 +00 +22 +00 +5f +00 +20 +00 +70 +00 +34 +00 +70 +00 +27 +00 +73 +00 +2d +00 +d9 +00 +61 +00 +bd +00 +2d +00 +b2 +00 +3c +00 +7f +00 +40 +00 +89 +00 +3d +00 +9e +00 +3f +00 +9d +00 +2e +00 +73 +00 +25 +00 +68 +00 +27 +00 +67 +00 +26 +00 +5b +00 +24 +00 +59 +00 +2c +00 +5f +00 +23 +00 +6a +00 +23 +00 +9d +00 +58 +00 +76 +00 +23 +00 +61 +00 +40 +00 +7a +00 +21 +00 +58 +00 +1c +00 +51 +00 +18 +00 +50 +00 +1c +00 +55 +00 +1d +00 +49 +00 +26 +00 +48 +00 +1f +00 +4d +00 +18 +00 +46 +00 +1b +00 +48 +00 +18 +00 +5d +00 +23 +00 +5a +00 +25 +00 +59 +00 +9d +00 +cd +20 +55 +00 +7f +00 +1f +00 +50 +00 +1b +00 +57 +00 +28 +00 +5b +00 +1f +00 +48 +00 +1a +00 +52 +00 +27 +00 +60 +00 +1a +00 +51 +00 +20 +00 +4a +00 +16 +00 +4e +00 +23 +00 +7d +00 +6f +00 +26 +10 +6c +00 +a0 +00 +20 +00 +4b +00 +20 +00 +54 +00 +1a +00 +4c +00 +1f +00 +4d +00 +1f +00 +3f +00 +1e +00 +52 +00 +1f +00 +4f +00 +1f +00 +7c +00 +4b +00 +d6 +00 +4b +00 +c4 +00 +28 +00 +7f +00 +51 +00 +8d +10 +8e +00 +2e +10 +f6 +00 +70 +30 +93 +00 +eb +00 +1f +00 +4d +00 +22 +00 +57 +00 +20 +00 +55 +00 +21 +00 +54 +00 +25 +00 +4e +00 +1f +00 +51 +00 +21 +00 +45 +00 +1b +00 +49 +00 +1d +00 +49 +00 +1c +00 +4d +00 +1b +00 +4f +00 +25 +00 +62 +00 +1c +00 +42 +00 +1f +00 +41 +00 +14 +00 +2d +00 +14 +00 +32 +00 +10 +00 +33 +00 +0e +00 +34 +00 +13 +00 +3b +00 +13 +00 +33 +00 +15 +00 +3f +00 +1c +00 +4c +00 +1b +00 +51 +00 +19 +00 +3f +00 +19 +00 +42 +00 +1a +00 +43 +00 +1f +00 +4c +00 +1f +00 +53 +00 +1f +00 +50 +00 +1e +00 +52 +00 +1e +00 +4c +00 +22 +00 +53 +00 +27 +00 +55 +00 +24 +00 +6a +00 +23 +00 +7a +00 +2c +00 +97 +00 +83 +00 +cb +00 +2c +00 +b7 +00 +42 +00 +d4 +00 +80 +00 +69 +10 +97 +00 +49 +10 +31 +00 +8e +00 +29 +00 +83 +00 +30 +00 +82 +00 +30 +00 +83 +00 +64 +00 +7d +00 +1c +00 +42 +00 +1f +00 +4f +00 +16 +00 +4c +00 +1a +00 +4d +00 +1a +00 +44 +00 +1b +00 +38 +00 +1d +00 +43 +00 +1b +00 +43 +00 +1c +00 +4e +00 +15 +00 +48 +00 +20 +00 +3d +00 +1f +00 +4c +00 +1d +00 +40 +00 +17 +00 +51 +00 +21 +00 +43 +00 +18 +00 +47 +00 +1e +00 +43 +00 +1d +00 +44 +00 +19 +00 +66 +00 +00 +00 +00 +00 +9c +00 +6e +00 +93 +00 +63 +00 +92 +00 +5f +00 +96 +00 +69 +00 +8d +00 +75 +00 +9f +00 +6b +00 +95 +00 +6e +00 +9d +00 +64 +00 +b4 +00 +74 +00 +9e +00 +79 +00 +96 +00 +68 +00 +8e +00 +50 +00 +7d +00 +48 +00 +6f +00 +73 +00 +31 +10 +69 +00 +6a +00 +a4 +00 +34 +10 +24 +10 +d4 +20 +40 +10 +9e +20 +15 +10 +d1 +00 +6b +00 +8c +00 +5c +00 +8b +00 +5a +00 +7a +00 +ee +00 +be +10 +39 +10 +d2 +00 +82 +00 +a8 +00 +18 +10 +19 +30 +ff +10 +9b +30 +1e +10 +3b +10 +e8 +00 +6e +10 +7e +10 +8e +20 +18 +30 +bf +50 +82 +30 +a1 +40 +c6 +30 +91 +40 +23 +30 +a1 +40 +d2 +20 +d7 +30 +54 +10 +49 +10 +c8 +00 +42 +10 +d7 +10 +43 +30 +fa +00 +da +00 +d6 +00 +fc +10 +96 +10 +a2 +30 +6b +10 +a4 +10 +a2 +00 +ab +00 +5e +00 +80 +00 +61 +00 +8b +00 +7d +00 +d6 +00 +95 +00 +c1 +00 +6b +00 +98 +00 +82 +00 +f5 +00 +d9 +10 +42 +40 +1a +20 +35 +20 +a6 +00 +88 +00 +4e +00 +73 +00 +48 +00 +6e +00 +48 +00 +81 +00 +45 +00 +73 +00 +47 +00 +6c +00 +4e +00 +97 +00 +7d +00 +a1 +00 +54 +00 +73 +00 +43 +00 +68 +00 +4b +00 +69 +00 +41 +00 +67 +00 +38 +00 +78 +00 +3d +00 +69 +00 +48 +00 +76 +00 +9a +00 +ac +10 +04 +20 +28 +40 +4a +10 +f5 +10 +ac +00 +12 +10 +82 +00 +93 +00 +44 +00 +73 +00 +40 +00 +76 +00 +50 +00 +80 +00 +53 +00 +8b +00 +52 +00 +90 +00 +61 +00 +99 +00 +67 +00 +91 +00 +12 +10 +c5 +20 +44 +20 +ae +30 +0e +20 +31 +50 +d0 +20 +4e +50 +2e +30 +b9 +60 +45 +40 +53 +70 +3f +30 +ee +10 +eb +00 +ae +00 +b5 +00 +0a +10 +a9 +10 +04 +40 +92 +20 +d8 +30 +28 +20 +1b +30 +87 +10 +8a +10 +0f +10 +5a +10 +2a +10 +df +10 +13 +10 +00 +20 +9e +10 +03 +40 +ae +10 +65 +20 +e1 +10 +62 +10 +89 +10 +44 +20 +e5 +10 +27 +20 +79 +10 +28 +20 +d9 +00 +cc +00 +ab +00 +c9 +00 +96 +00 +dd +00 +a0 +00 +cc +00 +68 +00 +b9 +00 +5c +00 +af +00 +6a +00 +81 +00 +5e +00 +88 +00 +50 +10 +d5 +30 +b7 +10 +47 +20 +0e +10 +e2 +00 +af +00 +c6 +00 +c2 +00 +40 +10 +b3 +00 +03 +10 +87 +00 +a2 +00 +77 +00 +22 +10 +56 +10 +7d +10 +73 +00 +83 +00 +53 +00 +7b +00 +45 +00 +75 +00 +46 +00 +76 +00 +4e +00 +71 +00 +42 +00 +75 +00 +42 +00 +6c +00 +3f +00 +63 +00 +3a +00 +65 +00 +35 +00 +67 +00 +39 +00 +6b +00 +38 +00 +71 +00 +42 +00 +6c +00 +48 +00 +69 +00 +46 +00 +79 +00 +4f +00 +85 +00 +5f +00 +06 +10 +6c +00 +8f +00 +5d +00 +a3 +00 +52 +00 +8b +00 +56 +00 +76 +00 +6b +00 +a1 +00 +6b +00 +70 +00 +75 +00 +6f +00 +54 +00 +6a +00 +40 +00 +64 +00 +41 +00 +68 +00 +54 +00 +8d +00 +50 +00 +a8 +00 +44 +00 +68 +00 +31 +00 +7a +00 +3c +00 +5d +00 +2a +00 +53 +00 +35 +00 +51 +00 +28 +00 +4a +00 +2b +00 +50 +00 +2b +00 +4e +00 +29 +00 +50 +00 +30 +00 +44 +00 +29 +00 +53 +00 +37 +00 +89 +00 +7e +00 +76 +00 +37 +00 +52 +00 +3c +00 +a2 +00 +6e +00 +81 +10 +61 +00 +6e +00 +3b +00 +4f +00 +2d +00 +5b +00 +2e +00 +63 +00 +64 +00 +6e +00 +3b +00 +84 +00 +7e +00 +ad +00 +3c +00 +4a +00 +26 +00 +44 +00 +31 +00 +5d +00 +8b +00 +b4 +20 +a1 +10 +aa +20 +a3 +00 +75 +00 +3a +00 +4b +00 +34 +00 +44 +00 +28 +00 +3f +00 +30 +00 +4f +00 +35 +00 +46 +00 +2e +00 +4f +00 +3d +00 +5f +00 +5a +00 +90 +00 +61 +00 +9a +00 +69 +00 +83 +00 +bf +00 +c9 +00 +60 +10 +52 +20 +ea +00 +ad +10 +00 +10 +6a +10 +a9 +00 +71 +00 +43 +00 +55 +00 +30 +00 +58 +00 +2c +00 +4d +00 +2f +00 +55 +00 +2d +00 +5f +00 +32 +00 +78 +00 +2b +00 +4c +00 +2a +00 +49 +00 +26 +00 +4d +00 +26 +00 +44 +00 +24 +00 +47 +00 +2d +00 +43 +00 +1d +00 +3d +00 +1e +00 +36 +00 +1d +00 +34 +00 +1d +00 +35 +00 +1c +00 +32 +00 +1e +00 +2e +00 +24 +00 +33 +00 +27 +00 +4c +00 +31 +00 +73 +00 +2a +00 +47 +00 +29 +00 +51 +00 +22 +00 +4b +00 +27 +00 +50 +00 +1d +00 +45 +00 +26 +00 +5e +00 +28 +00 +4e +00 +25 +00 +3e +00 +22 +00 +55 +00 +21 +00 +4c +00 +29 +00 +65 +00 +38 +00 +63 +00 +36 +00 +66 +00 +4d +00 +61 +00 +6b +00 +bb +10 +c4 +00 +e9 +00 +b2 +00 +b6 +00 +8d +00 +a5 +00 +1e +10 +b3 +20 +22 +10 +d5 +00 +89 +00 +71 +00 +76 +00 +79 +00 +54 +00 +6c +00 +46 +00 +8c +00 +37 +00 +6c +00 +2f +00 +46 +00 +28 +00 +4f +00 +24 +00 +4e +00 +28 +00 +3e +00 +27 +00 +3f +00 +1e +00 +49 +00 +1e +00 +3a +00 +20 +00 +45 +00 +25 +00 +3d +00 +20 +00 +40 +00 +1d +00 +41 +00 +20 +00 +3e +00 +23 +00 +48 +00 +22 +00 +4e +00 +33 +00 +91 +00 +30 +00 +45 +00 +2d +00 +48 +00 +28 +00 +48 +00 +37 +00 +00 +00 +00 +00 +30 +00 +90 +00 +3c +00 +9d +00 +31 +00 +98 +00 +2f +00 +9d +00 +33 +00 +9f +00 +38 +00 +9c +00 +3a +00 +ab +00 +3c +00 +a1 +00 +3e +00 +a7 +00 +3b +00 +ab +00 +36 +00 +93 +00 +38 +00 +8d +00 +26 +00 +6c +00 +26 +00 +91 +00 +43 +00 +9c +00 +29 +00 +80 +00 +91 +00 +3f +20 +88 +00 +5b +10 +bb +00 +7d +20 +76 +00 +99 +00 +2d +00 +7f +00 +2c +00 +82 +00 +42 +00 +9e +10 +52 +10 +8d +30 +72 +00 +a4 +00 +46 +00 +72 +10 +db +00 +df +20 +c9 +00 +82 +10 +43 +00 +d9 +00 +5c +00 +7a +10 +ac +00 +9c +30 +a0 +10 +ed +30 +ce +10 +c5 +50 +37 +20 +d0 +50 +45 +10 +01 +30 +d5 +00 +29 +20 +57 +00 +d7 +00 +7a +00 +c6 +20 +dd +00 +97 +10 +3e +00 +bf +00 +64 +00 +96 +10 +79 +00 +96 +10 +55 +00 +bc +00 +41 +00 +8d +00 +25 +00 +7b +00 +2a +00 +83 +00 +a7 +00 +d2 +10 +45 +00 +8d +00 +2a +00 +7e +00 +8e +00 +f4 +20 +01 +20 +6a +40 +92 +00 +e4 +00 +2f +00 +7d +00 +29 +00 +68 +00 +28 +00 +6e +00 +2e +00 +76 +00 +23 +00 +6d +00 +1f +00 +79 +00 +45 +00 +99 +00 +40 +00 +84 +00 +23 +00 +63 +00 +23 +00 +58 +00 +1e +00 +5e +00 +30 +00 +74 +00 +2e +00 +81 +00 +2e +00 +7a +00 +2e +00 +a0 +00 +d0 +00 +97 +30 +00 +10 +f6 +10 +6b +00 +0a +10 +4a +00 +a3 +00 +31 +00 +73 +00 +2a +00 +69 +00 +2f +00 +82 +00 +2a +00 +74 +00 +2c +00 +89 +00 +33 +00 +ad +00 +36 +00 +a0 +00 +3d +00 +72 +10 +7e +10 +a4 +30 +e9 +00 +40 +20 +11 +10 +9b +30 +17 +10 +e6 +20 +80 +10 +7c +50 +07 +20 +eb +40 +de +00 +37 +10 +3d +00 +bd +00 +4b +00 +af +10 +fd +00 +45 +30 +f8 +00 +3c +20 +db +00 +e9 +10 +7b +00 +91 +10 +61 +00 +41 +10 +69 +00 +29 +10 +68 +00 +90 +10 +9c +00 +15 +20 +b8 +00 +97 +30 +32 +10 +a2 +20 +a8 +10 +4f +40 +0d +10 +1d +20 +8a +00 +4f +10 +60 +00 +c8 +00 +3f +00 +b6 +00 +4c +00 +c6 +00 +43 +00 +93 +00 +40 +00 +b1 +00 +3b +00 +85 +00 +35 +00 +83 +00 +67 +00 +9f +20 +66 +10 +c0 +20 +84 +00 +44 +10 +39 +00 +9a +00 +33 +00 +af +00 +46 +00 +c8 +00 +3f +00 +96 +00 +3b +00 +a9 +00 +73 +00 +0f +20 +83 +00 +e3 +00 +29 +00 +80 +00 +34 +00 +89 +00 +2b +00 +73 +00 +2b +00 +85 +00 +2d +00 +6f +00 +28 +00 +67 +00 +22 +00 +62 +00 +2b +00 +5c +00 +29 +00 +6a +00 +26 +00 +65 +00 +32 +00 +72 +00 +31 +00 +73 +00 +2a +00 +7d +00 +30 +00 +75 +00 +1f +00 +73 +00 +31 +00 +7b +00 +30 +00 +af +00 +3d +00 +85 +00 +31 +00 +85 +00 +32 +00 +73 +00 +30 +00 +a5 +00 +5a +00 +c1 +00 +4b +00 +a0 +00 +31 +00 +6b +00 +25 +00 +6e +00 +30 +00 +69 +00 +2b +00 +d2 +00 +53 +00 +a0 +00 +2e +00 +6d +00 +22 +00 +6a +00 +26 +00 +54 +00 +1f +00 +53 +00 +23 +00 +52 +00 +1b +00 +4e +00 +19 +00 +4f +00 +1c +00 +4a +00 +1c +00 +51 +00 +1b +00 +4b +00 +1d +00 +50 +00 +22 +00 +5a +00 +40 +00 +a1 +00 +38 +00 +5c +00 +23 +00 +5e +00 +28 +00 +85 +00 +42 +00 +97 +00 +23 +00 +5a +00 +24 +00 +54 +00 +1c +00 +55 +00 +2a +00 +b1 +00 +36 +00 +5f +00 +37 +00 +bb +00 +5c +00 +9c +00 +1f +00 +49 +00 +1d +00 +58 +00 +38 +00 +c9 +00 +f9 +00 +30 +20 +82 +00 +00 +10 +3c +00 +5c +00 +20 +00 +46 +00 +1b +00 +41 +00 +25 +00 +5a +00 +25 +00 +53 +00 +1b +00 +4e +00 +1f +00 +54 +00 +2c +00 +74 +00 +23 +00 +72 +00 +2e +00 +6e +00 +46 +00 +f9 +10 +3b +10 +35 +30 +f8 +00 +71 +10 +50 +00 +ee +00 +61 +00 +21 +10 +4f +00 +80 +00 +20 +00 +4e +00 +20 +00 +4d +00 +1d +00 +44 +00 +1b +00 +5b +00 +30 +00 +6c +00 +29 +00 +5d +00 +19 +00 +4e +00 +18 +00 +55 +00 +1f +00 +3b +00 +18 +00 +4a +00 +1b +00 +40 +00 +12 +00 +3b +00 +15 +00 +34 +00 +11 +00 +35 +00 +16 +00 +37 +00 +11 +00 +31 +00 +18 +00 +2e +00 +16 +00 +3b +00 +23 +00 +53 +00 +49 +00 +83 +00 +25 +00 +4d +00 +1e +00 +56 +00 +24 +00 +47 +00 +16 +00 +3c +00 +1a +00 +47 +00 +18 +00 +50 +00 +25 +00 +5d +00 +20 +00 +53 +00 +1d +00 +52 +00 +21 +00 +54 +00 +1f +00 +55 +00 +19 +00 +5e +00 +29 +00 +5a +00 +26 +00 +5d +00 +1f +00 +83 +00 +5e +00 +f4 +00 +6b +00 +b5 +10 +5f +00 +9e +00 +3f +00 +a5 +10 +6e +10 +b3 +20 +42 +00 +7d +00 +6b +00 +c9 +00 +33 +00 +7c +00 +22 +00 +61 +00 +19 +00 +58 +00 +1e +00 +4b +00 +1a +00 +45 +00 +21 +00 +45 +00 +1a +00 +44 +00 +17 +00 +50 +00 +1a +00 +49 +00 +23 +00 +45 +00 +1d +00 +3e +00 +18 +00 +44 +00 +1e +00 +48 +00 +17 +00 +43 +00 +16 +00 +3f +00 +1c +00 +50 +00 +1b +00 +43 +00 +1e +00 +55 +00 +59 +00 +6d +00 +23 +00 +48 +00 +16 +00 +40 +00 +1c +00 +4a +00 +00 +00 +00 +00 +98 +00 +6d +00 +a1 +00 +63 +00 +9c +00 +6c +00 +9b +00 +68 +00 +a4 +00 +56 +00 +99 +00 +6c +00 +99 +00 +76 +00 +aa +00 +5e +00 +ad +00 +70 +00 +af +00 +69 +00 +ae +00 +6f +00 +8b +00 +53 +00 +72 +00 +40 +00 +6e +00 +45 +00 +63 +00 +51 +00 +6c +00 +68 +00 +b5 +00 +b0 +00 +24 +10 +a9 +00 +d3 +00 +90 +00 +50 +10 +92 +00 +89 +00 +66 +00 +77 +00 +80 +00 +b5 +00 +c5 +10 +58 +30 +7d +10 +a5 +10 +cd +00 +d9 +00 +34 +10 +66 +20 +08 +10 +5e +10 +ca +00 +cf +00 +b8 +00 +f7 +00 +2f +10 +51 +10 +51 +10 +36 +20 +02 +20 +d5 +30 +ee +20 +01 +50 +14 +20 +7d +20 +47 +10 +7d +10 +cc +00 +e6 +00 +a3 +00 +e1 +00 +50 +10 +0c +20 +ce +00 +b6 +00 +a4 +00 +ec +00 +f6 +00 +62 +10 +c5 +00 +e3 +00 +64 +00 +98 +00 +4c +00 +77 +00 +41 +00 +6c +00 +53 +00 +a5 +00 +67 +00 +dd +00 +54 +00 +7b +00 +6d +00 +b0 +00 +4f +10 +ee +20 +81 +10 +dd +10 +9d +00 +84 +00 +44 +00 +6a +00 +3e +00 +78 +00 +4a +00 +68 +00 +49 +00 +6f +00 +38 +00 +73 +00 +7f +00 +e9 +00 +77 +00 +9c +00 +51 +00 +75 +00 +47 +00 +66 +00 +39 +00 +43 +00 +3a +00 +67 +00 +37 +00 +6a +00 +5d +00 +bf +00 +13 +10 +30 +10 +d7 +00 +69 +10 +bf +00 +9b +10 +13 +10 +2a +10 +8c +00 +b1 +00 +49 +00 +81 +00 +3f +00 +69 +00 +48 +00 +76 +00 +49 +00 +8b +00 +4a +00 +74 +00 +59 +00 +9b +00 +83 +00 +1a +10 +89 +00 +a1 +00 +e8 +00 +ee +10 +1c +10 +c0 +10 +3a +10 +86 +10 +3f +10 +d7 +10 +95 +10 +f8 +10 +bf +20 +24 +40 +41 +30 +6d +30 +77 +10 +f8 +00 +a9 +00 +d3 +00 +8b +10 +97 +30 +7e +30 +35 +50 +8e +20 +e8 +30 +0c +20 +dd +10 +4d +10 +51 +10 +38 +10 +6d +10 +df +00 +22 +10 +2b +10 +b0 +10 +0d +20 +16 +40 +b8 +20 +bb +30 +b0 +20 +0b +50 +99 +10 +04 +20 +f5 +00 +25 +10 +fb +00 +8a +20 +c8 +00 +d3 +00 +87 +00 +a6 +00 +71 +00 +90 +00 +5e +00 +9c +00 +61 +00 +8d +00 +64 +00 +8c +00 +97 +00 +b9 +00 +eb +00 +b0 +10 +f1 +00 +93 +10 +f5 +00 +ca +00 +8e +00 +98 +00 +86 +00 +ae +00 +79 +00 +ba +00 +81 +00 +8e +00 +6e +00 +fe +00 +9c +00 +ef +00 +7d +00 +92 +00 +50 +00 +7e +00 +42 +00 +7b +00 +47 +00 +7a +00 +47 +00 +6c +00 +41 +00 +70 +00 +40 +00 +63 +00 +36 +00 +62 +00 +3f +00 +6c +00 +39 +00 +6e +00 +3b +00 +65 +00 +43 +00 +78 +00 +40 +00 +79 +00 +4d +00 +79 +00 +3c +00 +74 +00 +41 +00 +7a +00 +3a +00 +8d +00 +51 +00 +90 +00 +54 +00 +7e +00 +5f +00 +7d +00 +62 +00 +ca +00 +fa +00 +a8 +10 +20 +20 +7b +30 +99 +10 +1b +10 +89 +00 +80 +00 +71 +00 +74 +00 +79 +00 +7d +00 +69 +00 +c9 +00 +50 +00 +77 +00 +35 +00 +55 +00 +39 +00 +59 +00 +3d +00 +63 +00 +34 +00 +4c +00 +31 +00 +5c +00 +2d +00 +52 +00 +31 +00 +48 +00 +2e +00 +4c +00 +27 +00 +48 +00 +28 +00 +58 +00 +3e +00 +61 +00 +3a +00 +62 +00 +33 +00 +64 +00 +4c +00 +8e +00 +37 +00 +66 +00 +40 +00 +71 +00 +39 +00 +59 +00 +35 +00 +50 +00 +28 +00 +5a +00 +33 +00 +58 +00 +34 +00 +59 +00 +34 +00 +6b +00 +36 +00 +6a +00 +39 +00 +71 +00 +2e +00 +54 +00 +51 +00 +a5 +00 +cc +10 +94 +20 +e8 +00 +19 +10 +77 +00 +a6 +00 +56 +00 +51 +00 +2a +00 +3f +00 +20 +00 +4b +00 +28 +00 +62 +00 +2c +00 +53 +00 +2e +00 +5b +00 +7e +00 +b0 +00 +6b +00 +70 +00 +57 +00 +65 +00 +4b +00 +8c +00 +db +00 +e2 +20 +fe +00 +8a +10 +e4 +00 +50 +10 +b9 +00 +09 +10 +bd +00 +e0 +00 +57 +00 +54 +00 +3b +00 +4a +00 +2c +00 +41 +00 +27 +00 +54 +00 +33 +00 +65 +00 +30 +00 +5c +00 +2d +00 +53 +00 +23 +00 +4a +00 +24 +00 +3b +00 +28 +00 +45 +00 +28 +00 +42 +00 +29 +00 +40 +00 +20 +00 +3a +00 +1e +00 +2e +00 +1a +00 +2d +00 +1d +00 +34 +00 +22 +00 +35 +00 +1a +00 +2c +00 +26 +00 +41 +00 +2d +00 +60 +00 +30 +00 +5e +00 +28 +00 +53 +00 +27 +00 +51 +00 +21 +00 +4f +00 +1f +00 +43 +00 +2b +00 +4f +00 +2f +00 +4f +00 +2c +00 +55 +00 +2f +00 +4a +00 +29 +00 +55 +00 +22 +00 +4b +00 +2c +00 +51 +00 +27 +00 +60 +00 +28 +00 +4c +00 +38 +00 +5d +00 +51 +00 +96 +00 +57 +00 +bd +00 +7e +00 +f5 +00 +6f +00 +8e +00 +95 +00 +89 +10 +9c +00 +f1 +00 +77 +00 +3a +10 +a6 +00 +a9 +00 +42 +00 +5e +00 +38 +00 +47 +00 +2d +00 +55 +00 +2b +00 +40 +00 +23 +00 +42 +00 +29 +00 +41 +00 +23 +00 +59 +00 +29 +00 +4b +00 +25 +00 +39 +00 +1d +00 +48 +00 +1f +00 +42 +00 +22 +00 +3a +00 +1c +00 +3d +00 +1e +00 +3a +00 +25 +00 +3a +00 +21 +00 +45 +00 +27 +00 +46 +00 +2c +00 +5e +00 +32 +00 +60 +00 +49 +00 +48 +00 +37 +00 +44 +00 +32 +00 +00 +00 +00 +00 +31 +00 +9e +00 +3a +00 +8b +00 +36 +00 +95 +00 +39 +00 +8d +00 +3a +00 +a1 +00 +37 +00 +a6 +00 +38 +00 +b2 +00 +39 +00 +a1 +00 +3a +00 +a4 +00 +33 +00 +9d +00 +32 +00 +a5 +00 +30 +00 +83 +00 +2b +00 +61 +00 +23 +00 +6c +00 +30 +00 +5e +00 +26 +00 +68 +00 +35 +00 +b9 +00 +39 +00 +b7 +00 +3d +00 +b3 +00 +3b +00 +ec +00 +4e +00 +a1 +00 +31 +00 +8a +00 +87 +00 +8a +30 +ad +10 +7d +30 +7a +00 +09 +10 +a7 +00 +41 +20 +a0 +00 +83 +10 +59 +00 +cb +00 +3f +00 +d9 +00 +69 +00 +18 +10 +3e +00 +2d +10 +75 +00 +99 +10 +ab +00 +dc +20 +da +00 +1e +20 +76 +00 +53 +10 +53 +00 +e0 +00 +45 +00 +a2 +00 +55 +00 +2b +20 +b4 +00 +2f +10 +40 +00 +95 +00 +49 +00 +0e +10 +45 +00 +c1 +00 +37 +00 +95 +00 +38 +00 +7e +00 +28 +00 +63 +00 +29 +00 +75 +00 +2d +00 +8f +00 +1f +00 +7d +00 +26 +00 +68 +00 +38 +00 +00 +10 +e4 +00 +42 +30 +6a +00 +e7 +00 +2c +00 +7f +00 +26 +00 +81 +00 +26 +00 +6d +00 +25 +00 +63 +00 +22 +00 +65 +00 +28 +00 +c2 +00 +54 +00 +b1 +00 +2d +00 +83 +00 +5c +00 +9b +00 +2a +00 +55 +00 +1f +00 +56 +00 +29 +00 +75 +00 +2b +00 +68 +00 +6d +00 +0f +20 +cb +00 +81 +10 +4f +00 +18 +10 +7b +00 +d1 +10 +56 +00 +c6 +00 +2c +00 +7a +00 +2e +00 +6e +00 +26 +00 +63 +00 +34 +00 +8e +00 +36 +00 +7f +00 +36 +00 +95 +00 +46 +00 +ca +00 +4a +00 +c8 +00 +37 +00 +c6 +00 +70 +00 +44 +10 +6d +00 +3a +10 +5a +00 +45 +10 +6d +00 +6d +10 +a5 +00 +d1 +30 +4e +20 +d5 +60 +8f +10 +7f +20 +4c +00 +c7 +00 +41 +00 +36 +10 +3d +10 +a4 +50 +e3 +10 +6f +40 +d3 +10 +e6 +30 +b3 +00 +59 +10 +69 +00 +d0 +10 +74 +00 +49 +10 +5d +00 +40 +10 +22 +10 +e7 +30 +2e +10 +ff +30 +47 +10 +65 +40 +63 +10 +71 +20 +78 +00 +1e +10 +61 +00 +38 +10 +8b +00 +45 +10 +48 +00 +b3 +00 +3c +00 +99 +00 +30 +00 +91 +00 +30 +00 +90 +00 +36 +00 +85 +00 +6f +00 +5a +10 +4b +00 +e1 +00 +67 +00 +2d +10 +60 +00 +fd +00 +40 +00 +ab +00 +32 +00 +98 +00 +3d +00 +99 +00 +30 +00 +8e +00 +38 +00 +80 +00 +4a +00 +e7 +00 +46 +00 +b2 +00 +33 +00 +78 +00 +2b +00 +79 +00 +28 +00 +68 +00 +28 +00 +6c +00 +2a +00 +6f +00 +20 +00 +71 +00 +28 +00 +5d +00 +27 +00 +6b +00 +25 +00 +62 +00 +2e +00 +7a +00 +28 +00 +73 +00 +2d +00 +7e +00 +34 +00 +79 +00 +34 +00 +77 +00 +2a +00 +62 +00 +28 +00 +7d +00 +2a +00 +93 +00 +56 +00 +ac +00 +2d +00 +83 +00 +38 +00 +7a +00 +ad +00 +fa +20 +0f +10 +20 +40 +9d +10 +3d +30 +8c +00 +fb +00 +3f +00 +a3 +00 +59 +00 +34 +10 +32 +00 +89 +00 +2f +00 +7a +00 +29 +00 +63 +00 +23 +00 +58 +00 +22 +00 +6b +00 +23 +00 +5d +00 +26 +00 +54 +00 +23 +00 +59 +00 +21 +00 +51 +00 +1b +00 +50 +00 +21 +00 +55 +00 +24 +00 +54 +00 +20 +00 +6d +00 +36 +00 +72 +00 +24 +00 +5b +00 +2a +00 +92 +00 +35 +00 +64 +00 +20 +00 +67 +00 +23 +00 +5d +00 +22 +00 +51 +00 +1c +00 +4a +00 +18 +00 +54 +00 +1c +00 +5c +00 +22 +00 +60 +00 +23 +00 +6b +00 +1e +00 +61 +00 +20 +00 +58 +00 +21 +00 +70 +00 +61 +00 +a5 +30 +15 +10 +80 +10 +4e +00 +c3 +00 +2c +00 +6f +00 +19 +00 +46 +00 +1a +00 +48 +00 +1a +00 +59 +00 +24 +00 +61 +00 +20 +00 +5a +00 +2d +00 +ef +00 +05 +10 +15 +10 +2d +00 +6a +00 +22 +00 +66 +00 +2d +00 +ba +00 +65 +00 +33 +10 +58 +00 +06 +10 +41 +00 +b2 +00 +39 +00 +af +00 +39 +00 +86 +00 +2b +00 +53 +00 +21 +00 +44 +00 +1a +00 +45 +00 +30 +00 +9f +00 +26 +00 +70 +00 +1f +00 +54 +00 +22 +00 +58 +00 +22 +00 +37 +00 +12 +00 +39 +00 +1b +00 +36 +00 +1b +00 +40 +00 +16 +00 +34 +00 +17 +00 +33 +00 +19 +00 +3a +00 +16 +00 +27 +00 +11 +00 +2f +00 +17 +00 +2d +00 +14 +00 +3e +00 +1c +00 +4b +00 +23 +00 +58 +00 +1f +00 +4a +00 +16 +00 +47 +00 +1e +00 +41 +00 +17 +00 +41 +00 +1e +00 +4a +00 +20 +00 +59 +00 +1c +00 +53 +00 +16 +00 +45 +00 +1c +00 +48 +00 +1d +00 +50 +00 +26 +00 +4c +00 +1d +00 +5c +00 +23 +00 +51 +00 +20 +00 +5f +00 +2e +00 +6c +00 +2c +00 +8e +00 +35 +00 +94 +00 +3a +00 +98 +00 +2d +00 +a9 +00 +43 +00 +c5 +00 +35 +00 +80 +00 +39 +00 +9d +00 +38 +00 +69 +00 +22 +00 +56 +00 +1f +00 +4c +00 +1f +00 +3e +00 +15 +00 +4e +00 +23 +00 +3f +00 +1b +00 +43 +00 +1c +00 +53 +00 +1c +00 +46 +00 +1c +00 +46 +00 +17 +00 +43 +00 +1e +00 +43 +00 +1c +00 +3c +00 +19 +00 +3e +00 +17 +00 +3c +00 +1e +00 +3f +00 +1d +00 +53 +00 +17 +00 +51 +00 +1c +00 +52 +00 +26 +00 +f5 +00 +2e +00 +60 +00 +23 +00 +60 +00 +00 +00 +00 +00 +a2 +00 +66 +00 +9c +00 +66 +00 +98 +00 +67 +00 +98 +00 +68 +00 +aa +00 +74 +00 +92 +00 +5d +00 +92 +00 +6a +00 +97 +00 +73 +00 +a1 +00 +69 +00 +9f +00 +69 +00 +9f +00 +61 +00 +90 +00 +5b +00 +69 +00 +3d +00 +5a +00 +4e +00 +6d +00 +40 +00 +5a +00 +57 +00 +73 +00 +78 +00 +93 +00 +63 +00 +8d +00 +5d +00 +94 +00 +5e +00 +ec +00 +6f +00 +80 +00 +b3 +00 +06 +20 +b0 +10 +49 +30 +25 +10 +85 +10 +2f +10 +7a +20 +08 +10 +46 +10 +af +00 +e0 +00 +7e +00 +b6 +00 +a3 +10 +96 +30 +46 +10 +ee +00 +e2 +00 +02 +10 +f5 +00 +21 +10 +fb +00 +95 +10 +0e +10 +4d +10 +cc +00 +e5 +00 +a4 +00 +ae +00 +94 +00 +b8 +00 +d0 +00 +80 +10 +c9 +00 +d9 +00 +f6 +00 +d4 +00 +c4 +00 +bf +00 +85 +00 +87 +00 +60 +00 +9a +00 +51 +00 +79 +00 +4f +00 +70 +00 +4a +00 +80 +00 +4c +00 +7b +00 +44 +00 +60 +00 +58 +00 +80 +00 +be +00 +17 +20 +38 +10 +e8 +10 +84 +00 +9e +00 +4e +00 +70 +00 +39 +00 +7b +00 +3b +00 +77 +00 +42 +00 +63 +00 +3d +00 +65 +00 +4c +00 +88 +00 +5e +00 +7a +00 +5b +00 +9b +00 +4e +00 +64 +00 +51 +00 +66 +00 +62 +00 +80 +00 +45 +00 +6a +00 +4a +00 +84 +00 +8c +00 +e0 +10 +cf +00 +bf +00 +b7 +00 +c8 +10 +ef +00 +3d +10 +73 +00 +7a +00 +40 +00 +63 +00 +40 +00 +60 +00 +42 +00 +7b +00 +63 +00 +8e +00 +5b +00 +92 +00 +58 +00 +a0 +00 +85 +00 +aa +00 +0b +10 +e5 +00 +b9 +10 +74 +10 +61 +10 +3e +10 +1c +10 +f0 +00 +22 +10 +26 +10 +46 +10 +67 +10 +54 +30 +ab +60 +45 +40 +b5 +50 +e2 +10 +47 +10 +bf +00 +be +00 +62 +10 +c4 +20 +88 +20 +be +40 +d4 +30 +e7 +50 +4d +30 +dc +30 +6b +10 +4c +10 +5f +10 +b9 +10 +45 +10 +24 +10 +01 +20 +32 +40 +2e +30 +9e +30 +d0 +10 +1e +20 +93 +10 +fc +20 +3d +10 +74 +10 +d7 +00 +e6 +00 +cd +00 +ec +00 +cd +00 +f2 +00 +af +00 +a7 +00 +6c +00 +94 +00 +5b +00 +87 +00 +53 +00 +80 +00 +d3 +00 +37 +20 +05 +10 +32 +10 +ae +00 +eb +00 +e7 +00 +3f +10 +9e +10 +b3 +10 +e0 +00 +aa +00 +85 +00 +9b +00 +dd +00 +dd +00 +b5 +00 +a3 +00 +84 +00 +3f +10 +c7 +00 +cd +00 +7d +00 +7f +00 +52 +00 +74 +00 +4f +00 +7a +00 +5c +00 +79 +00 +47 +00 +6d +00 +45 +00 +67 +00 +41 +00 +71 +00 +4a +00 +76 +00 +3e +00 +71 +00 +3f +00 +8a +00 +5d +00 +72 +00 +49 +00 +88 +00 +43 +00 +86 +00 +48 +00 +8a +00 +49 +00 +71 +00 +41 +00 +75 +00 +46 +00 +75 +00 +48 +00 +a8 +00 +5c +00 +8c +00 +44 +00 +78 +00 +6b +00 +56 +10 +65 +10 +26 +30 +6d +10 +37 +20 +27 +10 +ce +20 +f5 +10 +5d +10 +c7 +10 +2a +30 +63 +10 +f7 +00 +6f +00 +7a +00 +41 +00 +68 +00 +3c +00 +57 +00 +2f +00 +5c +00 +2c +00 +4f +00 +30 +00 +57 +00 +2c +00 +60 +00 +2b +00 +54 +00 +30 +00 +4a +00 +31 +00 +48 +00 +27 +00 +53 +00 +2a +00 +56 +00 +32 +00 +5f +00 +33 +00 +63 +00 +2f +00 +59 +00 +37 +00 +69 +00 +3c +00 +51 +00 +38 +00 +5b +00 +30 +00 +54 +00 +2c +00 +56 +00 +29 +00 +49 +00 +30 +00 +90 +00 +2c +00 +50 +00 +3a +00 +5d +00 +34 +00 +51 +00 +32 +00 +57 +00 +32 +00 +65 +00 +a4 +00 +80 +10 +0e +20 +05 +30 +64 +10 +0c +10 +91 +00 +6f +00 +41 +00 +54 +00 +28 +00 +42 +00 +2a +00 +47 +00 +2b +00 +4f +00 +2e +00 +5e +00 +3a +00 +6a +00 +bd +00 +77 +30 +35 +10 +c5 +00 +65 +00 +78 +00 +46 +00 +70 +00 +7a +00 +c8 +00 +7c +00 +ef +00 +f4 +00 +f8 +10 +d9 +00 +ad +00 +5c +00 +7a +00 +43 +00 +68 +00 +32 +00 +42 +00 +28 +00 +4a +00 +3b +00 +a0 +00 +45 +00 +7d +00 +2f +00 +63 +00 +28 +00 +45 +00 +27 +00 +45 +00 +22 +00 +40 +00 +23 +00 +40 +00 +1d +00 +39 +00 +21 +00 +32 +00 +1f +00 +33 +00 +19 +00 +28 +00 +15 +00 +2e +00 +1b +00 +34 +00 +1c +00 +30 +00 +20 +00 +34 +00 +24 +00 +47 +00 +34 +00 +4e +00 +24 +00 +4d +00 +26 +00 +40 +00 +22 +00 +4a +00 +25 +00 +49 +00 +2a +00 +4f +00 +29 +00 +52 +00 +25 +00 +5a +00 +27 +00 +55 +00 +28 +00 +4b +00 +25 +00 +3c +00 +25 +00 +4c +00 +23 +00 +60 +00 +32 +00 +4f +00 +2c +00 +52 +00 +55 +00 +e4 +00 +62 +00 +80 +00 +51 +00 +7a +00 +50 +00 +8f +00 +4a +00 +82 +00 +55 +00 +83 +00 +4d +00 +7f +00 +58 +00 +69 +00 +3f +00 +79 +00 +48 +00 +52 +00 +45 +00 +5d +00 +39 +00 +45 +00 +2f +00 +3e +00 +25 +00 +4f +00 +22 +00 +46 +00 +21 +00 +4a +00 +29 +00 +43 +00 +1f +00 +49 +00 +24 +00 +43 +00 +29 +00 +43 +00 +19 +00 +4d +00 +1f +00 +3e +00 +29 +00 +41 +00 +1e +00 +3e +00 +26 +00 +57 +00 +6a +00 +64 +00 +2a +00 +43 +00 +2d +00 +54 +00 +6e +00 +03 +10 +6d +00 +5e +10 +7f +00 +00 +00 +00 +00 +3d +00 +a2 +00 +3b +00 +8b +00 +36 +00 +a4 +00 +36 +00 +a0 +00 +31 +00 +9e +00 +40 +00 +a1 +00 +35 +00 +8f +00 +2e +00 +a6 +00 +3e +00 +a0 +00 +35 +00 +8c +00 +3a +00 +9c +00 +30 +00 +78 +00 +1f +00 +60 +00 +25 +00 +59 +00 +20 +00 +5c +00 +1f +00 +5d +00 +2b +00 +78 +00 +24 +00 +7b +00 +24 +00 +91 +00 +35 +00 +90 +00 +36 +00 +8b +00 +2c +00 +9b +00 +9a +00 +38 +20 +b0 +00 +6a +10 +60 +00 +9a +10 +96 +00 +52 +10 +51 +00 +c5 +00 +32 +00 +8c +00 +52 +00 +d5 +10 +35 +10 +23 +20 +54 +00 +d2 +00 +43 +00 +b3 +00 +4f +00 +06 +10 +5c +00 +1f +10 +47 +00 +c0 +00 +3c +00 +bc +00 +3d +00 +b0 +00 +3b +00 +d4 +00 +57 +00 +dd +00 +9d +00 +f3 +20 +a8 +00 +d5 +00 +3e +00 +9e +00 +2a +00 +80 +00 +29 +00 +84 +00 +22 +00 +66 +00 +28 +00 +6b +00 +24 +00 +7e +00 +2f +00 +67 +00 +24 +00 +5b +00 +2d +00 +c5 +00 +6a +00 +1b +10 +55 +00 +c3 +00 +46 +00 +82 +00 +2d +00 +75 +00 +29 +00 +72 +00 +2e +00 +68 +00 +27 +00 +61 +00 +22 +00 +6e +00 +26 +00 +7b +00 +25 +00 +72 +00 +33 +00 +77 +00 +22 +00 +5e +00 +7f +00 +84 +10 +3c +00 +72 +00 +28 +00 +64 +00 +2d +00 +9e +00 +54 +00 +de +00 +3b +00 +ad +00 +7b +00 +4e +10 +59 +00 +a6 +00 +1f +00 +6b +00 +2a +00 +70 +00 +29 +00 +6a +00 +2a +00 +98 +00 +6a +00 +c5 +00 +3d +00 +85 +00 +34 +00 +a3 +00 +88 +00 +36 +20 +1c +10 +a8 +30 +7d +10 +94 +20 +6f +00 +09 +10 +78 +00 +96 +10 +66 +00 +13 +10 +ca +00 +c7 +40 +95 +20 +8e +70 +ac +10 +f4 +20 +66 +00 +eb +00 +47 +00 +4f +10 +84 +10 +c7 +30 +e5 +10 +b8 +60 +87 +20 +67 +60 +14 +10 +f3 +10 +94 +00 +22 +20 +a6 +00 +58 +20 +cd +00 +6f +20 +0b +20 +1f +60 +50 +10 +a2 +20 +91 +00 +b9 +10 +9b +00 +9d +10 +6a +00 +e9 +00 +49 +00 +ec +00 +84 +00 +fc +10 +5f +00 +de +00 +41 +00 +9d +00 +2d +00 +82 +00 +31 +00 +7b +00 +3b +00 +19 +10 +16 +10 +d0 +10 +61 +00 +ed +00 +4f +00 +19 +10 +5e +10 +a0 +40 +da +00 +81 +10 +3f +00 +bd +00 +86 +00 +d2 +10 +b0 +00 +2d +10 +41 +00 +a4 +00 +76 +00 +4e +10 +4d +00 +b9 +00 +38 +00 +8d +00 +33 +00 +88 +00 +37 +00 +86 +00 +26 +00 +7d +00 +2d +00 +7c +00 +2b +00 +73 +00 +37 +00 +9d +00 +23 +00 +6c +00 +27 +00 +69 +00 +4d +00 +3e +10 +3d +00 +7b +00 +35 +00 +83 +00 +2d +00 +85 +00 +36 +00 +85 +00 +31 +00 +76 +00 +29 +00 +88 +00 +2e +00 +8f +00 +37 +00 +80 +00 +32 +00 +7a +00 +2e +00 +8a +00 +49 +00 +6b +10 +23 +10 +37 +20 +82 +00 +7e +10 +ea +00 +b0 +40 +03 +20 +23 +50 +8c +10 +f3 +20 +56 +00 +ba +00 +2b +00 +6c +00 +28 +00 +64 +00 +28 +00 +56 +00 +21 +00 +52 +00 +26 +00 +59 +00 +1c +00 +5c +00 +22 +00 +53 +00 +1e +00 +54 +00 +22 +00 +4e +00 +1a +00 +4c +00 +28 +00 +51 +00 +1d +00 +50 +00 +1d +00 +58 +00 +20 +00 +5f +00 +25 +00 +68 +00 +24 +00 +58 +00 +1f +00 +52 +00 +20 +00 +58 +00 +21 +00 +4e +00 +1c +00 +49 +00 +1a +00 +4d +00 +32 +00 +71 +00 +1e +00 +50 +00 +18 +00 +5a +00 +20 +00 +62 +00 +1c +00 +4c +00 +1f +00 +8e +00 +ee +00 +a7 +30 +b7 +10 +f7 +30 +88 +00 +c5 +00 +1f +00 +5e +00 +22 +00 +4e +00 +1f +00 +48 +00 +1a +00 +4c +00 +1c +00 +64 +00 +24 +00 +6c +00 +3b +00 +d8 +00 +f5 +00 +b2 +20 +57 +00 +94 +00 +23 +00 +71 +00 +31 +00 +f4 +00 +5d +00 +b3 +00 +3f +00 +17 +10 +56 +10 +4e +20 +41 +00 +96 +00 +28 +00 +66 +00 +21 +00 +4c +00 +1f +00 +4b +00 +17 +00 +54 +00 +3d +00 +e8 +00 +2b +00 +64 +00 +25 +00 +4b +00 +23 +00 +52 +00 +1d +00 +4c +00 +1b +00 +3c +00 +1b +00 +37 +00 +16 +00 +34 +00 +16 +00 +34 +00 +15 +00 +2f +00 +14 +00 +29 +00 +15 +00 +31 +00 +1e +00 +32 +00 +16 +00 +38 +00 +1a +00 +3b +00 +15 +00 +43 +00 +1b +00 +3e +00 +20 +00 +43 +00 +1a +00 +4a +00 +1f +00 +4e +00 +18 +00 +4c +00 +20 +00 +5a +00 +1f +00 +59 +00 +23 +00 +51 +00 +1e +00 +4f +00 +1d +00 +47 +00 +1d +00 +50 +00 +1b +00 +4f +00 +2b +00 +70 +00 +25 +00 +48 +00 +28 +00 +7d +00 +cc +00 +fe +00 +32 +00 +71 +00 +27 +00 +75 +00 +31 +00 +75 +00 +2f +00 +61 +00 +19 +00 +61 +00 +16 +00 +4d +00 +22 +00 +6c +00 +25 +00 +68 +00 +68 +00 +d3 +00 +24 +00 +54 +00 +1b +00 +46 +00 +1a +00 +3f +00 +20 +00 +44 +00 +1c +00 +44 +00 +20 +00 +47 +00 +22 +00 +47 +00 +16 +00 +3f +00 +18 +00 +40 +00 +1d +00 +48 +00 +16 +00 +48 +00 +18 +00 +40 +00 +20 +00 +3e +00 +1c +00 +57 +00 +29 +00 +bd +00 +35 +00 +4c +00 +1b +00 +56 +00 +19 +00 +a2 +00 +6d +00 +cb +00 +53 +00 +b5 +00 +00 +00 +00 +00 +91 +00 +69 +00 +99 +00 +65 +00 +a7 +00 +60 +00 +a1 +00 +6d +00 +aa +00 +69 +00 +94 +00 +5a +00 +85 +00 +5d +00 +a5 +00 +64 +00 +99 +00 +64 +00 +ad +00 +60 +00 +93 +00 +5c +00 +7c +00 +4b +00 +59 +00 +43 +00 +57 +00 +36 +00 +56 +00 +36 +00 +5d +00 +43 +00 +61 +00 +4b +00 +69 +00 +4e +00 +69 +00 +5d +00 +95 +00 +8f +00 +c2 +00 +63 +00 +77 +00 +88 +00 +cf +00 +ae +00 +44 +10 +c7 +00 +3a +10 +f2 +00 +83 +10 +a1 +00 +bd +00 +75 +00 +92 +00 +83 +00 +ce +00 +64 +10 +13 +30 +89 +10 +4f +10 +c1 +00 +b1 +00 +7f +00 +b5 +00 +aa +00 +b5 +00 +9a +00 +ea +00 +95 +00 +c1 +00 +4b +10 +0b +20 +c3 +00 +ad +00 +7a +00 +ac +00 +89 +00 +e1 +00 +fe +00 +4e +20 +12 +10 +9f +10 +d7 +00 +a7 +00 +51 +00 +6f +00 +4e +00 +79 +00 +3f +00 +6d +00 +40 +00 +77 +00 +42 +00 +72 +00 +45 +00 +76 +00 +4d +00 +78 +00 +7b +00 +cc +00 +7a +00 +b2 +00 +60 +00 +7b +00 +75 +00 +ad +00 +54 +00 +84 +00 +44 +00 +66 +00 +3a +00 +5a +00 +39 +00 +59 +00 +4c +00 +86 +00 +46 +00 +70 +00 +3f +00 +6d +00 +31 +00 +56 +00 +51 +00 +1e +10 +6c +00 +e0 +00 +4b +00 +66 +00 +5c +00 +90 +00 +5a +00 +a2 +00 +5b +00 +8b +00 +78 +00 +a1 +00 +5f +00 +c1 +00 +63 +00 +69 +00 +3c +00 +75 +00 +36 +00 +63 +00 +44 +00 +88 +00 +64 +00 +b1 +10 +82 +00 +ac +00 +61 +00 +93 +00 +5b +10 +fc +30 +2c +30 +24 +60 +12 +40 +e8 +60 +cb +30 +83 +30 +1d +20 +11 +30 +d9 +20 +e1 +20 +1e +20 +67 +20 +cc +30 +8c +70 +c0 +40 +62 +60 +1f +20 +71 +10 +cb +00 +d5 +00 +e3 +10 +15 +50 +be +30 +4e +60 +d1 +40 +e9 +70 +39 +30 +33 +30 +78 +10 +3c +10 +a1 +10 +1e +30 +be +30 +00 +60 +15 +40 +78 +60 +15 +40 +e1 +40 +5e +20 +b5 +10 +28 +10 +5e +10 +3a +10 +78 +10 +cc +10 +48 +10 +d6 +10 +1f +30 +20 +30 +1f +30 +51 +10 +f4 +00 +a1 +00 +9c +00 +5d +00 +7c +00 +6d +00 +a2 +00 +60 +10 +88 +20 +0e +10 +4a +10 +01 +10 +f5 +00 +3f +20 +e2 +40 +57 +30 +b5 +30 +ce +10 +36 +10 +30 +10 +10 +20 +1b +30 +db +40 +98 +10 +1b +10 +de +00 +cd +00 +b5 +00 +e9 +00 +d7 +00 +cb +00 +9c +00 +aa +00 +9c +00 +82 +00 +7e +00 +a5 +00 +68 +00 +7f +00 +49 +00 +77 +00 +43 +00 +77 +00 +3b +00 +77 +00 +43 +00 +70 +00 +4b +00 +a2 +00 +51 +00 +a1 +00 +51 +00 +7c +00 +46 +00 +80 +00 +41 +00 +7e +00 +41 +00 +69 +00 +47 +00 +74 +00 +48 +00 +88 +00 +46 +00 +97 +00 +46 +00 +7c +00 +54 +00 +7b +00 +6a +00 +c0 +00 +99 +00 +22 +10 +c5 +00 +48 +10 +ea +00 +46 +10 +e1 +10 +52 +50 +c1 +10 +80 +20 +fb +00 +3e +10 +86 +00 +7e +00 +49 +00 +68 +00 +33 +00 +5f +00 +33 +00 +5a +00 +2d +00 +59 +00 +2f +00 +54 +00 +2f +00 +55 +00 +35 +00 +51 +00 +2a +00 +51 +00 +29 +00 +4c +00 +2e +00 +54 +00 +2f +00 +58 +00 +30 +00 +64 +00 +45 +00 +60 +00 +30 +00 +6e +00 +53 +00 +63 +00 +2e +00 +56 +00 +2f +00 +4d +00 +2a +00 +5a +00 +23 +00 +4e +00 +1f +00 +4c +00 +2c +00 +53 +00 +32 +00 +5b +00 +2d +00 +51 +00 +29 +00 +4a +00 +33 +00 +44 +00 +31 +00 +52 +00 +84 +00 +2f +10 +96 +20 +38 +60 +04 +20 +36 +20 +c6 +00 +7a +00 +47 +00 +46 +00 +2f +00 +4c +00 +2b +00 +52 +00 +3a +00 +73 +00 +36 +00 +60 +00 +68 +00 +ee +00 +65 +10 +6f +10 +a6 +00 +01 +10 +87 +00 +86 +00 +4f +00 +79 +00 +77 +00 +d0 +00 +68 +00 +99 +00 +72 +00 +01 +10 +85 +00 +ec +00 +67 +00 +70 +00 +3b +00 +60 +00 +30 +00 +4c +00 +27 +00 +55 +00 +33 +00 +62 +00 +31 +00 +81 +00 +37 +00 +4e +00 +26 +00 +46 +00 +2b +00 +4e +00 +23 +00 +51 +00 +27 +00 +3e +00 +24 +00 +3c +00 +2e +00 +34 +00 +1f +00 +32 +00 +1e +00 +35 +00 +1f +00 +2b +00 +19 +00 +35 +00 +1f +00 +2f +00 +23 +00 +3d +00 +24 +00 +40 +00 +1e +00 +41 +00 +27 +00 +39 +00 +28 +00 +46 +00 +2a +00 +48 +00 +26 +00 +50 +00 +28 +00 +58 +00 +25 +00 +53 +00 +29 +00 +52 +00 +27 +00 +42 +00 +27 +00 +5f +00 +3a +00 +4c +00 +32 +00 +51 +00 +2b +00 +57 +00 +2c +00 +49 +00 +2e +00 +5e +00 +59 +00 +bc +10 +78 +00 +a9 +00 +65 +00 +66 +00 +5b +00 +55 +00 +44 +00 +50 +00 +39 +00 +47 +00 +30 +00 +4b +00 +33 +00 +51 +00 +37 +00 +6a +00 +61 +00 +cd +10 +41 +10 +d6 +00 +4a +00 +50 +00 +22 +00 +49 +00 +29 +00 +4e +00 +2b +00 +4e +00 +28 +00 +57 +00 +28 +00 +42 +00 +23 +00 +41 +00 +29 +00 +41 +00 +29 +00 +3f +00 +1d +00 +3f +00 +21 +00 +3f +00 +1f +00 +3b +00 +26 +00 +3f +00 +28 +00 +5c +00 +31 +00 +4d +00 +2f +00 +47 +00 +2a +00 +41 +00 +36 +00 +72 +00 +39 +00 +93 +00 +3b +00 +00 +00 +00 +00 +34 +00 +96 +00 +2f +00 +98 +00 +38 +00 +a8 +00 +35 +00 +9f +00 +3a +00 +95 +00 +35 +00 +99 +00 +31 +00 +8a +00 +37 +00 +98 +00 +30 +00 +95 +00 +3d +00 +a1 +00 +39 +00 +8c +00 +31 +00 +6e +00 +27 +00 +58 +00 +1f +00 +52 +00 +1e +00 +51 +00 +22 +00 +50 +00 +1f +00 +55 +00 +1e +00 +5d +00 +21 +00 +66 +00 +49 +00 +33 +10 +4d +00 +8d +00 +30 +00 +83 +00 +35 +00 +e4 +00 +53 +00 +cf +00 +65 +00 +4e +10 +5e +00 +e5 +00 +2a +00 +a8 +00 +35 +00 +93 +00 +77 +00 +cf +20 +87 +10 +c5 +30 +f1 +00 +82 +10 +3f +00 +94 +00 +2a +00 +a9 +00 +31 +00 +a0 +00 +3a +00 +9f +00 +7f +00 +98 +20 +3e +10 +c5 +10 +3f +00 +a9 +00 +3b +00 +93 +00 +47 +00 +ec +00 +75 +00 +86 +10 +cc +00 +22 +20 +6f +00 +a9 +00 +2a +00 +76 +00 +25 +00 +78 +00 +2a +00 +73 +00 +24 +00 +74 +00 +20 +00 +77 +00 +2a +00 +5f +00 +22 +00 +73 +00 +31 +00 +b8 +00 +3f +00 +b8 +00 +33 +00 +a4 +00 +6a +00 +03 +10 +31 +00 +73 +00 +26 +00 +64 +00 +26 +00 +6a +00 +20 +00 +6b +00 +46 +00 +7d +00 +20 +00 +5f +00 +26 +00 +56 +00 +1f +00 +75 +00 +2e +00 +94 +00 +32 +00 +7f +00 +30 +00 +7e +00 +39 +00 +9b +00 +29 +00 +83 +00 +2b +00 +71 +00 +29 +00 +89 +00 +36 +00 +84 +00 +2f +00 +7c +00 +27 +00 +60 +00 +24 +00 +72 +00 +24 +00 +81 +00 +4d +00 +bc +00 +3b +00 +7e +00 +39 +00 +5a +10 +ef +10 +d1 +50 +88 +20 +68 +70 +bc +20 +7e +70 +f1 +10 +c6 +40 +8f +10 +90 +50 +df +10 +63 +40 +d3 +10 +7c +50 +e1 +20 +73 +80 +d3 +10 +f6 +20 +68 +00 +e1 +00 +6a +00 +7b +20 +39 +20 +be +50 +14 +20 +82 +70 +e2 +20 +bc +50 +d5 +00 +a3 +10 +7d +00 +99 +20 +0e +20 +b2 +60 +ba +20 +82 +70 +a1 +20 +8b +70 +cf +10 +2b +30 +8c +00 +65 +10 +67 +00 +77 +10 +6d +10 +74 +40 +19 +10 +77 +20 +f5 +10 +a2 +60 +87 +10 +8d +20 +59 +00 +d3 +00 +3b +00 +8f +00 +31 +00 +8d +00 +96 +00 +50 +30 +15 +10 +f9 +10 +6b +00 +27 +10 +a1 +00 +fe +30 +89 +20 +fe +60 +79 +10 +5f +30 +c0 +00 +6a +10 +77 +10 +0b +60 +38 +20 +43 +30 +77 +00 +39 +10 +71 +00 +3d +10 +c2 +00 +20 +20 +c7 +00 +2d +10 +62 +00 +95 +10 +65 +00 +d0 +00 +41 +00 +aa +00 +3a +00 +8d +00 +37 +00 +7c +00 +2c +00 +6f +00 +31 +00 +67 +00 +27 +00 +6d +00 +33 +00 +8d +00 +29 +00 +8f +00 +2e +00 +83 +00 +29 +00 +73 +00 +24 +00 +79 +00 +2f +00 +70 +00 +2f +00 +6c +00 +33 +00 +76 +00 +2d +00 +7e +00 +2b +00 +83 +00 +2b +00 +8c +00 +3d +00 +cb +00 +4e +00 +eb +00 +55 +00 +07 +10 +69 +00 +0a +20 +f8 +00 +23 +20 +88 +00 +49 +10 +45 +00 +b8 +00 +2c +00 +66 +00 +23 +00 +66 +00 +26 +00 +5d +00 +22 +00 +59 +00 +24 +00 +4c +00 +23 +00 +4c +00 +22 +00 +56 +00 +20 +00 +54 +00 +1f +00 +4b +00 +21 +00 +4d +00 +26 +00 +49 +00 +19 +00 +5d +00 +25 +00 +5b +00 +22 +00 +52 +00 +27 +00 +75 +00 +30 +00 +64 +00 +21 +00 +43 +00 +21 +00 +49 +00 +1d +00 +54 +00 +1a +00 +4e +00 +23 +00 +56 +00 +20 +00 +5c +00 +22 +00 +54 +00 +1b +00 +52 +00 +19 +00 +48 +00 +1c +00 +40 +00 +21 +00 +78 +00 +88 +00 +17 +40 +bb +10 +da +20 +84 +00 +ff +00 +2b +00 +5a +00 +1b +00 +52 +00 +1f +00 +48 +00 +1f +00 +55 +00 +2a +00 +67 +00 +2b +00 +93 +00 +ee +00 +37 +30 +01 +10 +5f +10 +49 +00 +ab +00 +33 +00 +6f +00 +35 +00 +7e +00 +2b +00 +77 +00 +2a +00 +7c +00 +38 +00 +a8 +00 +2f +00 +84 +00 +23 +00 +5a +00 +21 +00 +57 +00 +1d +00 +4e +00 +1d +00 +4d +00 +20 +00 +58 +00 +29 +00 +60 +00 +23 +00 +43 +00 +1a +00 +40 +00 +1c +00 +41 +00 +16 +00 +46 +00 +12 +00 +38 +00 +17 +00 +30 +00 +16 +00 +37 +00 +12 +00 +2f +00 +12 +00 +2e +00 +0e +00 +2e +00 +16 +00 +2f +00 +14 +00 +37 +00 +17 +00 +3c +00 +15 +00 +42 +00 +16 +00 +46 +00 +15 +00 +4f +00 +22 +00 +43 +00 +26 +00 +47 +00 +1a +00 +4f +00 +21 +00 +60 +00 +1a +00 +47 +00 +23 +00 +61 +00 +26 +00 +4b +00 +37 +00 +ee +00 +28 +00 +5c +00 +23 +00 +60 +00 +21 +00 +55 +00 +1e +00 +4c +00 +27 +00 +7f +00 +50 +00 +bc +00 +38 +00 +ea +00 +69 +00 +b0 +00 +24 +00 +61 +00 +20 +00 +43 +00 +14 +00 +3b +00 +1d +00 +3f +00 +1f +00 +5b +00 +1e +00 +82 +00 +9d +00 +81 +20 +5a +00 +8e +00 +1b +00 +50 +00 +1b +00 +4f +00 +1e +00 +50 +00 +22 +00 +4a +00 +19 +00 +41 +00 +1b +00 +3c +00 +19 +00 +42 +00 +19 +00 +47 +00 +1e +00 +42 +00 +21 +00 +3d +00 +19 +00 +43 +00 +18 +00 +47 +00 +18 +00 +42 +00 +1d +00 +5b +00 +21 +00 +50 +00 +20 +00 +3f +00 +17 +00 +5e +00 +24 +00 +79 +00 +2b +00 +71 +00 +00 +00 +00 +00 +96 +00 +62 +00 +99 +00 +64 +00 +8a +00 +69 +00 +9b +00 +6d +00 +97 +00 +68 +00 +94 +00 +6b +00 +8e +00 +62 +00 +94 +00 +6d +00 +a5 +00 +61 +00 +94 +00 +62 +00 +9e +00 +5b +00 +73 +00 +49 +00 +65 +00 +34 +00 +48 +00 +33 +00 +4e +00 +37 +00 +54 +00 +35 +00 +66 +00 +39 +00 +5e +00 +40 +00 +6b +00 +4d +00 +8c +00 +63 +00 +b2 +00 +91 +00 +93 +00 +88 +00 +9f +00 +93 +00 +b2 +00 +75 +00 +a9 +00 +7d +00 +eb +00 +87 +00 +8f +00 +7f +00 +3a +10 +87 +00 +a4 +00 +eb +00 +0d +30 +8b +10 +e8 +20 +e2 +00 +e9 +00 +6a +00 +81 +00 +68 +00 +7e +00 +5d +00 +87 +00 +a3 +00 +ab +10 +26 +10 +6a +20 +ca +00 +be +00 +6d +00 +9f +00 +68 +00 +94 +00 +91 +00 +21 +10 +36 +10 +f7 +10 +a2 +00 +ed +00 +64 +00 +71 +00 +47 +00 +75 +00 +40 +00 +5f +00 +40 +00 +71 +00 +45 +00 +66 +00 +3f +00 +64 +00 +40 +00 +6c +00 +4d +00 +82 +00 +79 +00 +1c +10 +6a +00 +91 +00 +4e +00 +ee +00 +78 +00 +bc +00 +43 +00 +67 +00 +34 +00 +64 +00 +3c +00 +6c +00 +45 +00 +6b +00 +45 +00 +72 +00 +3b +00 +62 +00 +34 +00 +57 +00 +39 +00 +73 +00 +3f +00 +74 +00 +43 +00 +7a +00 +59 +00 +76 +00 +50 +00 +75 +00 +47 +00 +65 +00 +4e +00 +6c +00 +67 +00 +7d +00 +46 +00 +7a +00 +42 +00 +6f +00 +41 +00 +68 +00 +4a +00 +6b +00 +4d +00 +90 +00 +4b +00 +88 +00 +60 +00 +87 +00 +08 +10 +6a +30 +aa +20 +65 +40 +05 +30 +7b +70 +1f +40 +9c +60 +2e +40 +73 +40 +b9 +30 +23 +60 +f3 +40 +1a +70 +d4 +40 +17 +70 +1e +50 +dc +60 +57 +20 +66 +10 +e2 +00 +10 +10 +cb +20 +0b +60 +85 +40 +04 +60 +18 +50 +59 +80 +63 +30 +fe +20 +e7 +10 +85 +10 +ee +20 +3f +60 +be +40 +4f +80 +5b +50 +08 +80 +3e +50 +82 +70 +0e +30 +01 +20 +31 +10 +54 +10 +6b +20 +05 +50 +c1 +30 +b4 +60 +a0 +30 +3d +50 +ac +30 +b0 +50 +7a +30 +9c +20 +42 +10 +b5 +00 +7f +00 +8b +00 +9f +00 +7f +10 +f4 +10 +60 +30 +7d +20 +1f +20 +6a +20 +97 +30 +50 +40 +df +70 +78 +40 +3c +50 +12 +30 +85 +40 +90 +20 +df +30 +e5 +30 +29 +70 +d0 +30 +27 +30 +92 +20 +d0 +20 +f6 +10 +2c +40 +1d +20 +5a +40 +68 +10 +3f +20 +66 +20 +29 +30 +0f +10 +a2 +10 +89 +00 +99 +00 +55 +00 +7c +00 +53 +00 +7c +00 +3f +00 +72 +00 +40 +00 +7e +00 +41 +00 +7c +00 +43 +00 +81 +00 +50 +00 +84 +00 +44 +00 +6f +00 +4d +00 +6e +00 +47 +00 +7f +00 +45 +00 +80 +00 +4c +00 +75 +00 +4f +00 +89 +00 +4e +00 +94 +00 +e9 +00 +3b +10 +78 +00 +9e +00 +7a +00 +bc +00 +a2 +00 +00 +10 +b3 +10 +67 +30 +34 +10 +99 +10 +fe +00 +79 +10 +c2 +00 +c6 +00 +72 +00 +7b +00 +73 +00 +a6 +00 +40 +00 +69 +00 +35 +00 +61 +00 +37 +00 +61 +00 +37 +00 +48 +00 +34 +00 +63 +00 +30 +00 +50 +00 +2b +00 +4b +00 +2c +00 +4d +00 +32 +00 +54 +00 +33 +00 +5e +00 +dd +00 +06 +10 +54 +00 +6b +00 +37 +00 +63 +00 +2c +00 +59 +00 +2a +00 +54 +00 +28 +00 +48 +00 +2b +00 +48 +00 +22 +00 +48 +00 +22 +00 +4a +00 +25 +00 +51 +00 +31 +00 +59 +00 +2b +00 +57 +00 +2b +00 +4e +00 +34 +00 +4c +00 +3c +00 +5f +00 +66 +00 +c3 +00 +da +00 +fe +10 +01 +10 +61 +10 +b6 +00 +91 +00 +50 +00 +53 +00 +32 +00 +46 +00 +32 +00 +50 +00 +37 +00 +59 +00 +32 +00 +65 +00 +62 +00 +d5 +00 +c7 +00 +8d +10 +a0 +00 +dd +00 +da +00 +b5 +00 +67 +00 +6e +00 +46 +00 +6c +00 +3f +00 +72 +00 +55 +00 +7d +00 +4e +00 +7a +00 +4a +00 +61 +00 +2e +00 +55 +00 +2d +00 +59 +00 +31 +00 +56 +00 +30 +00 +4a +00 +28 +00 +4d +00 +28 +00 +55 +00 +28 +00 +44 +00 +26 +00 +33 +00 +22 +00 +3e +00 +27 +00 +44 +00 +1d +00 +4a +00 +1b +00 +33 +00 +23 +00 +22 +00 +1a +00 +2e +00 +19 +00 +2a +00 +16 +00 +39 +00 +19 +00 +30 +00 +19 +00 +38 +00 +21 +00 +3b +00 +1c +00 +3e +00 +20 +00 +42 +00 +2b +00 +47 +00 +1f +00 +4c +00 +28 +00 +50 +00 +2b +00 +4e +00 +1e +00 +3d +00 +2c +00 +44 +00 +2f +00 +4e +00 +54 +00 +f1 +00 +f6 +00 +27 +10 +6c +00 +66 +00 +3d +00 +55 +00 +27 +00 +4e +00 +29 +00 +5e +00 +3d +00 +bd +00 +4d +00 +9b +00 +d3 +00 +da +10 +95 +00 +7b +00 +38 +00 +4e +00 +2a +00 +41 +00 +28 +00 +4d +00 +2b +00 +51 +00 +2d +00 +58 +00 +4c +00 +af +00 +4d +00 +cf +00 +54 +00 +5f +00 +35 +00 +4e +00 +25 +00 +53 +00 +2c +00 +4b +00 +20 +00 +4d +00 +22 +00 +4c +00 +1f +00 +3e +00 +23 +00 +44 +00 +1c +00 +3c +00 +1d +00 +4a +00 +20 +00 +41 +00 +25 +00 +35 +00 +1e +00 +41 +00 +22 +00 +4a +00 +2a +00 +4e +00 +30 +00 +46 +00 +34 +00 +41 +00 +2f +00 +51 +00 +31 +00 +5e +00 +2d +00 +00 +00 +00 +00 +3b +00 +95 +00 +33 +00 +95 +00 +35 +00 +98 +00 +35 +00 +9e +00 +3f +00 +94 +00 +39 +00 +92 +00 +30 +00 +91 +00 +3f +00 +94 +00 +3c +00 +99 +00 +34 +00 +95 +00 +3a +00 +8e +00 +36 +00 +73 +00 +21 +00 +57 +00 +25 +00 +57 +00 +1f +00 +47 +00 +18 +00 +57 +00 +2a +00 +63 +00 +22 +00 +70 +00 +23 +00 +6d +00 +28 +00 +95 +00 +3c +00 +c8 +00 +38 +00 +b0 +00 +33 +00 +9b +00 +37 +00 +80 +00 +3b +00 +f5 +00 +3f +00 +8f +00 +3b +00 +ce +00 +4f +00 +b4 +00 +33 +00 +d9 +00 +69 +00 +df +10 +9d +00 +11 +10 +3b +00 +8c +00 +29 +00 +7c +00 +2b +00 +7d +00 +2b +00 +9b +00 +a0 +00 +c2 +10 +71 +00 +02 +10 +3d +00 +92 +00 +2b +00 +7f +00 +27 +00 +b9 +00 +ab +00 +67 +20 +7e +00 +fd +00 +37 +00 +87 +00 +29 +00 +72 +00 +22 +00 +78 +00 +32 +00 +69 +00 +24 +00 +6b +00 +29 +00 +5c +00 +22 +00 +71 +00 +2c +00 +7d +00 +36 +00 +82 +00 +40 +00 +8b +00 +33 +00 +6c +00 +2f +00 +91 +00 +47 +00 +92 +00 +1e +00 +53 +00 +22 +00 +77 +00 +23 +00 +6d +00 +33 +00 +60 +00 +27 +00 +52 +00 +1f +00 +3d +00 +20 +00 +6c +00 +28 +00 +72 +00 +27 +00 +6d +00 +2b +00 +86 +00 +37 +00 +73 +00 +29 +00 +6b +00 +2a +00 +66 +00 +2b +00 +9f +00 +2d +00 +7c +00 +2d +00 +75 +00 +33 +00 +70 +00 +27 +00 +68 +00 +28 +00 +70 +00 +2b +00 +78 +00 +29 +00 +6c +00 +36 +00 +e1 +00 +08 +10 +21 +40 +ec +00 +b9 +20 +da +10 +2a +60 +9f +20 +ff +60 +ce +10 +77 +50 +8d +20 +ca +70 +21 +30 +46 +80 +93 +20 +d7 +70 +54 +20 +ad +30 +71 +00 +e7 +00 +83 +00 +8e +30 +73 +20 +fa +70 +b9 +20 +2a +80 +e5 +20 +90 +50 +de +00 +31 +20 +b5 +00 +56 +30 +74 +20 +16 +80 +02 +30 +c6 +80 +27 +30 +b2 +80 +95 +20 +c3 +40 +b4 +00 +a9 +10 +bb +00 +e4 +30 +6c +20 +61 +70 +60 +20 +09 +60 +37 +20 +a3 +60 +87 +20 +65 +60 +97 +10 +8a +20 +47 +00 +ac +00 +3a +00 +ab +00 +f2 +00 +80 +30 +5c +10 +89 +40 +37 +10 +a5 +40 +6b +20 +72 +70 +21 +30 +5d +80 +ae +10 +e5 +40 +d8 +10 +d9 +40 +a8 +10 +85 +60 +f2 +20 +8f +70 +23 +20 +d1 +40 +c1 +10 +3d +30 +76 +10 +33 +30 +c2 +00 +c6 +10 +43 +10 +24 +50 +17 +10 +ad +10 +56 +00 +e0 +00 +49 +00 +ce +00 +36 +00 +81 +00 +31 +00 +6e +00 +24 +00 +66 +00 +27 +00 +78 +00 +31 +00 +87 +00 +33 +00 +79 +00 +2c +00 +87 +00 +34 +00 +6c +00 +26 +00 +8a +00 +35 +00 +81 +00 +32 +00 +7e +00 +29 +00 +8c +00 +30 +00 +92 +00 +67 +00 +76 +20 +97 +00 +f9 +00 +36 +00 +af +00 +42 +00 +bd +00 +48 +00 +7d +10 +1b +10 +0b +20 +7f +00 +5c +10 +54 +00 +dc +00 +2e +00 +86 +00 +38 +00 +c7 +00 +35 +00 +7d +00 +2d +00 +60 +00 +22 +00 +69 +00 +20 +00 +5f +00 +23 +00 +4b +00 +1e +00 +58 +00 +20 +00 +42 +00 +1b +00 +4a +00 +1d +00 +50 +00 +20 +00 +50 +00 +2f +00 +be +10 +a7 +00 +bf +00 +27 +00 +6f +00 +24 +00 +4b +00 +20 +00 +5b +00 +19 +00 +4b +00 +29 +00 +4c +00 +1c +00 +54 +00 +1a +00 +3f +00 +1d +00 +52 +00 +1d +00 +54 +00 +22 +00 +5b +00 +23 +00 +4b +00 +22 +00 +83 +00 +27 +00 +b4 +00 +28 +00 +6c +00 +2c +00 +e2 +00 +60 +00 +1a +10 +5f +00 +64 +10 +46 +00 +66 +00 +1d +00 +41 +00 +1c +00 +4b +00 +20 +00 +58 +00 +24 +00 +64 +00 +23 +00 +78 +00 +3a +00 +d1 +00 +57 +00 +e4 +00 +40 +00 +4d +10 +aa +00 +cf +00 +2f +00 +77 +00 +23 +00 +6f +00 +2b +00 +80 +00 +2b +00 +72 +00 +26 +00 +6b +00 +23 +00 +51 +00 +1c +00 +4e +00 +26 +00 +53 +00 +1d +00 +4f +00 +1e +00 +5c +00 +15 +00 +48 +00 +22 +00 +5d +00 +20 +00 +3e +00 +1a +00 +31 +00 +20 +00 +3e +00 +1d +00 +3a +00 +19 +00 +3f +00 +18 +00 +2f +00 +10 +00 +33 +00 +10 +00 +26 +00 +19 +00 +35 +00 +13 +00 +36 +00 +16 +00 +34 +00 +15 +00 +34 +00 +16 +00 +41 +00 +1e +00 +49 +00 +1e +00 +48 +00 +15 +00 +4f +00 +22 +00 +51 +00 +1e +00 +4e +00 +1d +00 +4f +00 +20 +00 +38 +00 +1b +00 +4b +00 +19 +00 +6b +00 +65 +00 +5c +10 +b3 +00 +72 +10 +2a +00 +64 +00 +1e +00 +48 +00 +1e +00 +4c +00 +1c +00 +62 +00 +40 +00 +9e +00 +33 +00 +ca +00 +5c +00 +b5 +00 +2b +00 +65 +00 +20 +00 +42 +00 +1a +00 +45 +00 +1c +00 +4a +00 +21 +00 +67 +00 +27 +00 +60 +00 +2a +00 +8f +00 +32 +00 +76 +00 +22 +00 +5d +00 +22 +00 +4a +00 +21 +00 +47 +00 +1f +00 +50 +00 +1c +00 +42 +00 +1e +00 +49 +00 +1a +00 +48 +00 +17 +00 +39 +00 +1b +00 +3f +00 +20 +00 +51 +00 +20 +00 +4a +00 +1e +00 +47 +00 +1d +00 +4f +00 +1b +00 +4f +00 +29 +00 +62 +00 +25 +00 +49 +00 +1e +00 +46 +00 +24 +00 +65 +00 +18 +00 +51 +00 +00 +00 +00 +00 +8a +00 +6d +00 +8d +00 +6f +00 +94 +00 +60 +00 +90 +00 +6c +00 +9d +00 +63 +00 +88 +00 +68 +00 +94 +00 +60 +00 +9b +00 +5d +00 +9a +00 +71 +00 +9e +00 +62 +00 +91 +00 +58 +00 +76 +00 +3c +00 +59 +00 +39 +00 +5b +00 +3e +00 +5c +00 +2f +00 +54 +00 +3e +00 +5b +00 +38 +00 +6c +00 +44 +00 +64 +00 +4d +00 +80 +00 +23 +10 +8e +20 +e6 +10 +8d +20 +e4 +00 +a0 +00 +8c +00 +96 +00 +67 +00 +9c +00 +90 +00 +ef +00 +74 +00 +7f +00 +50 +00 +87 +00 +5d +00 +94 +00 +89 +00 +e7 +00 +a0 +00 +f4 +00 +7e +00 +90 +00 +4c +00 +6f +00 +55 +00 +70 +00 +7a +00 +96 +00 +83 +00 +c7 +00 +85 +00 +fc +00 +83 +00 +98 +00 +53 +00 +7c +00 +54 +00 +85 +00 +78 +00 +c6 +00 +8d +00 +22 +10 +7a +00 +92 +00 +56 +00 +6c +00 +3e +00 +6a +00 +43 +00 +74 +00 +42 +00 +69 +00 +69 +00 +75 +00 +49 +00 +69 +00 +47 +00 +75 +00 +40 +00 +68 +00 +45 +00 +77 +00 +3a +00 +68 +00 +48 +00 +7a +00 +4d +00 +91 +00 +51 +00 +6e +00 +36 +00 +62 +00 +40 +00 +66 +00 +3b +00 +74 +00 +3e +00 +57 +00 +32 +00 +4d +00 +32 +00 +5a +00 +38 +00 +5c +00 +40 +00 +57 +00 +3d +00 +66 +00 +35 +00 +62 +00 +3e +00 +64 +00 +3e +00 +69 +00 +7d +00 +bf +00 +6a +00 +7d +00 +4c +00 +78 +00 +44 +00 +78 +00 +45 +00 +75 +00 +40 +00 +6b +00 +3d +00 +7a +00 +43 +00 +6d +00 +57 +00 +83 +00 +b1 +00 +52 +10 +16 +10 +45 +20 +bb +10 +5f +20 +26 +30 +47 +70 +b0 +40 +28 +60 +63 +40 +23 +70 +54 +50 +78 +80 +da +50 +61 +80 +ef +40 +14 +70 +8c +20 +b0 +10 +fc +00 +2f +10 +ec +20 +de +60 +2e +50 +b7 +80 +d3 +50 +b3 +80 +51 +40 +f0 +30 +dd +30 +f1 +30 +e7 +20 +d6 +50 +11 +50 +ab +80 +c2 +50 +c8 +80 +23 +50 +31 +70 +c9 +20 +68 +20 +f3 +10 +87 +30 +75 +30 +16 +60 +e3 +30 +91 +60 +7b +40 +c4 +60 +80 +40 +92 +60 +a4 +40 +61 +60 +2d +20 +5d +10 +b6 +00 +9d +00 +35 +10 +bb +20 +65 +20 +89 +30 +ff +20 +5c +50 +87 +40 +65 +70 +2f +50 +91 +80 +64 +40 +1a +50 +67 +40 +c7 +60 +34 +40 +50 +60 +65 +40 +69 +70 +d8 +30 +83 +50 +ab +20 +72 +30 +e5 +10 +40 +20 +4b +10 +b2 +10 +07 +10 +c9 +10 +98 +10 +60 +20 +06 +10 +ef +00 +71 +00 +bf +00 +70 +00 +b5 +00 +51 +00 +6b +00 +48 +00 +5d +00 +42 +00 +6e +00 +48 +00 +82 +00 +4f +00 +84 +00 +4b +00 +88 +00 +62 +00 +8e +00 +5a +00 +82 +00 +57 +00 +87 +00 +53 +00 +81 +00 +5c +00 +92 +00 +56 +00 +91 +00 +56 +00 +b5 +00 +85 +00 +24 +10 +81 +00 +cf +00 +69 +00 +a8 +00 +6c +00 +ac +00 +8e +00 +fa +00 +4f +10 +d0 +10 +3f +10 +04 +10 +a1 +00 +86 +00 +4d +00 +7d +00 +3a +00 +76 +00 +45 +00 +69 +00 +35 +00 +66 +00 +30 +00 +53 +00 +41 +00 +5f +00 +3f +00 +5e +00 +3a +00 +4f +00 +3f +00 +50 +00 +3c +00 +56 +00 +29 +00 +61 +00 +3a +00 +62 +00 +52 +00 +9d +00 +6a +00 +95 +00 +47 +00 +5b +00 +2e +00 +54 +00 +2f +00 +4a +00 +25 +00 +45 +00 +21 +00 +45 +00 +25 +00 +42 +00 +25 +00 +4a +00 +30 +00 +55 +00 +2d +00 +4a +00 +2f +00 +46 +00 +22 +00 +56 +00 +2c +00 +69 +00 +34 +00 +73 +00 +51 +00 +76 +00 +87 +00 +c4 +00 +88 +00 +b7 +00 +b6 +00 +25 +10 +52 +00 +54 +00 +36 +00 +52 +00 +2a +00 +59 +00 +34 +00 +5b +00 +47 +00 +5d +00 +4b +00 +8f +00 +5c +00 +b5 +00 +81 +00 +b2 +00 +5c +10 +e5 +20 +af +00 +a4 +00 +52 +00 +65 +00 +4e +00 +7f +00 +a1 +00 +00 +10 +66 +00 +84 +00 +41 +00 +51 +00 +2c +00 +4f +00 +2d +00 +4d +00 +2e +00 +50 +00 +2a +00 +4f +00 +30 +00 +44 +00 +2c +00 +4a +00 +30 +00 +66 +00 +28 +00 +3b +00 +26 +00 +3c +00 +1e +00 +41 +00 +1d +00 +3d +00 +1b +00 +30 +00 +15 +00 +2f +00 +1d +00 +2e +00 +18 +00 +2c +00 +1d +00 +2e +00 +20 +00 +37 +00 +1f +00 +36 +00 +18 +00 +40 +00 +22 +00 +3d +00 +29 +00 +4c +00 +25 +00 +4d +00 +25 +00 +4d +00 +25 +00 +4a +00 +24 +00 +48 +00 +1f +00 +47 +00 +22 +00 +40 +00 +24 +00 +43 +00 +41 +00 +90 +00 +a7 +00 +1e +30 +e6 +00 +cc +00 +51 +00 +59 +00 +2a +00 +50 +00 +2b +00 +4f +00 +38 +00 +72 +00 +3d +00 +6a +00 +48 +00 +86 +00 +3e +00 +6d +00 +32 +00 +4c +00 +26 +00 +4c +00 +27 +00 +47 +00 +23 +00 +48 +00 +27 +00 +56 +00 +3f +00 +63 +00 +42 +00 +76 +00 +4a +00 +59 +00 +34 +00 +5b +00 +27 +00 +58 +00 +23 +00 +50 +00 +2d +00 +4d +00 +30 +00 +47 +00 +2a +00 +44 +00 +20 +00 +4b +00 +37 +00 +41 +00 +2d +00 +4a +00 +28 +00 +41 +00 +28 +00 +40 +00 +28 +00 +4e +00 +2d +00 +4d +00 +35 +00 +5c +00 +47 +00 +06 +10 +4d +00 +53 +00 +26 +00 +52 +00 +26 +00 +4f +00 +35 +00 +00 +00 +00 +00 +36 +00 +87 +00 +37 +00 +96 +00 +34 +00 +9b +00 +36 +00 +95 +00 +35 +00 +a9 +00 +32 +00 +8f +00 +39 +00 +a4 +00 +30 +00 +a0 +00 +36 +00 +99 +00 +31 +00 +a0 +00 +30 +00 +7d +00 +20 +00 +62 +00 +1f +00 +5a +00 +21 +00 +60 +00 +1d +00 +61 +00 +1e +00 +5e +00 +21 +00 +4b +00 +26 +00 +67 +00 +2a +00 +75 +00 +38 +00 +72 +10 +a6 +10 +88 +40 +07 +10 +8b +10 +41 +00 +b6 +00 +31 +00 +7d +00 +32 +00 +ab +00 +3a +00 +97 +00 +29 +00 +79 +00 +2c +00 +81 +00 +2d +00 +b7 +00 +3d +00 +b9 +00 +3c +00 +aa +00 +28 +00 +6f +00 +29 +00 +7a +00 +5d +00 +98 +10 +5b +00 +9e +00 +34 +00 +d0 +00 +44 +00 +a6 +00 +31 +00 +75 +00 +28 +00 +79 +00 +25 +00 +77 +00 +39 +00 +b8 +00 +34 +00 +ae +00 +2c +00 +72 +00 +23 +00 +66 +00 +22 +00 +78 +00 +27 +00 +65 +00 +26 +00 +b2 +00 +5d +00 +92 +00 +2b +00 +81 +00 +29 +00 +57 +00 +20 +00 +61 +00 +26 +00 +61 +00 +29 +00 +66 +00 +2d +00 +61 +00 +24 +00 +5d +00 +22 +00 +5d +00 +1d +00 +5f +00 +24 +00 +66 +00 +24 +00 +65 +00 +23 +00 +51 +00 +18 +00 +4d +00 +24 +00 +60 +00 +29 +00 +5e +00 +1e +00 +56 +00 +24 +00 +54 +00 +26 +00 +60 +00 +27 +00 +5e +00 +25 +00 +e5 +00 +c1 +00 +2d +10 +2b +00 +78 +00 +2b +00 +76 +00 +29 +00 +88 +00 +2c +00 +85 +00 +30 +00 +68 +00 +20 +00 +72 +00 +2e +00 +74 +00 +2b +00 +b7 +00 +54 +00 +22 +10 +70 +00 +71 +10 +98 +00 +5f +30 +9c +20 +15 +80 +2a +20 +83 +50 +bc +20 +72 +80 +49 +30 +37 +90 +01 +30 +88 +70 +46 +20 +d4 +30 +75 +00 +f6 +00 +90 +00 +c6 +30 +80 +20 +69 +80 +38 +30 +10 +90 +3b +30 +23 +80 +22 +20 +98 +60 +1b +20 +cb +30 +f7 +10 +a8 +70 +2f +30 +b7 +80 +de +20 +09 +80 +be +10 +9d +30 +b5 +00 +10 +20 +67 +10 +e6 +40 +df +10 +da +50 +1b +20 +59 +60 +64 +20 +bf +70 +1b +30 +4a +80 +20 +20 +88 +30 +67 +00 +d1 +00 +4a +00 +82 +10 +80 +10 +8d +30 +74 +10 +a5 +50 +8f +20 +7d +70 +e2 +20 +78 +80 +da +20 +83 +60 +be +10 +66 +60 +d2 +20 +b9 +60 +80 +20 +47 +60 +27 +20 +0c +50 +5f +10 +0c +30 +46 +10 +47 +40 +df +00 +af +10 +6a +00 +44 +10 +a3 +00 +42 +30 +b1 +00 +7c +10 +47 +00 +9e +00 +38 +00 +93 +00 +33 +00 +84 +00 +31 +00 +6a +00 +26 +00 +72 +00 +2f +00 +83 +00 +34 +00 +82 +00 +33 +00 +8d +00 +49 +00 +d7 +00 +47 +00 +97 +00 +32 +00 +93 +00 +2f +00 +9c +00 +3e +00 +a2 +00 +36 +00 +98 +00 +3c +00 +a7 +00 +3e +00 +ca +00 +4d +00 +d1 +00 +3e +00 +ac +00 +39 +00 +aa +00 +42 +00 +cc +00 +5f +00 +e9 +10 +f0 +10 +2c +40 +72 +00 +ba +00 +35 +00 +79 +00 +2a +00 +79 +00 +2f +00 +7f +00 +26 +00 +68 +00 +27 +00 +5a +00 +2e +00 +69 +00 +2d +00 +74 +00 +2a +00 +6d +00 +24 +00 +65 +00 +1e +00 +52 +00 +25 +00 +64 +00 +24 +00 +5e +00 +1d +00 +7c +00 +2b +00 +92 +00 +4c +00 +6c +00 +26 +00 +56 +00 +21 +00 +4c +00 +21 +00 +48 +00 +1d +00 +42 +00 +19 +00 +42 +00 +16 +00 +3f +00 +21 +00 +52 +00 +1c +00 +49 +00 +1c +00 +53 +00 +21 +00 +53 +00 +1d +00 +4c +00 +20 +00 +61 +00 +24 +00 +5f +00 +24 +00 +6e +00 +2a +00 +7e +00 +2c +00 +a0 +00 +3b +00 +8b +00 +1b +00 +4a +00 +1f +00 +51 +00 +25 +00 +5c +00 +3b +00 +b3 +00 +31 +00 +71 +00 +29 +00 +84 +00 +2a +00 +87 +00 +42 +00 +88 +10 +a9 +00 +28 +10 +35 +00 +86 +00 +2a +00 +70 +00 +65 +00 +d0 +10 +80 +00 +cf +00 +38 +00 +67 +00 +20 +00 +4e +00 +1a +00 +54 +00 +1c +00 +46 +00 +1b +00 +51 +00 +27 +00 +4b +00 +1e +00 +53 +00 +1c +00 +66 +00 +20 +00 +45 +00 +19 +00 +3c +00 +17 +00 +42 +00 +1c +00 +42 +00 +23 +00 +35 +00 +0f +00 +2e +00 +16 +00 +2b +00 +0e +00 +27 +00 +16 +00 +31 +00 +1a +00 +33 +00 +18 +00 +3b +00 +15 +00 +3b +00 +17 +00 +47 +00 +1d +00 +46 +00 +1e +00 +5c +00 +19 +00 +53 +00 +22 +00 +52 +00 +24 +00 +4c +00 +1c +00 +41 +00 +28 +00 +49 +00 +1f +00 +51 +00 +1a +00 +50 +00 +28 +00 +f3 +00 +c2 +00 +5a +10 +3e +00 +85 +00 +1f +00 +4c +00 +1a +00 +4e +00 +17 +00 +4e +00 +20 +00 +56 +00 +1a +00 +60 +00 +22 +00 +67 +00 +1f +00 +52 +00 +24 +00 +3e +00 +15 +00 +53 +00 +25 +00 +49 +00 +1d +00 +45 +00 +20 +00 +51 +00 +26 +00 +57 +00 +26 +00 +5e +00 +1d +00 +53 +00 +23 +00 +53 +00 +20 +00 +4f +00 +1c +00 +50 +00 +19 +00 +46 +00 +20 +00 +45 +00 +1c +00 +49 +00 +21 +00 +59 +00 +4f +00 +79 +00 +19 +00 +41 +00 +1e +00 +47 +00 +19 +00 +4e +00 +36 +00 +91 +00 +19 +00 +4d +00 +22 +00 +56 +00 +44 +00 +8d +00 +21 +00 +4a +00 +1c +00 +4a +00 +22 +00 +72 +00 +00 +00 +00 +00 +8a +00 +6b +00 +99 +00 +76 +00 +9c +00 +70 +00 +9c +00 +6a +00 +a3 +00 +6a +00 +9e +00 +67 +00 +9f +00 +6a +00 +9d +00 +63 +00 +99 +00 +6b +00 +9c +00 +65 +00 +9b +00 +5e +00 +79 +00 +40 +00 +5b +00 +3e +00 +54 +00 +41 +00 +66 +00 +3b +00 +53 +00 +35 +00 +60 +00 +3a +00 +6b +00 +6d +00 +8d +00 +68 +00 +a2 +00 +ce +00 +e6 +10 +5e +10 +9a +20 +41 +20 +e9 +10 +27 +10 +d7 +00 +6a +00 +89 +00 +93 +00 +9b +00 +85 +00 +87 +00 +4a +00 +71 +00 +4b +00 +82 +00 +79 +00 +a0 +00 +66 +00 +90 +00 +56 +00 +6c +00 +49 +00 +78 +00 +66 +00 +33 +10 +ec +00 +06 +20 +a7 +00 +a0 +00 +a4 +00 +ac +00 +74 +00 +8c +00 +53 +00 +7b +00 +48 +00 +71 +00 +4c +00 +81 +00 +5b +00 +a2 +00 +57 +00 +6a +00 +3d +00 +6c +00 +43 +00 +71 +00 +4b +00 +6c +00 +3a +00 +65 +00 +46 +00 +ac +00 +51 +00 +76 +00 +3e +00 +63 +00 +34 +00 +64 +00 +41 +00 +65 +00 +39 +00 +67 +00 +40 +00 +6c +00 +45 +00 +6a +00 +36 +00 +61 +00 +3d +00 +56 +00 +33 +00 +5f +00 +3a +00 +67 +00 +2e +00 +63 +00 +30 +00 +4d +00 +30 +00 +57 +00 +34 +00 +87 +00 +38 +00 +5a +00 +33 +00 +4e +00 +34 +00 +51 +00 +30 +00 +51 +00 +34 +00 +55 +00 +49 +00 +bd +00 +5d +00 +b9 +00 +47 +00 +74 +00 +3f +00 +79 +00 +70 +00 +dd +00 +5c +00 +7d +00 +49 +00 +67 +00 +45 +00 +63 +00 +57 +00 +82 +00 +7c +00 +ba +00 +bc +00 +05 +10 +3b +10 +40 +10 +3a +20 +33 +50 +67 +30 +ed +60 +2a +40 +f0 +60 +62 +50 +10 +90 +44 +60 +30 +90 +46 +50 +aa +60 +54 +20 +bf +10 +06 +10 +27 +10 +f8 +20 +b4 +60 +1a +50 +f3 +80 +f3 +50 +5c +90 +db +50 +6f +80 +68 +50 +79 +70 +e3 +30 +20 +40 +9f +40 +76 +80 +a6 +50 +b6 +80 +dc +40 +1e +50 +6b +20 +fb +10 +ea +10 +94 +20 +69 +30 +39 +50 +ea +40 +bb +70 +c6 +50 +82 +80 +fe +50 +fe +80 +2b +50 +26 +60 +0f +20 +9d +10 +d7 +00 +de +00 +d8 +10 +78 +30 +68 +20 +cc +30 +58 +30 +eb +50 +de +40 +74 +80 +71 +40 +1f +60 +11 +40 +0d +60 +6a +40 +b4 +70 +7c +40 +e4 +70 +79 +40 +7f +60 +da +30 +25 +60 +2a +30 +82 +40 +e0 +20 +07 +50 +a9 +10 +5f +10 +17 +10 +69 +10 +2f +10 +cc +10 +e0 +00 +df +00 +6a +00 +94 +00 +51 +00 +9b +00 +49 +00 +74 +00 +4e +00 +79 +00 +55 +00 +8c +00 +61 +00 +82 +00 +56 +00 +84 +00 +5c +00 +a9 +00 +a0 +00 +89 +10 +73 +00 +ae +00 +66 +00 +88 +00 +9d +00 +b8 +00 +c4 +00 +bb +00 +af +00 +b1 +00 +a0 +00 +bd +00 +87 +00 +c4 +00 +6e +00 +bd +00 +66 +00 +b2 +00 +84 +00 +b8 +00 +98 +00 +e0 +00 +6c +10 +56 +40 +97 +10 +5c +20 +bd +00 +93 +00 +62 +00 +7c +00 +3f +00 +76 +00 +3d +00 +71 +00 +43 +00 +66 +00 +3d +00 +5c +00 +41 +00 +77 +00 +c4 +00 +2a +10 +16 +10 +ba +00 +74 +00 +65 +00 +49 +00 +63 +00 +3f +00 +55 +00 +2f +00 +60 +00 +3d +00 +6f +00 +3b +00 +75 +00 +3e +00 +5d +00 +36 +00 +57 +00 +28 +00 +4a +00 +24 +00 +4b +00 +25 +00 +40 +00 +24 +00 +4a +00 +28 +00 +4a +00 +2e +00 +5c +00 +33 +00 +48 +00 +2d +00 +59 +00 +29 +00 +48 +00 +33 +00 +63 +00 +31 +00 +58 +00 +3c +00 +67 +00 +4f +00 +62 +00 +51 +00 +77 +00 +5a +00 +7f +00 +34 +00 +54 +00 +33 +00 +3f +00 +2a +00 +5e +00 +56 +00 +e0 +00 +23 +10 +14 +10 +61 +00 +80 +00 +50 +00 +74 +00 +61 +00 +79 +00 +95 +00 +e1 +00 +8f +00 +c0 +00 +66 +00 +6c +00 +64 +00 +09 +10 +b6 +00 +0e +10 +6b +00 +99 +00 +3f +00 +6d +00 +2e +00 +55 +00 +2a +00 +48 +00 +31 +00 +54 +00 +40 +00 +5e +00 +26 +00 +4f +00 +28 +00 +4f +00 +2c +00 +54 +00 +26 +00 +50 +00 +24 +00 +49 +00 +27 +00 +48 +00 +2a +00 +30 +00 +23 +00 +35 +00 +18 +00 +30 +00 +1c +00 +2f +00 +1f +00 +2a +00 +21 +00 +32 +00 +22 +00 +40 +00 +19 +00 +3e +00 +1f +00 +3c +00 +25 +00 +42 +00 +1e +00 +49 +00 +29 +00 +47 +00 +2a +00 +55 +00 +2d +00 +4c +00 +22 +00 +3e +00 +25 +00 +61 +00 +2c +00 +45 +00 +22 +00 +47 +00 +35 +00 +62 +00 +57 +00 +d6 +00 +6a +00 +ae +00 +5a +00 +62 +00 +2b +00 +40 +00 +2c +00 +59 +00 +21 +00 +40 +00 +2e +00 +51 +00 +38 +00 +4f +00 +39 +00 +50 +00 +27 +00 +4e +00 +2b +00 +48 +00 +2c +00 +50 +00 +26 +00 +4e +00 +29 +00 +4a +00 +33 +00 +54 +00 +2d +00 +50 +00 +32 +00 +56 +00 +2f +00 +53 +00 +2f +00 +4a +00 +2d +00 +56 +00 +29 +00 +4b +00 +2b +00 +59 +00 +27 +00 +4a +00 +26 +00 +46 +00 +49 +00 +a1 +10 +a3 +00 +69 +00 +32 +00 +3e +00 +24 +00 +48 +00 +2c +00 +7f +00 +53 +00 +78 +00 +30 +00 +54 +00 +35 +00 +7e +00 +3b +00 +58 +00 +29 +00 +41 +00 +2a +00 +4f +00 +32 +00 +00 +00 +00 +00 +35 +00 +9a +00 +32 +00 +9a +00 +31 +00 +9c +00 +2f +00 +9d +00 +3a +00 +ac +00 +40 +00 +a6 +00 +3a +00 +98 +00 +33 +00 +a2 +00 +40 +00 +a2 +00 +43 +00 +9a +00 +30 +00 +80 +00 +22 +00 +58 +00 +21 +00 +64 +00 +22 +00 +60 +00 +23 +00 +57 +00 +1f +00 +52 +00 +25 +00 +55 +00 +2f +00 +87 +00 +40 +00 +9f +00 +3a +00 +d9 +00 +64 +00 +53 +10 +f6 +00 +8a +40 +cf +10 +c5 +30 +66 +00 +a4 +00 +31 +00 +b9 +00 +73 +00 +f3 +00 +32 +00 +81 +00 +2a +00 +7b +00 +2c +00 +80 +00 +2a +00 +83 +00 +26 +00 +7b +00 +1f +00 +6e +00 +25 +00 +72 +00 +38 +00 +da +00 +50 +00 +cd +00 +41 +00 +ee +00 +6a +00 +ca +00 +2f +00 +7c +00 +2d +00 +77 +00 +24 +00 +70 +00 +2c +00 +8d +00 +31 +00 +6f +00 +27 +00 +67 +00 +29 +00 +6e +00 +27 +00 +5e +00 +2e +00 +63 +00 +25 +00 +75 +00 +32 +00 +89 +00 +2b +00 +67 +00 +25 +00 +62 +00 +25 +00 +64 +00 +23 +00 +64 +00 +1b +00 +52 +00 +1e +00 +62 +00 +1b +00 +6c +00 +26 +00 +6c +00 +2b +00 +65 +00 +22 +00 +55 +00 +23 +00 +59 +00 +21 +00 +48 +00 +18 +00 +46 +00 +20 +00 +5b +00 +28 +00 +6b +00 +23 +00 +56 +00 +23 +00 +4b +00 +1e +00 +53 +00 +1e +00 +56 +00 +27 +00 +70 +00 +30 +00 +85 +00 +30 +00 +84 +00 +27 +00 +77 +00 +3f +00 +ad +00 +41 +00 +9e +00 +2c +00 +61 +00 +24 +00 +6d +00 +2e +00 +76 +00 +30 +00 +90 +00 +36 +00 +bd +00 +66 +00 +2a +10 +58 +00 +e8 +10 +e1 +00 +1e +30 +7e +10 +fa +40 +81 +20 +35 +80 +31 +30 +51 +90 +3d +30 +87 +80 +e4 +10 +33 +30 +76 +00 +f3 +00 +73 +00 +35 +30 +15 +20 +69 +60 +c0 +20 +19 +90 +4c +30 +02 +90 +0a +30 +6e +80 +f6 +20 +0a +70 +49 +10 +5e +50 +ee +20 +9e +80 +e7 +20 +e2 +70 +87 +10 +01 +30 +a9 +00 +3a +20 +b5 +10 +43 +60 +ba +20 +5b +80 +00 +30 +cf +80 +37 +30 +0d +90 +4b +30 +96 +80 +85 +10 +aa +20 +5f +00 +d9 +00 +6a +00 +a5 +20 +40 +10 +4a +30 +8e +10 +8c +40 +e0 +10 +5e +70 +5c +20 +4c +50 +6d +10 +cc +40 +31 +20 +13 +60 +d0 +20 +3c +70 +d3 +20 +fe +60 +23 +20 +06 +50 +50 +10 +0c +40 +30 +10 +26 +30 +4a +10 +b0 +20 +7c +00 +11 +10 +f0 +00 +9a +20 +68 +00 +02 +10 +35 +00 +8d +00 +32 +00 +9a +00 +41 +00 +9d +00 +36 +00 +8a +00 +30 +00 +92 +00 +37 +00 +a3 +00 +35 +00 +99 +00 +33 +00 +95 +00 +4a +00 +e2 +00 +7e +00 +09 +10 +46 +00 +ad +00 +49 +00 +09 +10 +9d +00 +5b +10 +6e +00 +13 +10 +55 +00 +ea +00 +4e +00 +d1 +00 +3e +00 +af +00 +36 +00 +a5 +00 +43 +00 +c1 +00 +52 +00 +ee +00 +60 +00 +3c +20 +c0 +00 +b5 +10 +7c +00 +14 +10 +37 +00 +86 +00 +2f +00 +7d +00 +2e +00 +69 +00 +2a +00 +60 +00 +2f +00 +71 +00 +24 +00 +6a +00 +33 +00 +ff +00 +3b +10 +2e +40 +de +00 +0f +10 +33 +00 +65 +00 +25 +00 +73 +00 +27 +00 +5f +00 +23 +00 +5d +00 +25 +00 +68 +00 +24 +00 +52 +00 +23 +00 +5e +00 +26 +00 +63 +00 +1f +00 +4c +00 +1a +00 +44 +00 +20 +00 +4f +00 +1c +00 +49 +00 +1d +00 +51 +00 +1f +00 +5a +00 +23 +00 +51 +00 +24 +00 +4b +00 +1e +00 +5d +00 +1e +00 +5a +00 +27 +00 +5b +00 +2e +00 +98 +00 +22 +00 +67 +00 +2b +00 +77 +00 +1f +00 +55 +00 +19 +00 +4d +00 +1f +00 +55 +00 +26 +00 +6b +00 +52 +00 +50 +10 +66 +00 +a3 +00 +2c +00 +6f +00 +26 +00 +78 +00 +42 +00 +3f +10 +56 +00 +c8 +00 +37 +00 +75 +00 +31 +00 +71 +00 +46 +00 +bf +00 +48 +00 +ae +00 +2c +00 +7f +00 +2b +00 +69 +00 +21 +00 +4d +00 +1f +00 +50 +00 +1d +00 +7c +00 +33 +00 +56 +00 +1f +00 +3f +00 +1b +00 +5a +00 +29 +00 +79 +00 +1f +00 +4c +00 +20 +00 +50 +00 +19 +00 +49 +00 +12 +00 +34 +00 +15 +00 +36 +00 +14 +00 +39 +00 +18 +00 +2e +00 +15 +00 +31 +00 +12 +00 +45 +00 +15 +00 +3d +00 +10 +00 +3a +00 +1e +00 +43 +00 +12 +00 +46 +00 +19 +00 +45 +00 +1a +00 +46 +00 +18 +00 +48 +00 +1e +00 +45 +00 +18 +00 +4c +00 +1d +00 +4e +00 +1a +00 +4e +00 +19 +00 +49 +00 +20 +00 +68 +00 +2d +00 +7b +00 +2b +00 +68 +00 +1e +00 +49 +00 +1c +00 +50 +00 +22 +00 +3c +00 +17 +00 +4a +00 +1b +00 +47 +00 +1f +00 +51 +00 +29 +00 +4f +00 +1c +00 +43 +00 +1c +00 +4b +00 +15 +00 +46 +00 +1b +00 +54 +00 +1c +00 +4c +00 +1a +00 +48 +00 +1c +00 +4e +00 +20 +00 +5c +00 +20 +00 +54 +00 +1c +00 +52 +00 +20 +00 +58 +00 +1a +00 +4b +00 +1c +00 +47 +00 +23 +00 +48 +00 +1e +00 +67 +00 +41 +00 +c5 +00 +2e +00 +55 +00 +21 +00 +54 +00 +1e +00 +4f +00 +29 +00 +4e +00 +27 +00 +50 +00 +1d +00 +56 +00 +2c +00 +5f +00 +22 +00 +47 +00 +1a +00 +3c +00 +24 +00 +4e +00 +00 +00 +00 +00 +94 +00 +66 +00 +a6 +00 +63 +00 +9f +00 +68 +00 +a8 +00 +6e +00 +95 +00 +72 +00 +ad +00 +5f +00 +a3 +00 +6a +00 +ab +00 +70 +00 +a4 +00 +6a +00 +ab +00 +5f +00 +8d +00 +52 +00 +61 +00 +30 +00 +5a +00 +35 +00 +5e +00 +3b +00 +57 +00 +31 +00 +54 +00 +36 +00 +51 +00 +3d +00 +5e +00 +49 +00 +b2 +00 +05 +10 +ad +10 +2d +10 +56 +10 +6f +20 +cc +30 +8c +30 +3f +60 +08 +20 +e9 +10 +9d +00 +91 +00 +61 +10 +16 +30 +2d +10 +cc +00 +58 +00 +80 +00 +47 +00 +68 +00 +4f +00 +78 +00 +53 +00 +7c +00 +4d +00 +6d +00 +39 +00 +62 +00 +4c +00 +8b +00 +56 +00 +a4 +00 +70 +00 +bb +00 +54 +10 +df +20 +dd +00 +bf +00 +5e +00 +e1 +00 +56 +00 +81 +00 +4f +00 +77 +00 +4b +00 +72 +00 +47 +00 +65 +00 +3e +00 +6b +00 +43 +00 +75 +00 +47 +00 +5b +00 +40 +00 +69 +00 +3e +00 +78 +00 +37 +00 +65 +00 +3f +00 +56 +00 +30 +00 +60 +00 +36 +00 +5d +00 +3e +00 +50 +00 +38 +00 +59 +00 +43 +00 +5c +00 +3a +00 +60 +00 +33 +00 +68 +00 +3a +00 +5b +00 +32 +00 +66 +00 +3f +00 +4a +00 +2a +00 +4b +00 +31 +00 +4b +00 +32 +00 +5e +00 +3b +00 +5c +00 +41 +00 +5b +00 +32 +00 +4b +00 +33 +00 +5b +00 +38 +00 +51 +00 +3b +00 +8c +00 +4a +00 +7c +00 +54 +00 +76 +00 +6f +00 +a2 +00 +a1 +00 +c7 +00 +4f +00 +79 +00 +38 +00 +7a +00 +69 +00 +85 +00 +64 +00 +8a +00 +64 +00 +8f +00 +b2 +00 +bb +10 +99 +10 +00 +20 +43 +10 +b7 +10 +89 +20 +4d +30 +8f +30 +cb +50 +77 +50 +34 +90 +53 +60 +54 +90 +64 +50 +fa +50 +1b +20 +89 +10 +e3 +00 +f5 +00 +4b +10 +74 +20 +fa +20 +df +40 +94 +50 +18 +90 +34 +60 +17 +90 +00 +60 +f5 +80 +3a +50 +00 +50 +bd +30 +c8 +60 +2f +50 +82 +80 +1a +40 +a6 +40 +49 +20 +e9 +10 +2a +30 +1e +60 +f7 +40 +4a +80 +f0 +50 +31 +90 +12 +60 +1f +90 +c8 +50 +08 +90 +df +30 +24 +40 +c0 +10 +4f +10 +1e +10 +6d +10 +d3 +20 +5d +40 +b1 +30 +47 +60 +9d +40 +c8 +60 +ca +30 +44 +50 +fe +30 +e2 +50 +ee +30 +f1 +40 +23 +30 +36 +50 +21 +30 +d7 +50 +32 +30 +60 +40 +36 +20 +80 +20 +8d +10 +d1 +10 +2e +10 +c4 +10 +ec +00 +50 +10 +1b +10 +2f +20 +9b +10 +a5 +10 +e2 +00 +db +00 +7a +00 +96 +00 +63 +00 +d5 +00 +5b +00 +a2 +00 +e5 +00 +d0 +00 +b1 +00 +cf +00 +e3 +00 +f5 +00 +8d +00 +a3 +00 +80 +00 +c3 +00 +b4 +00 +e7 +00 +a0 +00 +c1 +00 +c6 +00 +b8 +00 +37 +20 +98 +40 +7c +30 +ea +30 +18 +30 +6f +20 +77 +10 +01 +10 +b4 +00 +bf +00 +75 +00 +c0 +00 +9d +00 +be +00 +dd +00 +49 +20 +05 +10 +15 +10 +d5 +00 +75 +10 +cf +00 +22 +10 +91 +00 +a5 +00 +55 +00 +87 +00 +4a +00 +6e +00 +43 +00 +7b +00 +3e +00 +74 +00 +40 +00 +76 +00 +4c +00 +83 +00 +77 +00 +c1 +10 +53 +10 +80 +40 +27 +10 +e5 +00 +7c +00 +70 +00 +58 +00 +8c +00 +2c +00 +63 +00 +3c +00 +5b +00 +2f +00 +47 +00 +2c +00 +51 +00 +2c +00 +69 +00 +31 +00 +71 +00 +2d +00 +57 +00 +28 +00 +46 +00 +27 +00 +44 +00 +2b +00 +59 +00 +32 +00 +54 +00 +33 +00 +51 +00 +24 +00 +4d +00 +2a +00 +47 +00 +26 +00 +55 +00 +5f +00 +1d +10 +b7 +00 +8e +00 +4e +00 +68 +00 +35 +00 +58 +00 +3d +00 +59 +00 +34 +00 +53 +00 +4f +00 +5f +00 +3d +00 +9d +00 +b2 +00 +95 +10 +4f +10 +76 +10 +7a +00 +82 +00 +6b +00 +83 +00 +00 +10 +0c +10 +7d +20 +d2 +30 +0f +10 +d1 +00 +a5 +00 +82 +00 +5a +00 +86 +00 +57 +00 +a3 +00 +5c +00 +8c +00 +4c +00 +68 +00 +42 +00 +61 +00 +3d +00 +60 +00 +37 +00 +49 +00 +30 +00 +5b +00 +22 +00 +4d +00 +25 +00 +4b +00 +2c +00 +51 +00 +2c +00 +59 +00 +2d +00 +43 +00 +22 +00 +42 +00 +24 +00 +3c +00 +26 +00 +37 +00 +23 +00 +36 +00 +21 +00 +2c +00 +1d +00 +33 +00 +20 +00 +3c +00 +22 +00 +39 +00 +21 +00 +41 +00 +19 +00 +39 +00 +21 +00 +4a +00 +22 +00 +4f +00 +2b +00 +48 +00 +2a +00 +4a +00 +26 +00 +4f +00 +26 +00 +43 +00 +29 +00 +45 +00 +25 +00 +43 +00 +28 +00 +3f +00 +28 +00 +4f +00 +3f +00 +5f +00 +44 +00 +5f +00 +3a +00 +58 +00 +26 +00 +49 +00 +24 +00 +3b +00 +2c +00 +52 +00 +30 +00 +68 +00 +31 +00 +4f +00 +2c +00 +50 +00 +28 +00 +45 +00 +28 +00 +45 +00 +26 +00 +4c +00 +1c +00 +59 +00 +28 +00 +4b +00 +3c +00 +50 +00 +31 +00 +5c +00 +32 +00 +56 +00 +2f +00 +50 +00 +31 +00 +50 +00 +24 +00 +4d +00 +2b +00 +47 +00 +25 +00 +54 +00 +27 +00 +4e +00 +2a +00 +4a +00 +31 +00 +6c +00 +34 +00 +7f +00 +38 +00 +4e +00 +24 +00 +50 +00 +2f +00 +49 +00 +30 +00 +60 +00 +2e +00 +3e +00 +32 +00 +57 +00 +30 +00 +51 +00 +2c +00 +44 +00 +31 +00 +4f +00 +2f +00 +00 +00 +00 +00 +32 +00 +93 +00 +33 +00 +9b +00 +3a +00 +a3 +00 +45 +00 +9f +00 +36 +00 +99 +00 +32 +00 +a3 +00 +3c +00 +ac +00 +2f +00 +9a +00 +30 +00 +9a +00 +37 +00 +a0 +00 +2e +00 +81 +00 +1f +00 +5f +00 +1c +00 +5a +00 +20 +00 +6a +00 +23 +00 +5f +00 +27 +00 +5f +00 +27 +00 +69 +00 +27 +00 +6f +00 +41 +00 +48 +10 +bd +00 +f2 +10 +41 +10 +ec +40 +41 +20 +75 +60 +63 +20 +ff +30 +74 +00 +d9 +00 +61 +00 +68 +20 +60 +10 +6c +20 +4f +00 +ad +00 +2b +00 +74 +00 +2a +00 +7a +00 +2c +00 +84 +00 +25 +00 +71 +00 +1b +00 +75 +00 +24 +00 +72 +00 +24 +00 +90 +00 +2f +00 +90 +00 +58 +00 +5a +20 +2a +10 +e3 +10 +48 +00 +87 +00 +3b +00 +99 +00 +2a +00 +6c +00 +2d +00 +67 +00 +2f +00 +67 +00 +20 +00 +62 +00 +28 +00 +7a +00 +28 +00 +72 +00 +21 +00 +61 +00 +25 +00 +68 +00 +24 +00 +5e +00 +20 +00 +58 +00 +16 +00 +67 +00 +22 +00 +5a +00 +1a +00 +5b +00 +26 +00 +56 +00 +20 +00 +57 +00 +1d +00 +57 +00 +1a +00 +59 +00 +1f +00 +58 +00 +23 +00 +64 +00 +18 +00 +61 +00 +1e +00 +46 +00 +1a +00 +38 +00 +1d +00 +59 +00 +1f +00 +65 +00 +27 +00 +5f +00 +23 +00 +56 +00 +18 +00 +52 +00 +1f +00 +59 +00 +24 +00 +5a +00 +2b +00 +79 +00 +32 +00 +85 +00 +2d +00 +af +00 +74 +00 +76 +10 +40 +00 +90 +00 +27 +00 +73 +00 +2f +00 +97 +00 +c6 +00 +30 +10 +33 +00 +7e +00 +3a +00 +a0 +00 +d9 +00 +94 +20 +b4 +00 +8e +10 +ae +00 +26 +40 +3b +20 +12 +60 +fc +10 +c5 +70 +26 +30 +4a +90 +3a +30 +c6 +80 +b3 +10 +fc +20 +5c +00 +de +00 +49 +00 +33 +10 +9a +00 +14 +30 +50 +20 +26 +80 +2c +30 +6a +90 +37 +30 +41 +90 +3a +30 +60 +80 +d4 +10 +12 +40 +2d +20 +9c +70 +aa +20 +58 +60 +59 +10 +09 +30 +d8 +00 +91 +40 +bf +20 +1a +80 +31 +30 +14 +90 +f7 +20 +b1 +80 +26 +30 +71 +80 +a4 +20 +90 +50 +d4 +00 +f3 +10 +4b +00 +07 +10 +7d +10 +c1 +50 +b0 +10 +61 +50 +cc +20 +dc +70 +54 +20 +54 +50 +84 +10 +23 +50 +1a +20 +bb +60 +8a +10 +1d +30 +d9 +00 +a6 +20 +0f +10 +87 +30 +ec +00 +4f +20 +9d +00 +ab +10 +7b +00 +66 +10 +70 +00 +25 +10 +58 +00 +44 +10 +fb +00 +e4 +20 +cb +00 +63 +10 +4f +00 +c4 +00 +43 +00 +9d +00 +3e +00 +a4 +00 +56 +00 +3e +20 +e8 +00 +58 +10 +7e +00 +7b +10 +8e +00 +0e +10 +57 +00 +e9 +00 +75 +00 +75 +10 +97 +00 +07 +10 +5d +00 +f6 +00 +95 +00 +2c +40 +9d +20 +94 +70 +b8 +20 +2e +70 +40 +20 +9d +30 +70 +00 +e0 +00 +45 +00 +c8 +00 +59 +00 +5c +10 +61 +00 +06 +10 +90 +00 +15 +20 +b1 +00 +71 +10 +77 +00 +53 +10 +4e +00 +c2 +00 +37 +00 +9b +00 +3a +00 +85 +00 +3b +00 +a5 +00 +33 +00 +73 +00 +27 +00 +85 +00 +32 +00 +76 +00 +32 +00 +a8 +00 +50 +00 +33 +10 +0d +10 +c2 +20 +66 +00 +b8 +00 +25 +00 +71 +00 +25 +00 +65 +00 +20 +00 +63 +00 +22 +00 +52 +00 +23 +00 +5a +00 +20 +00 +50 +00 +23 +00 +61 +00 +19 +00 +4f +00 +26 +00 +50 +00 +19 +00 +53 +00 +1c +00 +53 +00 +20 +00 +50 +00 +25 +00 +5e +00 +1b +00 +51 +00 +15 +00 +49 +00 +1e +00 +46 +00 +26 +00 +87 +00 +de +00 +e4 +20 +4c +00 +7c +00 +22 +00 +5e +00 +1b +00 +55 +00 +22 +00 +58 +00 +2a +00 +07 +10 +37 +00 +73 +00 +55 +00 +57 +10 +91 +00 +8a +10 +7a +00 +e2 +00 +2a +00 +e0 +00 +a0 +00 +7d +20 +83 +10 +32 +50 +e5 +10 +4d +20 +8d +00 +69 +10 +35 +00 +7a +00 +4e +00 +cf +00 +30 +00 +78 +00 +2a +00 +87 +00 +4f +00 +7a +00 +35 +00 +a2 +00 +30 +00 +63 +00 +16 +00 +52 +00 +1a +00 +55 +00 +1e +00 +48 +00 +1a +00 +4d +00 +2b +00 +50 +00 +18 +00 +50 +00 +1f +00 +3b +00 +15 +00 +3f +00 +16 +00 +35 +00 +11 +00 +3d +00 +14 +00 +38 +00 +18 +00 +35 +00 +11 +00 +36 +00 +17 +00 +47 +00 +1b +00 +48 +00 +1b +00 +43 +00 +19 +00 +43 +00 +1e +00 +49 +00 +1e +00 +48 +00 +1e +00 +44 +00 +1e +00 +54 +00 +1b +00 +43 +00 +23 +00 +4b +00 +1f +00 +48 +00 +1e +00 +4d +00 +1f +00 +49 +00 +1e +00 +4d +00 +22 +00 +5b +00 +22 +00 +56 +00 +25 +00 +4d +00 +1b +00 +41 +00 +1f +00 +56 +00 +20 +00 +43 +00 +21 +00 +58 +00 +22 +00 +44 +00 +1d +00 +45 +00 +1a +00 +43 +00 +1a +00 +4c +00 +1e +00 +4e +00 +1a +00 +51 +00 +35 +00 +a9 +00 +1c +00 +64 +00 +1e +00 +5f +00 +20 +00 +59 +00 +23 +00 +5b +00 +23 +00 +4e +00 +1d +00 +50 +00 +1d +00 +4d +00 +19 +00 +48 +00 +1b +00 +44 +00 +1a +00 +4b +00 +21 +00 +63 +00 +2d +00 +73 +00 +1b +00 +56 +00 +1f +00 +4f +00 +20 +00 +4d +00 +26 +00 +49 +00 +17 +00 +5c +00 +25 +00 +64 +00 +2d +00 +5c +00 +22 +00 +4d +00 +1e +00 +54 +00 +00 +00 +00 +00 +91 +00 +65 +00 +a1 +00 +64 +00 +9e +00 +65 +00 +97 +00 +74 +00 +a5 +00 +7a +00 +a6 +00 +65 +00 +a1 +00 +61 +00 +ae +00 +6c +00 +a4 +00 +6c +00 +a0 +00 +5e +00 +8a +00 +46 +00 +5f +00 +34 +00 +5c +00 +31 +00 +56 +00 +2f +00 +62 +00 +32 +00 +5a +00 +39 +00 +67 +00 +40 +00 +5c +00 +4e +00 +8a +00 +84 +00 +23 +10 +19 +20 +75 +40 +27 +30 +4a +60 +2f +30 +cc +50 +be +10 +a6 +10 +b6 +00 +de +00 +71 +10 +d8 +30 +1a +10 +1e +10 +64 +00 +80 +00 +57 +00 +64 +00 +50 +00 +80 +00 +4b +00 +72 +00 +46 +00 +6f +00 +3a +00 +62 +00 +44 +00 +78 +00 +5a +00 +81 +00 +60 +00 +8f +00 +82 +00 +6a +10 +97 +00 +d8 +00 +5b +00 +79 +00 +4f +00 +76 +00 +56 +00 +65 +00 +48 +00 +74 +00 +40 +00 +69 +00 +4c +00 +6e +00 +51 +00 +68 +00 +37 +00 +68 +00 +3e +00 +60 +00 +41 +00 +63 +00 +35 +00 +57 +00 +3c +00 +51 +00 +37 +00 +66 +00 +2d +00 +54 +00 +33 +00 +5e +00 +3c +00 +57 +00 +3a +00 +53 +00 +39 +00 +51 +00 +3c +00 +69 +00 +4f +00 +c4 +00 +56 +00 +7e +00 +3a +00 +4c +00 +31 +00 +48 +00 +31 +00 +49 +00 +36 +00 +53 +00 +61 +00 +05 +10 +4d +00 +61 +00 +46 +00 +52 +00 +31 +00 +58 +00 +32 +00 +53 +00 +3a +00 +68 +00 +43 +00 +85 +00 +84 +00 +98 +00 +89 +00 +bc +00 +8c +00 +af +00 +69 +00 +7e +00 +49 +00 +7c +00 +69 +00 +87 +10 +dc +00 +0b +10 +60 +00 +8b +00 +b4 +00 +55 +20 +ec +00 +53 +10 +5b +10 +d8 +10 +6b +30 +b2 +60 +51 +40 +78 +50 +c0 +40 +a8 +80 +85 +50 +23 +90 +0f +50 +90 +60 +35 +20 +89 +10 +ca +00 +d1 +00 +a8 +10 +cd +20 +f1 +30 +6a +70 +69 +50 +1e +90 +f3 +50 +59 +90 +e2 +50 +f5 +80 +30 +50 +1d +70 +79 +30 +80 +40 +ad +30 +8d +60 +25 +30 +16 +40 +8e +20 +a8 +30 +b5 +30 +3c +70 +a1 +40 +52 +80 +4c +40 +ed +50 +7e +30 +a8 +50 +29 +30 +76 +40 +16 +20 +4c +20 +28 +10 +e4 +00 +a1 +10 +d9 +40 +b8 +30 +f0 +60 +1d +30 +6d +50 +a1 +30 +35 +60 +74 +30 +74 +30 +cc +30 +22 +60 +92 +30 +c7 +30 +48 +20 +35 +20 +e8 +10 +8d +20 +36 +20 +3f +20 +28 +20 +31 +20 +30 +10 +38 +10 +d5 +00 +21 +10 +b5 +00 +ec +00 +9a +10 +56 +40 +0a +20 +0d +30 +66 +10 +34 +10 +a1 +00 +c6 +00 +75 +00 +a5 +00 +83 +00 +ca +00 +e7 +10 +54 +40 +72 +20 +c3 +30 +8d +20 +60 +20 +33 +10 +14 +10 +35 +10 +a7 +20 +6c +10 +96 +20 +e0 +10 +fe +20 +39 +20 +f4 +20 +48 +40 +b0 +70 +58 +50 +d3 +80 +7b +50 +8e +80 +f7 +30 +c5 +20 +6a +10 +01 +10 +0f +10 +66 +10 +5b +20 +42 +30 +fe +00 +1e +10 +ef +00 +d6 +20 +12 +20 +ae +20 +58 +10 +2a +10 +a2 +00 +a0 +00 +60 +00 +8a +00 +65 +00 +8e +00 +5a +00 +89 +00 +3c +00 +75 +00 +41 +00 +6f +00 +46 +00 +7e +00 +68 +00 +ac +00 +94 +00 +4f +10 +5a +10 +23 +30 +c7 +00 +82 +00 +48 +00 +60 +00 +38 +00 +57 +00 +2a +00 +5f +00 +30 +00 +52 +00 +2e +00 +48 +00 +2b +00 +46 +00 +33 +00 +50 +00 +28 +00 +50 +00 +24 +00 +4e +00 +2e +00 +4d +00 +2d +00 +49 +00 +2d +00 +54 +00 +2f +00 +4e +00 +22 +00 +58 +00 +2a +00 +3f +00 +26 +00 +5d +00 +52 +00 +a4 +00 +63 +00 +e9 +00 +5b +00 +5d +00 +3c +00 +53 +00 +3e +00 +50 +00 +3c +00 +87 +00 +a5 +00 +09 +10 +5d +00 +88 +00 +6b +00 +c7 +00 +78 +00 +bd +00 +77 +00 +9f +00 +d3 +00 +e6 +30 +ba +20 +98 +60 +ae +30 +a8 +50 +10 +20 +65 +20 +1c +10 +ba +00 +93 +00 +8a +00 +66 +00 +b1 +00 +51 +00 +63 +00 +3a +00 +88 +00 +63 +00 +c8 +00 +96 +00 +a8 +00 +47 +00 +60 +00 +32 +00 +4e +00 +30 +00 +5e +00 +25 +00 +4b +00 +23 +00 +54 +00 +28 +00 +4a +00 +29 +00 +3d +00 +25 +00 +36 +00 +23 +00 +31 +00 +1d +00 +33 +00 +28 +00 +31 +00 +29 +00 +2e +00 +23 +00 +3a +00 +1c +00 +4b +00 +1c +00 +3c +00 +27 +00 +40 +00 +23 +00 +48 +00 +1f +00 +4d +00 +25 +00 +49 +00 +29 +00 +48 +00 +28 +00 +4e +00 +2b +00 +48 +00 +3e +00 +9d +00 +67 +00 +64 +00 +47 +00 +53 +00 +2f +00 +49 +00 +27 +00 +49 +00 +2d +00 +4b +00 +2f +00 +58 +00 +29 +00 +53 +00 +22 +00 +41 +00 +26 +00 +43 +00 +27 +00 +44 +00 +24 +00 +53 +00 +27 +00 +53 +00 +2a +00 +59 +00 +24 +00 +3f +00 +21 +00 +47 +00 +20 +00 +44 +00 +2e +00 +3a +00 +44 +00 +cb +00 +be +00 +bb +00 +3a +00 +50 +00 +3a +00 +78 +00 +76 +00 +72 +00 +3f +00 +55 +00 +2a +00 +49 +00 +2a +00 +4e +00 +24 +00 +40 +00 +28 +00 +4d +00 +20 +00 +43 +00 +2a +00 +4c +00 +2f +00 +5c +00 +30 +00 +4d +00 +2b +00 +51 +00 +2b +00 +4a +00 +2a +00 +51 +00 +32 +00 +45 +00 +2f +00 +4a +00 +42 +00 +be +00 +4f +00 +59 +00 +37 +00 +59 +00 +3b +00 +00 +00 +00 +00 +34 +00 +99 +00 +31 +00 +9a +00 +3b +00 +a5 +00 +3c +00 +a1 +00 +3c +00 +ae +00 +3e +00 +a5 +00 +3f +00 +a1 +00 +3c +00 +98 +00 +3a +00 +a8 +00 +39 +00 +97 +00 +2a +00 +74 +00 +25 +00 +53 +00 +1f +00 +66 +00 +20 +00 +59 +00 +22 +00 +55 +00 +23 +00 +5a +00 +26 +00 +61 +00 +27 +00 +73 +00 +2c +00 +91 +00 +5f +00 +4a +20 +26 +10 +55 +30 +57 +10 +f0 +30 +2a +10 +44 +20 +4f +00 +c5 +00 +54 +00 +7b +10 +c7 +00 +81 +10 +4a +00 +84 +00 +2d +00 +71 +00 +28 +00 +7f +00 +27 +00 +73 +00 +27 +00 +7d +00 +27 +00 +5d +00 +1e +00 +66 +00 +2d +00 +67 +00 +24 +00 +6b +00 +27 +00 +9a +00 +4d +00 +b6 +00 +2b +00 +72 +00 +2b +00 +72 +00 +2a +00 +7c +00 +26 +00 +6b +00 +27 +00 +6d +00 +24 +00 +63 +00 +25 +00 +6b +00 +29 +00 +70 +00 +25 +00 +5e +00 +2b +00 +6d +00 +24 +00 +6b +00 +2c +00 +5a +00 +30 +00 +67 +00 +26 +00 +59 +00 +1c +00 +61 +00 +20 +00 +56 +00 +25 +00 +58 +00 +1b +00 +53 +00 +23 +00 +65 +00 +2c +00 +79 +00 +33 +00 +8f +00 +4c +00 +84 +00 +1a +00 +4e +00 +21 +00 +5b +00 +20 +00 +51 +00 +25 +00 +68 +00 +5e +00 +c9 +00 +25 +00 +d5 +00 +27 +00 +53 +00 +22 +00 +56 +00 +1f +00 +53 +00 +2c +00 +75 +00 +5e +00 +80 +10 +7c +00 +28 +10 +31 +00 +ad +00 +36 +00 +90 +00 +29 +00 +79 +00 +29 +00 +7f +00 +41 +00 +cd +00 +52 +00 +a1 +00 +37 +00 +b7 +00 +8e +00 +5b +10 +61 +00 +c8 +10 +e9 +00 +2b +40 +1d +20 +7d +60 +cb +10 +e1 +50 +22 +20 +a5 +60 +c1 +20 +3d +80 +36 +20 +8c +30 +64 +00 +df +00 +61 +00 +71 +20 +0c +20 +9e +60 +9d +20 +11 +80 +2a +30 +0b +90 +0b +30 +33 +80 +7c +20 +b0 +60 +d2 +10 +f9 +30 +30 +10 +80 +40 +80 +10 +3f +40 +0b +10 +cb +20 +50 +10 +98 +40 +0c +20 +09 +60 +ec +10 +5c +50 +68 +10 +94 +30 +05 +10 +cc +20 +b4 +00 +15 +20 +67 +00 +05 +10 +45 +00 +9b +10 +cb +10 +49 +50 +f9 +10 +27 +40 +01 +10 +0c +30 +f4 +10 +98 +40 +78 +10 +15 +50 +53 +20 +a8 +50 +2b +10 +dc +20 +a0 +00 +11 +20 +34 +10 +8b +30 +d9 +00 +bf +30 +5a +10 +08 +20 +67 +00 +f4 +00 +48 +00 +dd +00 +86 +00 +9d +20 +60 +10 +bc +20 +b9 +00 +e4 +10 +73 +00 +15 +10 +4c +00 +d8 +00 +44 +00 +dd +00 +7b +00 +2b +20 +0d +20 +ee +50 +7b +20 +fc +50 +4d +10 +8a +20 +8d +00 +d8 +10 +a6 +00 +ad +10 +97 +00 +b3 +20 +83 +20 +13 +60 +22 +20 +42 +70 +4b +30 +5f +90 +70 +30 +40 +90 +49 +30 +36 +80 +3c +10 +eb +10 +66 +00 +60 +10 +83 +10 +fd +40 +fa +00 +0b +20 +7d +00 +2f +10 +9d +00 +4b +30 +69 +10 +14 +40 +96 +00 +f3 +00 +41 +00 +97 +00 +34 +00 +94 +00 +32 +00 +7e +00 +2f +00 +7e +00 +29 +00 +6f +00 +2a +00 +75 +00 +30 +00 +93 +00 +32 +00 +ae +00 +4c +00 +40 +10 +ae +00 +72 +10 +2c +00 +72 +00 +2b +00 +6f +00 +26 +00 +63 +00 +20 +00 +4e +00 +1b +00 +56 +00 +22 +00 +50 +00 +1d +00 +50 +00 +23 +00 +50 +00 +1b +00 +55 +00 +1f +00 +56 +00 +2b +00 +53 +00 +1e +00 +63 +00 +23 +00 +4a +00 +1d +00 +4b +00 +1a +00 +45 +00 +14 +00 +3b +00 +1b +00 +59 +00 +34 +00 +9b +00 +2e +00 +84 +00 +20 +00 +59 +00 +22 +00 +4c +00 +25 +00 +53 +00 +33 +00 +88 +00 +38 +00 +93 +00 +2d +00 +80 +00 +4c +00 +b5 +00 +36 +00 +8e +00 +3e +00 +e1 +00 +ae +00 +df +20 +17 +20 +c2 +50 +ac +10 +a3 +30 +93 +10 +41 +20 +54 +00 +c0 +00 +44 +00 +9d +00 +38 +00 +8a +00 +26 +00 +67 +00 +27 +00 +c7 +00 +7c +00 +e2 +10 +70 +00 +a0 +00 +25 +00 +53 +00 +1a +00 +43 +00 +17 +00 +32 +00 +21 +00 +4e +00 +22 +00 +45 +00 +23 +00 +4c +00 +17 +00 +35 +00 +14 +00 +39 +00 +18 +00 +35 +00 +11 +00 +3b +00 +19 +00 +3b +00 +14 +00 +37 +00 +16 +00 +33 +00 +15 +00 +43 +00 +19 +00 +3e +00 +15 +00 +3c +00 +1d +00 +41 +00 +20 +00 +5b +00 +23 +00 +50 +00 +20 +00 +5b +00 +20 +00 +47 +00 +1d +00 +63 +00 +a3 +00 +b2 +10 +84 +00 +98 +00 +2f +00 +58 +00 +1b +00 +3e +00 +1d +00 +4b +00 +28 +00 +4d +00 +25 +00 +49 +00 +14 +00 +3e +00 +20 +00 +45 +00 +1f +00 +42 +00 +24 +00 +56 +00 +15 +00 +4f +00 +20 +00 +59 +00 +1e +00 +4b +00 +20 +00 +42 +00 +1d +00 +4b +00 +22 +00 +50 +00 +20 +00 +4e +00 +48 +00 +02 +10 +35 +00 +72 +00 +20 +00 +5c +00 +59 +00 +8b +10 +37 +00 +6e +00 +22 +00 +57 +00 +21 +00 +4f +00 +17 +00 +4a +00 +1d +00 +45 +00 +22 +00 +50 +00 +20 +00 +3c +00 +22 +00 +49 +00 +23 +00 +61 +00 +24 +00 +59 +00 +22 +00 +50 +00 +1e +00 +4e +00 +1d +00 +49 +00 +19 +00 +48 +00 +17 +00 +54 +00 +42 +00 +fd +00 +20 +00 +4e +00 +25 +00 +79 +00 +00 +00 +00 +00 +93 +00 +6a +00 +95 +00 +6b +00 +9c +00 +68 +00 +9c +00 +65 +00 +b0 +00 +60 +00 +a2 +00 +72 +00 +9b +00 +68 +00 +a4 +00 +70 +00 +9d +00 +63 +00 +9f +00 +5d +00 +8e +00 +46 +00 +76 +00 +41 +00 +5e +00 +41 +00 +5a +00 +3c +00 +5c +00 +4b +00 +75 +00 +54 +00 +75 +00 +36 +00 +66 +00 +3d +00 +65 +00 +77 +00 +c4 +00 +c5 +00 +74 +10 +33 +10 +e4 +10 +42 +10 +01 +20 +02 +10 +f4 +00 +83 +00 +ae +00 +91 +00 +14 +10 +8d +00 +ab +00 +52 +00 +6d +00 +40 +00 +6d +00 +3e +00 +70 +00 +60 +00 +04 +10 +64 +00 +85 +00 +34 +00 +62 +00 +3e +00 +5e +00 +47 +00 +5f +00 +47 +00 +6e +00 +5b +00 +b4 +00 +5c +00 +70 +00 +48 +00 +73 +00 +79 +00 +b3 +00 +9e +00 +91 +00 +6b +00 +74 +00 +a9 +00 +8d +00 +a4 +00 +96 +00 +59 +00 +68 +00 +43 +00 +5d +00 +36 +00 +5c +00 +3d +00 +68 +00 +39 +00 +58 +00 +41 +00 +56 +00 +37 +00 +65 +00 +3b +00 +66 +00 +48 +00 +5e +00 +35 +00 +4d +00 +31 +00 +5c +00 +32 +00 +5e +00 +31 +00 +5a +00 +36 +00 +60 +00 +3b +00 +6e +00 +3c +00 +58 +00 +35 +00 +86 +00 +48 +00 +57 +00 +34 +00 +65 +00 +3d +00 +65 +00 +4d +00 +96 +00 +54 +00 +ac +00 +49 +00 +56 +00 +2c +00 +57 +00 +3a +00 +64 +00 +5d +00 +07 +10 +d5 +00 +98 +10 +f0 +00 +4a +10 +24 +10 +ef +00 +69 +00 +82 +00 +48 +00 +72 +00 +5d +00 +93 +00 +4b +00 +96 +00 +6e +00 +9d +00 +7a +00 +c1 +00 +c5 +00 +c7 +10 +81 +20 +88 +30 +f7 +10 +ac +20 +f7 +10 +47 +30 +54 +20 +03 +30 +53 +20 +7a +30 +e8 +20 +ad +60 +3c +20 +b4 +10 +d9 +00 +ec +00 +e7 +10 +26 +50 +a0 +20 +73 +40 +1e +40 +e6 +70 +a2 +40 +b6 +60 +2d +50 +24 +70 +12 +50 +e8 +60 +8d +30 +c5 +30 +59 +30 +e5 +40 +f7 +20 +e4 +20 +b0 +20 +b1 +40 +2c +20 +e0 +20 +4a +20 +5a +30 +b2 +20 +28 +40 +5a +20 +8a +30 +eb +10 +f6 +10 +29 +10 +12 +10 +c4 +00 +a7 +00 +9c +10 +66 +40 +75 +30 +6e +50 +b0 +20 +ad +20 +c5 +10 +61 +20 +d5 +20 +cb +40 +f0 +30 +5c +60 +8c +30 +98 +50 +9d +20 +30 +30 +6c +30 +8b +40 +e5 +20 +c2 +30 +22 +20 +15 +40 +9c +10 +6d +10 +ae +00 +ce +00 +7b +00 +01 +10 +bd +00 +83 +10 +cf +00 +66 +10 +e4 +00 +c8 +10 +d7 +10 +12 +20 +ee +00 +e2 +00 +68 +10 +d0 +20 +7a +30 +db +40 +3b +30 +e4 +50 +8f +20 +1c +40 +38 +30 +08 +40 +f5 +20 +8d +20 +77 +10 +8d +10 +fe +20 +a4 +60 +13 +50 +69 +80 +fe +50 +81 +90 +47 +60 +70 +90 +68 +60 +ba +90 +94 +50 +c6 +60 +d5 +20 +d6 +10 +10 +30 +94 +50 +68 +20 +83 +20 +57 +10 +73 +10 +fc +00 +24 +10 +28 +10 +bd +20 +63 +10 +0e +20 +c7 +00 +da +00 +75 +00 +a7 +00 +83 +00 +a6 +00 +53 +00 +80 +00 +4f +00 +85 +00 +41 +00 +75 +00 +43 +00 +78 +00 +55 +00 +8f +00 +a0 +00 +b1 +00 +94 +00 +cf +00 +74 +00 +b0 +00 +4a +00 +75 +00 +41 +00 +68 +00 +34 +00 +5c +00 +47 +00 +68 +00 +37 +00 +59 +00 +2e +00 +54 +00 +31 +00 +4c +00 +29 +00 +54 +00 +2a +00 +45 +00 +30 +00 +49 +00 +2e +00 +4a +00 +31 +00 +55 +00 +2c +00 +53 +00 +29 +00 +43 +00 +2c +00 +42 +00 +2a +00 +48 +00 +38 +00 +6d +00 +4c +00 +85 +00 +4e +00 +81 +00 +79 +00 +69 +00 +3d +00 +4e +00 +4a +00 +66 +00 +41 +00 +97 +00 +74 +00 +99 +00 +94 +00 +4d +10 +93 +00 +a3 +00 +72 +00 +89 +00 +d6 +00 +3b +10 +c9 +10 +af +20 +a5 +20 +29 +50 +19 +30 +db +40 +06 +30 +ea +20 +81 +10 +f2 +00 +84 +00 +92 +00 +4b +00 +71 +00 +39 +00 +66 +00 +69 +00 +ab +10 +e8 +00 +6b +10 +71 +00 +68 +00 +39 +00 +46 +00 +23 +00 +42 +00 +25 +00 +45 +00 +2e +00 +4f +00 +2c +00 +3f +00 +28 +00 +33 +00 +22 +00 +34 +00 +24 +00 +3c +00 +1c +00 +34 +00 +25 +00 +34 +00 +27 +00 +36 +00 +26 +00 +3d +00 +1f +00 +41 +00 +28 +00 +49 +00 +20 +00 +47 +00 +1e +00 +48 +00 +26 +00 +53 +00 +24 +00 +5b +00 +25 +00 +56 +00 +26 +00 +45 +00 +2b +00 +4b +00 +41 +00 +84 +00 +78 +00 +99 +10 +6a +00 +bc +00 +45 +00 +50 +00 +29 +00 +4f +00 +30 +00 +60 +00 +2c +00 +58 +00 +33 +00 +3f +00 +29 +00 +4b +00 +21 +00 +47 +00 +23 +00 +56 +00 +28 +00 +4d +00 +20 +00 +4b +00 +24 +00 +5b +00 +2e +00 +42 +00 +25 +00 +45 +00 +2a +00 +43 +00 +2f +00 +4d +00 +45 +00 +70 +00 +3b +00 +78 +00 +4c +00 +51 +00 +45 +00 +ed +00 +b4 +00 +07 +10 +46 +00 +62 +00 +2e +00 +48 +00 +25 +00 +50 +00 +26 +00 +4f +00 +28 +00 +47 +00 +24 +00 +50 +00 +1f +00 +4c +00 +27 +00 +52 +00 +21 +00 +41 +00 +27 +00 +6b +00 +28 +00 +51 +00 +2c +00 +4a +00 +43 +00 +4d +00 +2f +00 +43 +00 +33 +00 +71 +00 +38 +00 +86 +00 +4e +00 +5c +00 +7b +00 +00 +00 +00 +00 +36 +00 +97 +00 +34 +00 +96 +00 +37 +00 +98 +00 +35 +00 +a2 +00 +36 +00 +99 +00 +3c +00 +a8 +00 +38 +00 +a0 +00 +37 +00 +9b +00 +3b +00 +9a +00 +38 +00 +8c +00 +24 +00 +70 +00 +26 +00 +58 +00 +28 +00 +55 +00 +23 +00 +58 +00 +21 +00 +5c +00 +4e +00 +4b +10 +3b +00 +75 +00 +21 +00 +5e +00 +28 +00 +78 +00 +34 +00 +f1 +00 +55 +00 +5e +10 +5f +00 +5f +10 +5c +00 +05 +10 +36 +00 +87 +00 +35 +00 +cb +00 +46 +00 +b8 +00 +28 +00 +81 +00 +24 +00 +6f +00 +20 +00 +6e +00 +26 +00 +72 +00 +45 +00 +c5 +00 +2d +00 +69 +00 +25 +00 +66 +00 +22 +00 +65 +00 +21 +00 +63 +00 +26 +00 +87 +00 +2b +00 +7c +00 +23 +00 +76 +00 +30 +00 +ab +00 +e8 +00 +47 +20 +7a +00 +d6 +00 +3c +00 +b8 +00 +ee +00 +94 +20 +55 +00 +98 +00 +28 +00 +6f +00 +1f +00 +6a +00 +1b +00 +58 +00 +27 +00 +63 +00 +24 +00 +5a +00 +21 +00 +56 +00 +27 +00 +6a +00 +20 +00 +74 +00 +1e +00 +5a +00 +22 +00 +54 +00 +1c +00 +67 +00 +24 +00 +64 +00 +24 +00 +55 +00 +25 +00 +60 +00 +22 +00 +4c +00 +1d +00 +5b +00 +23 +00 +5f +00 +24 +00 +4a +00 +21 +00 +5f +00 +24 +00 +5a +00 +20 +00 +69 +00 +1c +00 +6a +00 +1f +00 +48 +00 +1f +00 +5e +00 +2e +00 +84 +00 +5b +00 +04 +10 +5b +00 +33 +10 +2f +10 +33 +30 +6a +00 +bd +00 +2a +00 +88 +00 +2d +00 +66 +00 +2a +00 +9f +00 +35 +00 +aa +00 +36 +00 +8b +00 +41 +00 +e9 +00 +fa +00 +74 +40 +fb +10 +f5 +30 +95 +00 +f3 +10 +8b +00 +da +10 +95 +00 +f2 +10 +ae +00 +fa +20 +69 +10 +31 +30 +69 +00 +cf +00 +59 +00 +5b +20 +fd +00 +b2 +20 +fc +00 +55 +40 +fe +10 +a5 +60 +ae +20 +01 +80 +e5 +20 +74 +80 +b5 +20 +5f +50 +2b +10 +fd +30 +be +10 +f5 +40 +e2 +00 +c0 +30 +31 +10 +b1 +20 +c4 +00 +17 +30 +f3 +00 +a4 +20 +b6 +00 +13 +20 +a8 +00 +fc +10 +6c +00 +09 +10 +3d +00 +9c +00 +37 +00 +70 +10 +d9 +10 +f1 +50 +49 +20 +66 +50 +db +00 +fb +10 +a0 +00 +f9 +20 +28 +20 +40 +70 +0b +20 +a0 +40 +82 +10 +7b +30 +7a +10 +47 +60 +33 +20 +50 +40 +e4 +00 +48 +20 +9b +00 +c6 +10 +86 +00 +fc +00 +42 +00 +aa +00 +4b +00 +e0 +00 +5a +00 +23 +10 +74 +00 +24 +10 +08 +10 +ae +40 +84 +10 +65 +20 +95 +00 +c9 +10 +e3 +10 +bf +60 +74 +20 +c3 +40 +02 +10 +14 +30 +0e +20 +a5 +50 +44 +20 +fb +60 +95 +10 +ce +20 +1e +10 +fb +40 +ef +20 +90 +80 +71 +30 +d9 +90 +a7 +30 +17 +a0 +87 +30 +3a +a0 +82 +30 +f0 +90 +f2 +20 +a8 +50 +bc +10 +39 +60 +7f +20 +1e +40 +e1 +00 +f8 +10 +75 +00 +14 +10 +62 +00 +73 +10 +a5 +00 +ca +10 +80 +00 +17 +10 +55 +00 +c7 +00 +45 +00 +e9 +00 +56 +00 +b1 +00 +2a +00 +8d +00 +30 +00 +82 +00 +2c +00 +7a +00 +2d +00 +87 +00 +41 +00 +3d +10 +47 +00 +b1 +00 +41 +00 +a2 +00 +36 +00 +7d +00 +26 +00 +87 +00 +2c +00 +7c +00 +2b +00 +8c +00 +20 +00 +4f +00 +1f +00 +5e +00 +1c +00 +52 +00 +18 +00 +4a +00 +1c +00 +42 +00 +23 +00 +4c +00 +23 +00 +5f +00 +23 +00 +56 +00 +2a +00 +54 +00 +27 +00 +4f +00 +1a +00 +4b +00 +1a +00 +51 +00 +1b +00 +54 +00 +2c +00 +68 +00 +23 +00 +71 +00 +2c +00 +83 +00 +27 +00 +4e +00 +26 +00 +d4 +00 +38 +00 +87 +00 +5d +00 +65 +10 +3e +00 +eb +00 +e1 +00 +53 +10 +5a +00 +ab +00 +44 +00 +1b +10 +59 +10 +83 +40 +ff +10 +e8 +50 +1e +20 +e3 +40 +86 +10 +1e +60 +78 +20 +f1 +30 +69 +00 +b8 +00 +3d +00 +8b +00 +2b +00 +65 +00 +27 +00 +92 +00 +74 +00 +56 +10 +54 +00 +c3 +00 +25 +00 +4d +00 +17 +00 +4f +00 +17 +00 +41 +00 +20 +00 +80 +00 +2f +00 +4e +00 +17 +00 +3d +00 +16 +00 +3a +00 +12 +00 +39 +00 +10 +00 +38 +00 +16 +00 +3c +00 +1b +00 +3e +00 +1c +00 +48 +00 +14 +00 +38 +00 +17 +00 +46 +00 +1b +00 +41 +00 +16 +00 +46 +00 +1e +00 +52 +00 +26 +00 +56 +00 +1f +00 +51 +00 +1f +00 +4b +00 +21 +00 +49 +00 +2a +00 +58 +00 +32 +00 +93 +00 +43 +00 +b0 +00 +26 +00 +61 +00 +22 +00 +55 +00 +20 +00 +81 +00 +22 +00 +53 +00 +26 +00 +68 +00 +1d +00 +4b +00 +1e +00 +47 +00 +19 +00 +42 +00 +20 +00 +4f +00 +21 +00 +4d +00 +25 +00 +46 +00 +23 +00 +4b +00 +21 +00 +4a +00 +20 +00 +49 +00 +1f +00 +54 +00 +25 +00 +58 +00 +1f +00 +6e +00 +2c +00 +67 +00 +1f +00 +5d +00 +37 +00 +ba +00 +47 +00 +91 +00 +2b +00 +4a +00 +22 +00 +4f +00 +19 +00 +57 +00 +1f +00 +3c +00 +1c +00 +51 +00 +1b +00 +52 +00 +1e +00 +4d +00 +2d +00 +69 +00 +18 +00 +50 +00 +21 +00 +4e +00 +1f +00 +4f +00 +26 +00 +df +00 +28 +00 +4f +00 +1d +00 +49 +00 +2e +00 +65 +00 +2b +00 +65 +00 +6a +00 +0c +10 +00 +00 +00 +00 +a9 +00 +66 +00 +9e +00 +69 +00 +94 +00 +6c +00 +b0 +00 +68 +00 +a3 +00 +73 +00 +94 +00 +73 +00 +a5 +00 +6b +00 +a6 +00 +73 +00 +ab +00 +66 +00 +9a +00 +61 +00 +7f +00 +45 +00 +68 +00 +39 +00 +62 +00 +3e +00 +65 +00 +40 +00 +5d +00 +45 +00 +67 +00 +51 +00 +8d +00 +51 +00 +7d +00 +4e +00 +6c +00 +5f +00 +78 +00 +8f +00 +d5 +00 +c2 +00 +ef +00 +c1 +00 +e7 +00 +77 +00 +89 +00 +53 +00 +8e +00 +72 +00 +9c +00 +5a +00 +72 +00 +40 +00 +61 +00 +3c +00 +5c +00 +43 +00 +57 +00 +47 +00 +76 +00 +46 +00 +72 +00 +3b +00 +62 +00 +45 +00 +66 +00 +37 +00 +59 +00 +41 +00 +5f +00 +48 +00 +6f +00 +45 +00 +60 +00 +47 +00 +6b +00 +a4 +00 +2c +20 +02 +10 +43 +20 +3c +20 +3c +20 +20 +10 +88 +20 +f1 +10 +63 +20 +93 +00 +7a +00 +41 +00 +5f +00 +3c +00 +54 +00 +52 +00 +5d +00 +44 +00 +66 +00 +3f +00 +56 +00 +41 +00 +68 +00 +57 +00 +77 +00 +3e +00 +48 +00 +36 +00 +54 +00 +2f +00 +51 +00 +3d +00 +6a +00 +2e +00 +5a +00 +33 +00 +64 +00 +42 +00 +60 +00 +33 +00 +5c +00 +74 +00 +98 +00 +3d +00 +5d +00 +39 +00 +5e +00 +38 +00 +5b +00 +3b +00 +56 +00 +37 +00 +5a +00 +44 +00 +54 +00 +2d +00 +4c +00 +2b +00 +63 +00 +55 +00 +8e +00 +6b +00 +cc +00 +fe +10 +6f +40 +c7 +10 +dd +10 +89 +00 +7f +00 +57 +00 +92 +00 +8e +00 +c1 +00 +5a +00 +84 +00 +54 +00 +7e +00 +60 +00 +8b +00 +97 +00 +08 +10 +f4 +00 +7c +20 +44 +20 +bb +20 +7b +10 +8e +10 +34 +10 +83 +10 +45 +10 +9e +10 +56 +10 +03 +20 +fb +00 +4a +10 +cd +00 +c4 +00 +ea +00 +85 +10 +47 +10 +f5 +10 +f2 +10 +9b +30 +e7 +30 +ab +70 +17 +50 +6e +80 +11 +50 +85 +70 +e5 +30 +31 +40 +1e +30 +22 +50 +01 +30 +0c +30 +0f +20 +90 +20 +72 +10 +c6 +10 +bd +10 +57 +20 +ac +10 +b7 +10 +20 +10 +6b +10 +de +00 +fd +00 +9b +00 +a5 +00 +72 +00 +a6 +00 +50 +10 +de +30 +a1 +10 +2c +30 +e4 +10 +49 +20 +8b +10 +a7 +10 +b3 +20 +c0 +50 +e8 +20 +2f +40 +1c +20 +50 +20 +a4 +10 +a5 +20 +dc +20 +54 +60 +5f +20 +69 +20 +56 +10 +58 +10 +c5 +00 +19 +10 +98 +00 +c9 +00 +7f +00 +b4 +00 +98 +00 +e7 +00 +bd +00 +6f +10 +d8 +00 +34 +10 +21 +10 +d2 +30 +df +20 +70 +40 +99 +30 +0b +40 +2b +40 +c7 +70 +0e +30 +d4 +20 +26 +30 +3b +60 +98 +30 +ae +50 +a5 +20 +89 +40 +51 +30 +71 +60 +91 +40 +2d +80 +a2 +50 +b6 +90 +7e +60 +5d +a0 +d8 +60 +16 +a0 +0b +70 +43 +a0 +88 +60 +75 +90 +bc +50 +f7 +70 +48 +50 +3a +80 +fa +30 +62 +40 +88 +20 +b1 +10 +2b +10 +0f +10 +6c +10 +d8 +10 +00 +10 +3a +10 +a1 +00 +dc +00 +80 +00 +fb +00 +c2 +00 +f1 +00 +71 +00 +a4 +00 +51 +00 +88 +00 +4e +00 +7b +00 +47 +00 +6b +00 +4f +00 +a0 +00 +ca +00 +4f +10 +95 +00 +a7 +00 +5f +00 +81 +00 +40 +00 +54 +00 +32 +00 +79 +00 +8c +00 +4c +10 +99 +00 +8c +00 +42 +00 +5d +00 +2d +00 +48 +00 +2d +00 +55 +00 +33 +00 +4e +00 +27 +00 +53 +00 +28 +00 +48 +00 +37 +00 +5f +00 +2b +00 +54 +00 +2e +00 +5a +00 +34 +00 +51 +00 +24 +00 +4b +00 +2d +00 +49 +00 +34 +00 +63 +00 +30 +00 +62 +00 +50 +00 +cd +00 +78 +00 +70 +00 +40 +00 +68 +00 +52 +00 +c1 +00 +67 +00 +06 +10 +cf +00 +eb +00 +86 +00 +08 +10 +9f +00 +db +00 +7c +00 +d9 +00 +16 +20 +46 +50 +5c +30 +34 +50 +62 +20 +39 +40 +f3 +20 +ea +50 +26 +30 +7e +60 +3d +20 +f3 +10 +c8 +00 +9c +00 +59 +00 +6b +00 +3d +00 +75 +00 +56 +00 +b1 +00 +4d +00 +b1 +00 +4d +00 +71 +00 +30 +00 +54 +00 +2d +00 +3e +00 +2b +00 +63 +00 +62 +00 +23 +10 +43 +00 +4f +00 +2a +00 +2f +00 +2a +00 +40 +00 +1a +00 +3b +00 +1f +00 +40 +00 +2c +00 +49 +00 +2c +00 +4c +00 +28 +00 +39 +00 +1f +00 +4d +00 +27 +00 +3c +00 +1c +00 +46 +00 +26 +00 +5a +00 +28 +00 +4d +00 +24 +00 +41 +00 +29 +00 +52 +00 +29 +00 +52 +00 +41 +00 +61 +00 +9f +00 +80 +00 +70 +00 +82 +00 +52 +00 +6d +00 +37 +00 +64 +00 +2e +00 +53 +00 +3f +00 +6d +00 +5d +00 +ed +00 +b0 +00 +9a +00 +3d +00 +4b +00 +2b +00 +51 +00 +31 +00 +4a +00 +29 +00 +4b +00 +21 +00 +55 +00 +27 +00 +53 +00 +2f +00 +42 +00 +26 +00 +47 +00 +26 +00 +54 +00 +24 +00 +62 +00 +35 +00 +5d +00 +41 +00 +67 +00 +3b +00 +68 +00 +47 +00 +7e +00 +3d +00 +85 +00 +3b +00 +55 +00 +33 +00 +47 +00 +29 +00 +41 +00 +29 +00 +5c +00 +28 +00 +4a +00 +28 +00 +45 +00 +22 +00 +4b +00 +2a +00 +56 +00 +21 +00 +52 +00 +27 +00 +4e +00 +30 +00 +5b +00 +3b +00 +cf +00 +ba +00 +da +00 +41 +00 +4a +00 +34 +00 +78 +00 +5e +00 +8a +00 +65 +00 +b0 +00 +57 +00 +00 +00 +00 +00 +34 +00 +a6 +00 +3b +00 +8a +00 +36 +00 +9e +00 +41 +00 +a4 +00 +3c +00 +a5 +00 +34 +00 +99 +00 +3c +00 +97 +00 +38 +00 +a5 +00 +36 +00 +9c +00 +35 +00 +90 +00 +30 +00 +6f +00 +20 +00 +5e +00 +22 +00 +7d +00 +29 +00 +5b +00 +22 +00 +5a +00 +29 +00 +74 +00 +28 +00 +80 +00 +35 +00 +77 +00 +24 +00 +65 +00 +25 +00 +88 +00 +39 +00 +a6 +00 +39 +00 +a6 +00 +2d +00 +7a +00 +2c +00 +6d +00 +2a +00 +82 +00 +31 +00 +7a +00 +1f +00 +74 +00 +20 +00 +66 +00 +21 +00 +5d +00 +23 +00 +5c +00 +27 +00 +66 +00 +22 +00 +58 +00 +1a +00 +64 +00 +22 +00 +5e +00 +24 +00 +5c +00 +21 +00 +53 +00 +2a +00 +67 +00 +1f +00 +6a +00 +30 +00 +a7 +00 +60 +00 +1c +10 +a6 +00 +82 +30 +ce +10 +f3 +20 +23 +10 +ce +30 +b8 +00 +f8 +00 +2e +00 +6f +00 +28 +00 +65 +00 +1f +00 +65 +00 +24 +00 +67 +00 +26 +00 +74 +00 +27 +00 +66 +00 +4d +00 +52 +10 +3c +00 +76 +00 +19 +00 +53 +00 +1b +00 +55 +00 +1d +00 +59 +00 +21 +00 +5f +00 +21 +00 +69 +00 +1a +00 +5f +00 +1d +00 +4d +00 +22 +00 +98 +00 +45 +00 +84 +00 +28 +00 +53 +00 +1d +00 +5f +00 +1e +00 +4f +00 +24 +00 +65 +00 +23 +00 +5a +00 +22 +00 +60 +00 +20 +00 +50 +00 +27 +00 +6d +00 +27 +00 +8d +00 +7b +00 +84 +30 +91 +10 +52 +30 +93 +00 +d7 +00 +2b +00 +82 +00 +52 +00 +31 +10 +5f +00 +ab +00 +27 +00 +77 +00 +33 +00 +72 +00 +32 +00 +93 +00 +44 +00 +fc +00 +78 +00 +49 +20 +03 +10 +d0 +10 +5a +00 +fd +00 +6d +00 +02 +10 +4a +00 +14 +10 +64 +00 +23 +10 +4e +00 +bd +00 +4b +00 +de +00 +59 +00 +48 +10 +62 +00 +7f +10 +a0 +00 +23 +30 +c9 +10 +f6 +50 +8a +20 +a4 +70 +60 +20 +75 +60 +8b +10 +ee +30 +0b +20 +86 +50 +c9 +00 +4f +20 +a2 +00 +92 +10 +81 +00 +e3 +10 +f3 +00 +2b +20 +6f +00 +36 +10 +62 +00 +e9 +00 +43 +00 +ad +00 +31 +00 +8c +00 +36 +00 +10 +10 +db +00 +56 +20 +aa +00 +be +10 +7d +00 +2b +10 +c6 +00 +24 +40 +6f +10 +4b +30 +cc +00 +3c +20 +86 +00 +67 +10 +a6 +00 +d5 +20 +6f +10 +3c +30 +96 +00 +81 +10 +64 +00 +06 +10 +85 +00 +34 +10 +5a +00 +ba +00 +4f +00 +ce +00 +50 +00 +f1 +00 +af +00 +2f +10 +51 +00 +2a +10 +13 +10 +6c +50 +84 +20 +64 +70 +01 +20 +94 +60 +de +20 +18 +50 +28 +10 +f2 +40 +ab +20 +77 +60 +76 +10 +48 +30 +d6 +00 +38 +30 +88 +20 +57 +70 +f9 +20 +37 +90 +a1 +30 +0b +a0 +ba +30 +79 +a0 +ea +30 +5f +a0 +a3 +30 +fe +90 +ad +30 +64 +90 +48 +30 +4c +90 +60 +30 +3c +80 +6e +20 +58 +50 +ab +00 +6a +10 +bc +00 +e0 +30 +dc +00 +aa +10 +5c +00 +e9 +00 +3b +00 +db +00 +96 +00 +a7 +20 +7b +00 +dd +00 +3a +00 +95 +00 +2c +00 +81 +00 +2f +00 +75 +00 +31 +00 +95 +00 +37 +00 +fe +00 +79 +00 +2d +10 +3c +00 +85 +00 +2b +00 +5d +00 +1e +00 +6e +00 +2f +00 +fe +00 +6b +10 +3a +20 +37 +00 +6c +00 +27 +00 +58 +00 +21 +00 +51 +00 +1d +00 +4d +00 +1a +00 +47 +00 +22 +00 +4a +00 +20 +00 +57 +00 +28 +00 +5f +00 +21 +00 +60 +00 +1f +00 +59 +00 +1d +00 +53 +00 +1e +00 +4c +00 +1a +00 +59 +00 +21 +00 +5f +00 +23 +00 +82 +00 +61 +00 +e2 +00 +2f +00 +69 +00 +2a +00 +67 +00 +29 +00 +8a +00 +4e +00 +ef +00 +4b +00 +c2 +00 +4d +00 +84 +10 +53 +00 +ab +00 +73 +00 +c9 +20 +1c +20 +9b +50 +ff +00 +92 +20 +bc +00 +f8 +20 +7c +20 +b2 +40 +21 +10 +ce +20 +bc +00 +53 +10 +3b +00 +8d +00 +26 +00 +6c +00 +2f +00 +85 +00 +32 +00 +8a +00 +35 +00 +74 +00 +20 +00 +6e +00 +27 +00 +58 +00 +1d +00 +4c +00 +1c +00 +6b +00 +33 +00 +77 +00 +22 +00 +3c +00 +16 +00 +30 +00 +14 +00 +37 +00 +13 +00 +3a +00 +18 +00 +45 +00 +1d +00 +41 +00 +1b +00 +48 +00 +18 +00 +42 +00 +1f +00 +4b +00 +1e +00 +4f +00 +20 +00 +4b +00 +1d +00 +54 +00 +1e +00 +4f +00 +21 +00 +51 +00 +1c +00 +54 +00 +1c +00 +75 +00 +7d +00 +5b +20 +78 +00 +9e +00 +2d +00 +6d +00 +20 +00 +4f +00 +25 +00 +58 +00 +1e +00 +53 +00 +28 +00 +78 +00 +54 +00 +56 +10 +37 +00 +74 +00 +30 +00 +52 +00 +1b +00 +52 +00 +1e +00 +45 +00 +1a +00 +5e +00 +22 +00 +50 +00 +1a +00 +4a +00 +1b +00 +51 +00 +19 +00 +48 +00 +24 +00 +57 +00 +24 +00 +5c +00 +22 +00 +59 +00 +24 +00 +54 +00 +1e +00 +61 +00 +24 +00 +78 +00 +28 +00 +63 +00 +26 +00 +59 +00 +1b +00 +59 +00 +22 +00 +5b +00 +20 +00 +53 +00 +26 +00 +52 +00 +1b +00 +4b +00 +25 +00 +4e +00 +1d +00 +4e +00 +24 +00 +56 +00 +22 +00 +57 +00 +27 +00 +5e +00 +3e +00 +a1 +00 +3c +00 +74 +00 +19 +00 +60 +00 +28 +00 +c9 +00 +aa +00 +e4 +00 +2b +00 +72 +00 +00 +00 +00 +00 +90 +00 +65 +00 +95 +00 +70 +00 +a1 +00 +72 +00 +99 +00 +67 +00 +a5 +00 +65 +00 +9f +00 +66 +00 +9c +00 +68 +00 +95 +00 +70 +00 +a5 +00 +60 +00 +aa +00 +57 +00 +77 +00 +3d +00 +62 +00 +41 +00 +64 +00 +5d +00 +b6 +00 +4b +00 +5f +00 +3d +00 +6b +00 +88 +00 +a3 +00 +7b +00 +93 +00 +55 +00 +73 +00 +54 +00 +6a +00 +7e +00 +83 +00 +6e +00 +7e +00 +60 +00 +79 +00 +55 +00 +63 +00 +48 +00 +75 +00 +4c +00 +70 +00 +45 +00 +66 +00 +3d +00 +5e +00 +3d +00 +62 +00 +34 +00 +67 +00 +39 +00 +6a +00 +3a +00 +52 +00 +3c +00 +58 +00 +2e +00 +56 +00 +38 +00 +59 +00 +41 +00 +60 +00 +42 +00 +63 +00 +41 +00 +6d +00 +48 +00 +77 +00 +65 +00 +b7 +00 +aa +00 +61 +10 +83 +10 +39 +40 +93 +10 +d3 +10 +cb +00 +8e +10 +99 +00 +87 +00 +48 +00 +64 +00 +44 +00 +60 +00 +68 +00 +d5 +00 +73 +00 +8e +00 +48 +00 +6e +00 +3e +00 +a1 +00 +86 +00 +08 +10 +55 +00 +52 +00 +3e +00 +55 +00 +3c +00 +4e +00 +3d +00 +69 +00 +3a +00 +64 +00 +40 +00 +58 +00 +32 +00 +51 +00 +2d +00 +4a +00 +32 +00 +6f +00 +4b +00 +62 +00 +48 +00 +65 +00 +42 +00 +5a +00 +33 +00 +62 +00 +2d +00 +59 +00 +31 +00 +4e +00 +27 +00 +5b +00 +32 +00 +66 +00 +43 +00 +63 +00 +6b +00 +a7 +00 +d5 +00 +cf +10 +db +00 +3d +10 +8e +00 +73 +00 +41 +00 +72 +00 +53 +00 +8f +00 +5e +00 +80 +00 +5d +00 +94 +00 +4a +00 +68 +00 +6b +00 +a4 +00 +b7 +00 +99 +10 +31 +10 +31 +30 +2a +10 +ee +00 +ad +00 +c0 +00 +a9 +00 +bf +00 +a9 +00 +ea +00 +86 +00 +cc +00 +88 +00 +a6 +00 +8e +00 +cf +00 +db +00 +09 +10 +32 +10 +76 +10 +f5 +10 +c1 +20 +39 +30 +56 +50 +aa +30 +d5 +60 +c0 +30 +6a +40 +f9 +20 +7b +50 +5e +20 +f8 +20 +fe +10 +7b +20 +48 +10 +37 +10 +3c +20 +52 +40 +0b +20 +ea +10 +dd +00 +d9 +00 +7f +00 +9a +00 +6c +00 +94 +00 +71 +00 +96 +00 +bb +00 +11 +10 +13 +10 +9d +10 +15 +10 +29 +10 +1d +10 +40 +20 +a3 +10 +82 +20 +0b +20 +9b +20 +a9 +10 +5f +10 +42 +10 +60 +10 +fb +10 +35 +50 +cb +10 +09 +20 +57 +10 +5b +10 +a8 +00 +da +00 +a3 +00 +79 +10 +83 +00 +d5 +00 +d0 +00 +04 +10 +f8 +00 +9a +10 +ec +00 +23 +10 +eb +00 +e2 +10 +ef +20 +04 +70 +58 +30 +ec +60 +fc +30 +04 +80 +19 +40 +4f +60 +aa +30 +19 +70 +8d +30 +fa +30 +e7 +10 +07 +20 +a7 +10 +2a +30 +36 +20 +34 +40 +d1 +30 +b8 +80 +42 +60 +13 +a0 +0d +70 +b9 +a0 +4c +70 +46 +a0 +ec +60 +29 +a0 +ae +60 +88 +90 +64 +60 +e6 +90 +2b +60 +32 +90 +9e +40 +63 +30 +06 +20 +05 +20 +44 +30 +b0 +40 +7f +10 +44 +10 +c1 +00 +e2 +00 +aa +00 +13 +10 +b0 +00 +4a +10 +7d +00 +b5 +00 +82 +00 +a1 +00 +67 +00 +7b +00 +50 +00 +81 +00 +45 +00 +8b +00 +5a +00 +ad +00 +74 +00 +fa +00 +61 +00 +78 +00 +38 +00 +65 +00 +46 +00 +75 +00 +b1 +00 +9b +30 +eb +00 +23 +10 +59 +00 +64 +00 +39 +00 +60 +00 +36 +00 +5f +00 +31 +00 +52 +00 +37 +00 +4c +00 +34 +00 +56 +00 +34 +00 +60 +00 +2e +00 +5d +00 +35 +00 +58 +00 +2f +00 +56 +00 +33 +00 +47 +00 +2b +00 +5b +00 +30 +00 +59 +00 +36 +00 +57 +00 +51 +00 +9c +00 +3c +00 +77 +00 +36 +00 +59 +00 +39 +00 +62 +00 +46 +00 +8d +00 +40 +00 +98 +00 +6e +00 +b5 +00 +9c +00 +f1 +00 +d2 +00 +20 +10 +63 +20 +4b +50 +81 +20 +ab +20 +b8 +10 +e4 +10 +b6 +20 +5c +60 +22 +30 +ec +20 +cb +10 +b2 +20 +62 +10 +de +00 +69 +00 +6a +00 +45 +00 +59 +00 +44 +00 +6e +00 +45 +00 +72 +00 +3c +00 +61 +00 +31 +00 +53 +00 +23 +00 +4a +00 +2e +00 +45 +00 +36 +00 +5f +00 +2b +00 +53 +00 +28 +00 +33 +00 +27 +00 +38 +00 +20 +00 +3d +00 +21 +00 +42 +00 +29 +00 +39 +00 +2b +00 +4b +00 +29 +00 +3f +00 +23 +00 +3b +00 +2c +00 +46 +00 +43 +00 +66 +00 +ce +00 +f3 +00 +50 +00 +5d +00 +30 +00 +51 +00 +2a +00 +4c +00 +2e +00 +63 +00 +4f +00 +e6 +00 +e5 +00 +6a +10 +88 +00 +07 +10 +59 +00 +62 +00 +2d +00 +48 +00 +2f +00 +51 +00 +27 +00 +5f +00 +39 +00 +75 +00 +3d +00 +84 +00 +4c +00 +58 +00 +34 +00 +41 +00 +2e +00 +4b +00 +2a +00 +4a +00 +24 +00 +4b +00 +28 +00 +4b +00 +26 +00 +45 +00 +29 +00 +48 +00 +29 +00 +5d +00 +2f +00 +53 +00 +31 +00 +4e +00 +2a +00 +65 +00 +34 +00 +66 +00 +38 +00 +6a +00 +45 +00 +60 +00 +38 +00 +5f +00 +2f +00 +52 +00 +36 +00 +4f +00 +26 +00 +4b +00 +30 +00 +4e +00 +26 +00 +52 +00 +2b +00 +49 +00 +2b +00 +45 +00 +32 +00 +50 +00 +29 +00 +4b +00 +32 +00 +61 +00 +35 +00 +6f +00 +33 +00 +7a +00 +35 +00 +57 +00 +33 +00 +56 +00 +4b +00 +38 +10 +80 +00 +8b +00 +44 +00 +00 +00 +00 +00 +35 +00 +98 +00 +31 +00 +a2 +00 +2e +00 +a2 +00 +40 +00 +a6 +00 +34 +00 +a6 +00 +37 +00 +9e +00 +41 +00 +a3 +00 +30 +00 +a2 +00 +37 +00 +99 +00 +34 +00 +7e +00 +2e +00 +60 +00 +2e +00 +86 +00 +20 +00 +82 +00 +31 +00 +7b +00 +26 +00 +63 +00 +2b +00 +e0 +00 +73 +00 +cf +00 +91 +00 +36 +10 +33 +00 +67 +00 +27 +00 +b6 +00 +25 +00 +79 +00 +25 +00 +69 +00 +18 +00 +58 +00 +28 +00 +60 +00 +26 +00 +68 +00 +21 +00 +64 +00 +22 +00 +63 +00 +26 +00 +5c +00 +21 +00 +4f +00 +17 +00 +57 +00 +22 +00 +5d +00 +21 +00 +50 +00 +1f +00 +59 +00 +1d +00 +52 +00 +1d +00 +5d +00 +23 +00 +60 +00 +35 +00 +c6 +00 +2c +00 +70 +00 +20 +00 +80 +00 +3b +00 +c0 +00 +8b +00 +cd +20 +25 +10 +94 +20 +70 +00 +1a +10 +4c +00 +a6 +00 +27 +00 +5d +00 +1e +00 +6b +00 +25 +00 +95 +00 +ad +00 +52 +10 +3e +00 +87 +00 +23 +00 +76 +00 +2c +00 +8b +00 +3a +00 +74 +00 +20 +00 +5c +00 +1b +00 +53 +00 +33 +00 +72 +00 +22 +00 +72 +00 +27 +00 +5f +00 +1f +00 +58 +00 +1b +00 +42 +00 +1d +00 +5a +00 +2c +00 +70 +00 +2c +00 +8a +00 +25 +00 +61 +00 +23 +00 +54 +00 +1d +00 +5a +00 +29 +00 +55 +00 +1e +00 +52 +00 +26 +00 +5f +00 +22 +00 +54 +00 +22 +00 +63 +00 +2f +00 +db +00 +5a +00 +24 +10 +49 +00 +a7 +00 +26 +00 +73 +00 +26 +00 +6f +00 +2d +00 +6c +00 +29 +00 +82 +00 +31 +00 +7d +00 +22 +00 +6c +00 +32 +00 +a9 +00 +83 +00 +d4 +10 +a6 +00 +7a +10 +3f +00 +aa +00 +38 +00 +9b +00 +38 +00 +a9 +00 +3b +00 +c1 +00 +3a +00 +ad +00 +3b +00 +98 +00 +41 +00 +ce +00 +52 +00 +06 +10 +76 +00 +ea +10 +3d +10 +80 +40 +9a +10 +7f +40 +db +10 +5c +50 +66 +10 +17 +30 +4f +10 +12 +30 +b2 +00 +36 +20 +58 +10 +b5 +20 +8f +00 +2d +30 +be +10 +0f +40 +88 +00 +3a +10 +45 +00 +a9 +00 +2e +00 +7f +00 +2f +00 +86 +00 +3b +00 +c9 +00 +4d +00 +21 +10 +70 +00 +3a +10 +54 +00 +00 +10 +7e +00 +95 +10 +de +00 +c1 +30 +a9 +10 +fd +20 +7e +00 +37 +10 +6d +00 +66 +20 +7c +10 +a7 +20 +90 +00 +45 +10 +61 +00 +d4 +00 +46 +00 +d0 +00 +7c +00 +0a +10 +5f +00 +74 +10 +1e +10 +5d +20 +ec +00 +df +10 +5b +00 +0e +10 +80 +00 +8b +20 +94 +10 +e4 +30 +6e +10 +cd +40 +3a +20 +51 +50 +6e +10 +ce +30 +8f +20 +92 +60 +38 +10 +7c +20 +7c +00 +97 +10 +9b +00 +1b +20 +ba +00 +32 +30 +11 +20 +f8 +80 +94 +30 +8e +a0 +d1 +30 +f2 +a0 +e3 +30 +59 +a0 +a1 +30 +08 +a0 +99 +30 +2e +a0 +bb +30 +0a +a0 +80 +30 +8c +80 +69 +10 +b2 +20 +73 +10 +4a +60 +7f +10 +80 +20 +78 +00 +0a +10 +5a +00 +72 +10 +69 +00 +10 +10 +4e +00 +f1 +00 +54 +00 +12 +10 +40 +00 +9a +00 +3f +00 +8d +00 +34 +00 +7f +00 +35 +00 +8d +00 +2c +00 +95 +00 +39 +00 +93 +00 +29 +00 +68 +00 +1e +00 +6b +00 +2a +00 +b6 +00 +90 +00 +0f +10 +50 +00 +9e +00 +20 +00 +63 +00 +5b +00 +a0 +00 +28 +00 +4e +00 +1f +00 +4b +00 +1f +00 +53 +00 +1b +00 +56 +00 +22 +00 +58 +00 +22 +00 +54 +00 +1f +00 +5e +00 +21 +00 +53 +00 +21 +00 +63 +00 +34 +00 +58 +00 +1f +00 +5a +00 +20 +00 +57 +00 +2a +00 +7c +00 +25 +00 +60 +00 +29 +00 +56 +00 +24 +00 +64 +00 +3b +00 +96 +00 +30 +00 +83 +00 +3a +00 +9c +00 +74 +00 +8c +10 +eb +00 +08 +50 +9e +20 +7e +40 +c6 +00 +54 +20 +b4 +00 +2f +40 +eb +10 +25 +40 +26 +10 +2c +30 +64 +10 +24 +20 +51 +00 +8d +00 +2c +00 +6b +00 +24 +00 +63 +00 +31 +00 +64 +00 +1b +00 +4c +00 +1e +00 +4d +00 +21 +00 +51 +00 +1a +00 +4f +00 +20 +00 +5a +00 +24 +00 +4e +00 +1a +00 +33 +00 +0f +00 +2f +00 +16 +00 +35 +00 +15 +00 +3b +00 +1d +00 +40 +00 +18 +00 +4d +00 +20 +00 +51 +00 +1e +00 +47 +00 +1c +00 +50 +00 +1c +00 +5d +00 +b6 +00 +54 +20 +5c +00 +94 +00 +23 +00 +56 +00 +1c +00 +52 +00 +23 +00 +51 +00 +28 +00 +55 +00 +35 +00 +dd +00 +4f +00 +a6 +00 +2b +00 +6d +00 +21 +00 +48 +00 +1d +00 +4a +00 +1f +00 +5a +00 +29 +00 +66 +00 +34 +00 +70 +00 +27 +00 +6e +00 +27 +00 +59 +00 +20 +00 +58 +00 +21 +00 +49 +00 +27 +00 +57 +00 +1c +00 +55 +00 +1f +00 +51 +00 +1b +00 +56 +00 +1a +00 +4a +00 +1c +00 +5e +00 +21 +00 +50 +00 +22 +00 +61 +00 +2a +00 +5e +00 +26 +00 +65 +00 +26 +00 +76 +00 +27 +00 +59 +00 +21 +00 +53 +00 +1d +00 +48 +00 +23 +00 +55 +00 +1f +00 +54 +00 +21 +00 +5e +00 +19 +00 +48 +00 +1a +00 +53 +00 +24 +00 +58 +00 +22 +00 +60 +00 +22 +00 +54 +00 +2b +00 +64 +00 +2d +00 +73 +00 +21 +00 +58 +00 +1b +00 +46 +00 +22 +00 +6b +00 +37 +00 +95 +00 +2d +00 +67 +00 +00 +00 +00 +00 +9c +00 +77 +00 +a0 +00 +6b +00 +9c +00 +6d +00 +a2 +00 +6c +00 +9e +00 +5e +00 +ab +00 +75 +00 +a7 +00 +6c +00 +9c +00 +73 +00 +a0 +00 +6b +00 +9f +00 +46 +00 +72 +00 +40 +00 +79 +00 +54 +00 +6e +00 +43 +00 +71 +00 +3d +00 +66 +00 +60 +00 +05 +10 +ce +00 +e8 +00 +6a +00 +d0 +00 +74 +00 +b2 +00 +7a +00 +d8 +00 +d6 +00 +e8 +00 +63 +00 +6c +00 +68 +00 +80 +00 +5e +00 +70 +00 +55 +00 +68 +00 +46 +00 +6c +00 +49 +00 +63 +00 +37 +00 +62 +00 +2f +00 +5e +00 +40 +00 +50 +00 +3f +00 +5b +00 +38 +00 +63 +00 +3e +00 +49 +00 +31 +00 +59 +00 +36 +00 +70 +00 +37 +00 +62 +00 +4e +00 +90 +00 +54 +00 +b7 +00 +47 +00 +6c +00 +48 +00 +9c +00 +81 +00 +c8 +00 +bf +00 +9f +10 +fc +00 +4b +10 +9c +00 +c9 +00 +62 +00 +6c +00 +3d +00 +5e +00 +46 +00 +d7 +00 +6f +00 +6a +10 +7d +00 +c7 +00 +47 +00 +73 +00 +41 +00 +66 +00 +3e +00 +86 +00 +45 +00 +57 +00 +34 +00 +55 +00 +38 +00 +6b +00 +3d +00 +72 +00 +3a +00 +5d +00 +30 +00 +63 +00 +38 +00 +4d +00 +34 +00 +4d +00 +3b +00 +61 +00 +66 +00 +ff +00 +e6 +00 +dd +00 +6e +00 +6e +00 +4d +00 +47 +00 +3c +00 +55 +00 +33 +00 +50 +00 +32 +00 +55 +00 +33 +00 +56 +00 +34 +00 +51 +00 +48 +00 +72 +00 +7a +00 +c7 +00 +8a +00 +b0 +00 +64 +00 +73 +00 +43 +00 +6d +00 +4b +00 +88 +00 +43 +00 +69 +00 +37 +00 +69 +00 +3d +00 +61 +00 +4f +00 +77 +00 +7c +00 +ae +00 +93 +00 +08 +10 +93 +00 +ca +00 +6e +00 +a9 +00 +63 +00 +8c +00 +75 +00 +a8 +00 +73 +00 +a5 +00 +75 +00 +8e +00 +72 +00 +bf +00 +35 +10 +80 +10 +2d +20 +f5 +10 +3b +20 +1d +40 +06 +30 +1f +50 +a4 +20 +33 +40 +57 +20 +51 +30 +c8 +10 +12 +20 +47 +10 +a0 +10 +1b +10 +de +10 +aa +10 +31 +20 +58 +10 +bf +20 +21 +10 +9d +10 +d8 +00 +b7 +00 +5f +00 +80 +00 +59 +00 +77 +00 +6d +00 +ab +00 +22 +10 +25 +10 +08 +10 +ac +20 +42 +10 +39 +10 +03 +10 +3f +10 +45 +10 +d1 +20 +40 +30 +59 +60 +56 +30 +a6 +30 +6b +10 +10 +10 +84 +10 +63 +20 +0a +10 +65 +10 +d2 +00 +d0 +00 +91 +00 +b2 +00 +6b +00 +bc +00 +78 +00 +d4 +00 +c7 +00 +c3 +10 +1d +20 +13 +50 +42 +10 +5d +10 +ee +00 +16 +10 +12 +10 +a9 +10 +76 +10 +57 +20 +f2 +10 +ed +20 +b7 +10 +80 +20 +ee +10 +3b +40 +6d +20 +24 +30 +a1 +10 +73 +10 +15 +10 +87 +10 +5b +10 +e9 +10 +68 +20 +6a +30 +69 +50 +90 +90 +ea +60 +77 +a0 +6d +70 +cf +a0 +14 +70 +6a +a0 +e5 +60 +5d +a0 +1c +70 +55 +a0 +df +60 +e2 +90 +c8 +50 +32 +60 +e6 +30 +53 +50 +1e +40 +02 +50 +22 +20 +db +10 +77 +10 +8c +10 +2e +10 +61 +10 +a9 +00 +f1 +00 +9e +00 +39 +10 +7b +10 +71 +10 +96 +00 +a2 +00 +64 +00 +8f +00 +54 +00 +7c +00 +57 +00 +81 +00 +4e +00 +8a +00 +4f +00 +84 +00 +3d +00 +66 +00 +56 +00 +71 +00 +83 +00 +ce +00 +66 +00 +ab +00 +5e +00 +68 +00 +3e +00 +ec +00 +73 +00 +93 +00 +37 +00 +4e +00 +2f +00 +50 +00 +34 +00 +51 +00 +2f +00 +4b +00 +2c +00 +5a +00 +33 +00 +58 +00 +36 +00 +58 +00 +3d +00 +4a +00 +7c +00 +36 +10 +3c +00 +61 +00 +34 +00 +58 +00 +32 +00 +61 +00 +3d +00 +69 +00 +3a +00 +5b +00 +42 +00 +56 +00 +42 +00 +8b +00 +5d +00 +6e +00 +4f +00 +80 +00 +6a +00 +f2 +00 +cc +00 +be +10 +e7 +20 +bd +60 +6d +30 +ed +30 +a6 +20 +5d +20 +4a +20 +e7 +20 +a7 +10 +86 +20 +ac +10 +ff +20 +09 +10 +02 +10 +7e +00 +84 +00 +48 +00 +62 +00 +37 +00 +5e +00 +3c +00 +62 +00 +3e +00 +5b +00 +34 +00 +48 +00 +2f +00 +4c +00 +29 +00 +4b +00 +30 +00 +47 +00 +29 +00 +3a +00 +24 +00 +31 +00 +23 +00 +36 +00 +25 +00 +35 +00 +29 +00 +49 +00 +34 +00 +47 +00 +45 +00 +5b +00 +3d +00 +44 +00 +29 +00 +46 +00 +2a +00 +57 +00 +49 +00 +fe +00 +6f +00 +cd +00 +4c +00 +72 +00 +3e +00 +50 +00 +27 +00 +57 +00 +32 +00 +5a +00 +3a +00 +67 +00 +49 +00 +8d +00 +5a +00 +7c +00 +4d +00 +55 +00 +2b +00 +3f +00 +25 +00 +4d +00 +34 +00 +4e +00 +30 +00 +68 +00 +35 +00 +5f +00 +3e +00 +55 +00 +2e +00 +58 +00 +2b +00 +46 +00 +29 +00 +4d +00 +29 +00 +54 +00 +1e +00 +4e +00 +26 +00 +40 +00 +2b +00 +4d +00 +2c +00 +56 +00 +2e +00 +55 +00 +3b +00 +5d +00 +48 +00 +99 +00 +3d +00 +6c +00 +91 +00 +c3 +00 +7f +00 +94 +00 +40 +00 +65 +00 +2e +00 +60 +00 +35 +00 +46 +00 +2f +00 +5c +00 +30 +00 +61 +00 +55 +00 +73 +00 +30 +00 +4c +00 +2d +00 +4d +00 +2b +00 +4d +00 +2b +00 +53 +00 +33 +00 +5a +00 +3f +00 +5a +00 +2f +00 +5b +00 +2c +00 +46 +00 +2b +00 +45 +00 +35 +00 +71 +00 +46 +00 +84 +00 +44 +00 +00 +00 +00 +00 +36 +00 +9d +00 +32 +00 +a6 +00 +3b +00 +a7 +00 +3b +00 +99 +00 +39 +00 +90 +00 +32 +00 +9f +00 +49 +00 +b5 +00 +37 +00 +a6 +00 +3f +00 +8d +00 +35 +00 +7b +00 +2c +00 +65 +00 +28 +00 +6e +00 +27 +00 +79 +00 +29 +00 +69 +00 +27 +00 +7f +00 +57 +00 +42 +10 +55 +00 +99 +00 +32 +00 +81 +00 +30 +00 +7b +00 +95 +00 +f3 +10 +63 +00 +a9 +00 +32 +00 +00 +10 +6e +00 +99 +00 +24 +00 +74 +00 +2b +00 +6c +00 +23 +00 +5b +00 +22 +00 +6a +00 +24 +00 +73 +00 +20 +00 +5b +00 +28 +00 +57 +00 +1e +00 +51 +00 +19 +00 +59 +00 +1d +00 +55 +00 +1e +00 +61 +00 +26 +00 +65 +00 +24 +00 +70 +00 +30 +00 +9c +00 +32 +00 +70 +00 +25 +00 +6d +00 +31 +00 +8a +00 +3c +00 +cc +00 +5a +00 +f9 +00 +38 +00 +b0 +00 +2c +00 +79 +00 +27 +00 +63 +00 +22 +00 +69 +00 +2a +00 +90 +00 +4f +00 +be +00 +33 +00 +7d +00 +2c +00 +63 +00 +23 +00 +71 +00 +2e +00 +69 +00 +20 +00 +5e +00 +21 +00 +5b +00 +26 +00 +65 +00 +2a +00 +68 +00 +24 +00 +5b +00 +1b +00 +4c +00 +17 +00 +43 +00 +1a +00 +5f +00 +43 +00 +e6 +00 +80 +00 +6e +10 +76 +00 +ed +00 +30 +00 +76 +00 +24 +00 +5a +00 +1c +00 +55 +00 +1e +00 +5a +00 +23 +00 +54 +00 +22 +00 +50 +00 +22 +00 +60 +00 +29 +00 +8c +00 +2c +00 +99 +00 +25 +00 +68 +00 +27 +00 +5d +00 +28 +00 +6f +00 +25 +00 +61 +00 +28 +00 +63 +00 +1c +00 +63 +00 +22 +00 +66 +00 +20 +00 +69 +00 +34 +00 +92 +00 +46 +00 +af +00 +2b +00 +8c +00 +5e +00 +ec +00 +34 +00 +87 +00 +2c +00 +97 +00 +68 +00 +09 +10 +38 +00 +8d +00 +54 +00 +d3 +10 +ba +10 +43 +50 +cc +10 +8c +40 +28 +10 +34 +30 +01 +10 +c4 +20 +12 +10 +b0 +30 +be +00 +c0 +10 +75 +00 +3f +10 +57 +00 +0d +10 +90 +00 +66 +20 +cd +00 +d6 +10 +7b +00 +50 +10 +59 +00 +dc +00 +43 +00 +8b +00 +31 +00 +7a +00 +31 +00 +78 +00 +60 +00 +53 +20 +aa +00 +10 +20 +a6 +00 +c9 +10 +b8 +00 +6c +10 +97 +00 +5e +10 +a7 +00 +83 +30 +a4 +20 +ec +60 +62 +10 +17 +20 +61 +00 +ce +10 +81 +00 +2e +10 +50 +00 +e7 +00 +6e +00 +01 +10 +44 +00 +93 +00 +3e +00 +9f +00 +45 +00 +be +00 +7e +00 +66 +20 +5a +10 +7b +20 +77 +00 +f5 +00 +4e +00 +1b +10 +71 +00 +8a +10 +85 +00 +a3 +10 +97 +00 +bc +10 +96 +00 +de +10 +d9 +00 +f1 +20 +c9 +00 +d8 +10 +62 +00 +0f +10 +5f +00 +52 +10 +86 +00 +41 +20 +aa +10 +8c +70 +87 +30 +ed +90 +fb +30 +9f +a0 +c0 +30 +91 +a0 +99 +30 +11 +a0 +b2 +30 +40 +a0 +a1 +30 +75 +a0 +a6 +30 +cc +90 +b6 +20 +db +60 +e3 +20 +f3 +70 +49 +20 +6c +40 +ca +00 +3e +20 +13 +10 +d6 +10 +7f +00 +29 +10 +4f +00 +ef +00 +d1 +00 +4b +30 +91 +00 +f7 +00 +41 +00 +9b +00 +3b +00 +91 +00 +33 +00 +88 +00 +32 +00 +86 +00 +2e +00 +7b +00 +29 +00 +72 +00 +29 +00 +73 +00 +7e +00 +d4 +10 +4a +00 +b7 +00 +2e +00 +76 +00 +22 +00 +70 +00 +30 +00 +85 +00 +35 +00 +79 +00 +24 +00 +50 +00 +23 +00 +4e +00 +20 +00 +54 +00 +1b +00 +52 +00 +25 +00 +5f +00 +23 +00 +5e +00 +23 +00 +65 +00 +22 +00 +98 +00 +4c +00 +89 +00 +27 +00 +55 +00 +24 +00 +64 +00 +2b +00 +54 +00 +1e +00 +5e +00 +22 +00 +5d +00 +25 +00 +56 +00 +23 +00 +64 +00 +2e +00 +80 +00 +30 +00 +98 +00 +47 +00 +d5 +00 +7c +00 +b6 +20 +22 +20 +33 +60 +fd +10 +49 +30 +e9 +00 +20 +40 +4f +10 +a4 +30 +b3 +00 +f0 +10 +76 +00 +15 +10 +46 +00 +b3 +00 +71 +00 +92 +00 +24 +00 +60 +00 +21 +00 +73 +00 +5c +00 +9b +00 +25 +00 +59 +00 +1a +00 +49 +00 +20 +00 +59 +00 +21 +00 +4c +00 +19 +00 +3d +00 +14 +00 +39 +00 +10 +00 +35 +00 +19 +00 +38 +00 +16 +00 +3c +00 +1d +00 +58 +00 +34 +00 +7a +00 +2a +00 +6b +00 +1e +00 +48 +00 +21 +00 +54 +00 +24 +00 +64 +00 +30 +00 +86 +00 +36 +00 +91 +00 +23 +00 +5b +00 +20 +00 +57 +00 +22 +00 +5a +00 +20 +00 +54 +00 +24 +00 +6c +00 +2c +00 +10 +10 +4c +00 +71 +00 +1f +00 +4d +00 +19 +00 +4d +00 +1d +00 +58 +00 +21 +00 +5a +00 +20 +00 +55 +00 +21 +00 +50 +00 +1c +00 +56 +00 +23 +00 +56 +00 +24 +00 +59 +00 +21 +00 +4e +00 +25 +00 +53 +00 +1f +00 +44 +00 +1d +00 +4e +00 +20 +00 +5e +00 +2a +00 +52 +00 +20 +00 +91 +00 +2c +00 +77 +00 +32 +00 +6e +00 +32 +00 +7a +10 +b3 +00 +d4 +00 +33 +00 +70 +00 +20 +00 +63 +00 +1f +00 +53 +00 +1d +00 +56 +00 +24 +00 +61 +00 +2a +00 +bb +00 +2e +00 +6b +00 +21 +00 +50 +00 +23 +00 +4b +00 +1e +00 +4d +00 +27 +00 +5e +00 +2b +00 +5e +00 +28 +00 +55 +00 +1f +00 +48 +00 +1a +00 +42 +00 +21 +00 +55 +00 +27 +00 +5f +00 +26 +00 +57 +00 +00 +00 +00 +00 +95 +00 +67 +00 +a1 +00 +69 +00 +91 +00 +61 +00 +a3 +00 +69 +00 +9d +00 +6e +00 +b0 +00 +76 +00 +a8 +00 +71 +00 +ab +00 +6f +00 +96 +00 +58 +00 +86 +00 +51 +00 +65 +00 +41 +00 +6b +00 +40 +00 +73 +00 +3e +00 +70 +00 +60 +00 +6c +00 +7a +00 +94 +00 +77 +00 +b5 +00 +64 +00 +90 +00 +5b +00 +70 +00 +6a +00 +18 +10 +a1 +00 +3e +10 +80 +00 +93 +00 +9e +00 +36 +10 +b3 +00 +dc +00 +9d +00 +c4 +00 +42 +00 +69 +00 +3d +00 +70 +00 +3f +00 +64 +00 +3c +00 +53 +00 +73 +00 +7b +00 +5a +00 +5f +00 +42 +00 +5e +00 +37 +00 +5c +00 +38 +00 +6d +00 +43 +00 +5e +00 +3f +00 +7e +00 +49 +00 +7d +00 +3b +00 +6c +00 +3a +00 +5f +00 +48 +00 +6a +00 +89 +00 +a7 +00 +ac +00 +e2 +00 +81 +00 +92 +00 +72 +00 +8f +00 +52 +00 +72 +00 +36 +00 +66 +00 +3b +00 +60 +00 +55 +00 +7d +00 +4c +00 +80 +00 +3c +00 +67 +00 +3b +00 +71 +00 +46 +00 +6d +00 +37 +00 +6a +00 +36 +00 +55 +00 +32 +00 +5c +00 +37 +00 +67 +00 +33 +00 +5d +00 +2d +00 +52 +00 +30 +00 +48 +00 +32 +00 +4d +00 +39 +00 +73 +00 +5e +00 +f3 +00 +4c +10 +33 +30 +96 +10 +5e +10 +66 +00 +71 +00 +3b +00 +47 +00 +2d +00 +52 +00 +32 +00 +50 +00 +34 +00 +49 +00 +37 +00 +58 +00 +39 +00 +6b +00 +4c +00 +7c +00 +4e +00 +6a +00 +36 +00 +6e +00 +3e +00 +5f +00 +40 +00 +67 +00 +2e +00 +59 +00 +38 +00 +64 +00 +35 +00 +6a +00 +44 +00 +60 +00 +4a +00 +66 +00 +56 +00 +92 +00 +63 +00 +8d +00 +52 +00 +80 +00 +62 +00 +94 +00 +6e +00 +9e +00 +09 +10 +3c +10 +96 +00 +be +00 +69 +00 +95 +00 +d0 +00 +9d +20 +82 +20 +aa +60 +bc +30 +1a +60 +4f +20 +17 +20 +98 +10 +f0 +10 +63 +10 +c7 +10 +26 +10 +19 +10 +03 +10 +e9 +00 +f8 +00 +6f +10 +7f +10 +00 +20 +fd +00 +3e +10 +ea +00 +22 +10 +93 +00 +ae +00 +57 +00 +7c +00 +49 +00 +6b +00 +73 +00 +cd +00 +16 +10 +6a +20 +f5 +10 +66 +20 +37 +10 +9e +10 +96 +10 +a9 +10 +68 +10 +84 +10 +96 +10 +32 +40 +e0 +10 +30 +30 +4f +10 +21 +10 +c4 +00 +31 +10 +b4 +00 +f4 +00 +3b +10 +ea +10 +d7 +00 +02 +10 +6d +00 +9c +00 +6b +00 +ab +00 +81 +00 +df +00 +f1 +00 +27 +20 +17 +10 +8f +10 +dd +00 +e3 +00 +fc +00 +31 +10 +67 +10 +4a +10 +94 +10 +83 +10 +7f +10 +89 +10 +60 +10 +c3 +10 +ee +10 +3d +20 +37 +10 +27 +10 +d5 +00 +05 +10 +20 +10 +c2 +10 +be +30 +12 +70 +de +50 +3d +90 +14 +70 +b9 +a0 +74 +70 +af +a0 +31 +70 +5d +a0 +15 +70 +7e +a0 +61 +70 +97 +a0 +25 +70 +60 +a0 +ad +60 +be +90 +56 +60 +0a +90 +e0 +50 +f7 +80 +34 +50 +e7 +50 +68 +40 +0e +50 +ce +10 +94 +10 +ee +00 +02 +10 +d5 +00 +e8 +10 +17 +10 +5e +10 +a7 +00 +c6 +00 +6b +00 +88 +00 +5b +00 +99 +00 +5e +00 +a9 +00 +5b +00 +80 +00 +52 +00 +76 +00 +45 +00 +72 +00 +6e +00 +d4 +10 +c0 +00 +38 +10 +83 +00 +82 +00 +61 +00 +76 +00 +3b +00 +76 +00 +35 +00 +72 +00 +3f +00 +65 +00 +2b +00 +57 +00 +32 +00 +59 +00 +3b +00 +52 +00 +28 +00 +69 +00 +35 +00 +65 +00 +52 +00 +f1 +00 +83 +00 +7f +00 +3e +00 +7e +00 +3a +00 +72 +00 +34 +00 +59 +00 +2d +00 +63 +00 +3e +00 +57 +00 +37 +00 +6a +00 +3f +00 +71 +00 +4c +00 +71 +00 +45 +00 +7b +00 +77 +00 +78 +00 +76 +00 +d2 +00 +e8 +00 +2a +10 +41 +20 +ee +40 +88 +20 +72 +40 +47 +20 +38 +20 +66 +10 +6f +20 +83 +10 +2d +20 +09 +10 +1e +10 +89 +00 +bc +00 +6a +00 +ef +00 +4a +00 +7e +00 +39 +00 +62 +00 +45 +00 +6c +10 +8b +00 +90 +00 +45 +00 +48 +00 +2d +00 +5a +00 +31 +00 +54 +00 +29 +00 +47 +00 +1f +00 +3c +00 +20 +00 +36 +00 +27 +00 +35 +00 +1f +00 +3f +00 +36 +00 +66 +00 +21 +10 +77 +10 +ba +10 +5c +10 +9e +00 +56 +00 +3b +00 +5a +00 +23 +00 +4f +00 +39 +00 +75 +00 +41 +00 +74 +00 +48 +00 +58 +00 +30 +00 +4e +00 +26 +00 +4d +00 +29 +00 +53 +00 +2b +00 +65 +00 +3a +00 +6a +00 +57 +00 +d5 +00 +4b +00 +58 +00 +36 +00 +45 +00 +31 +00 +52 +00 +27 +00 +4f +00 +2e +00 +55 +00 +28 +00 +47 +00 +2a +00 +58 +00 +34 +00 +89 +00 +37 +00 +59 +00 +2a +00 +6a +00 +34 +00 +5e +00 +30 +00 +4d +00 +30 +00 +50 +00 +26 +00 +4e +00 +35 +00 +59 +00 +30 +00 +59 +00 +33 +00 +6f +00 +38 +00 +58 +00 +42 +00 +75 +00 +93 +00 +81 +10 +72 +00 +92 +00 +4e +00 +5a +00 +34 +00 +4b +00 +2b +00 +57 +00 +34 +00 +57 +00 +2e +00 +60 +00 +2f +00 +65 +00 +35 +00 +60 +00 +2a +00 +54 +00 +27 +00 +55 +00 +2a +00 +50 +00 +31 +00 +59 +00 +2a +00 +4d +00 +2c +00 +45 +00 +2b +00 +45 +00 +24 +00 +42 +00 +29 +00 +4b +00 +31 +00 +4e +00 +2d +00 +00 +00 +00 +00 +39 +00 +9b +00 +3c +00 +9f +00 +39 +00 +a2 +00 +3e +00 +9c +00 +38 +00 +a5 +00 +3b +00 +9f +00 +31 +00 +a4 +00 +2f +00 +9f +00 +2b +00 +9b +00 +2e +00 +79 +00 +27 +00 +62 +00 +24 +00 +6e +00 +28 +00 +65 +00 +28 +00 +84 +00 +2e +00 +91 +00 +36 +00 +b7 +00 +2e +00 +87 +00 +28 +00 +80 +00 +2a +00 +80 +00 +4c +00 +d6 +00 +45 +00 +b7 +00 +5a +00 +82 +10 +61 +00 +0f +10 +98 +00 +79 +10 +79 +00 +f3 +00 +31 +00 +70 +00 +2d +00 +65 +00 +20 +00 +64 +00 +2f +00 +12 +10 +55 +00 +90 +00 +22 +00 +5f +00 +1f +00 +5c +00 +26 +00 +5b +00 +1e +00 +62 +00 +27 +00 +5f +00 +3c +00 +8c +00 +20 +00 +7b +00 +28 +00 +6b +00 +2c +00 +62 +00 +26 +00 +a8 +00 +a8 +00 +60 +10 +35 +00 +91 +00 +2b +00 +7d +00 +36 +00 +77 +00 +1e +00 +5b +00 +1c +00 +5f +00 +23 +00 +64 +00 +24 +00 +75 +00 +29 +00 +63 +00 +1d +00 +58 +00 +25 +00 +60 +00 +26 +00 +56 +00 +24 +00 +58 +00 +25 +00 +5a +00 +25 +00 +72 +00 +2e +00 +62 +00 +1f +00 +59 +00 +1e +00 +4f +00 +1c +00 +4e +00 +21 +00 +51 +00 +23 +00 +5f +00 +3e +00 +16 +10 +fe +00 +fb +30 +e7 +00 +06 +10 +2d +00 +5a +00 +23 +00 +55 +00 +20 +00 +58 +00 +19 +00 +55 +00 +24 +00 +61 +00 +21 +00 +51 +00 +23 +00 +64 +00 +1b +00 +54 +00 +1d +00 +5a +00 +23 +00 +51 +00 +25 +00 +57 +00 +1d +00 +63 +00 +27 +00 +57 +00 +20 +00 +5b +00 +23 +00 +5e +00 +22 +00 +60 +00 +24 +00 +81 +00 +34 +00 +74 +00 +24 +00 +70 +00 +3a +00 +9a +00 +2f +00 +7e +00 +53 +00 +a0 +10 +a3 +00 +1b +10 +3a +00 +8a +00 +38 +00 +c7 +00 +6c +00 +08 +20 +e8 +10 +fd +50 +5f +10 +e1 +20 +9c +00 +c0 +10 +a6 +00 +ba +10 +81 +00 +42 +10 +56 +00 +e0 +00 +83 +00 +9c +10 +d9 +00 +05 +20 +8c +00 +3c +10 +5e +00 +31 +10 +5e +00 +df +00 +33 +00 +81 +00 +2d +00 +76 +00 +32 +00 +be +00 +58 +00 +32 +10 +b6 +00 +b7 +20 +b6 +00 +b3 +10 +99 +00 +1f +30 +68 +10 +84 +30 +a6 +00 +7d +10 +b5 +00 +14 +20 +a3 +00 +70 +10 +53 +00 +d5 +00 +4b +00 +d0 +00 +6c +00 +f5 +10 +bb +00 +3c +10 +4b +00 +b1 +00 +3b +00 +93 +00 +35 +00 +a7 +00 +3f +00 +1d +10 +4f +10 +bf +20 +80 +00 +23 +10 +66 +00 +14 +10 +d4 +00 +00 +30 +9e +00 +7b +10 +f8 +00 +7b +30 +a0 +00 +50 +10 +a0 +00 +2c +30 +ea +00 +ac +10 +69 +00 +0b +10 +5b +00 +1e +10 +c7 +00 +f9 +40 +24 +30 +56 +90 +c4 +30 +83 +a0 +ef +30 +ea +a0 +e2 +30 +97 +a0 +b0 +30 +47 +a0 +b1 +30 +6c +a0 +b7 +30 +a0 +a0 +e6 +30 +c2 +a0 +cc +30 +2a +a0 +a1 +30 +d7 +90 +96 +30 +7f +90 +6d +30 +8f +80 +26 +20 +3f +30 +9d +00 +5c +10 +5d +00 +13 +10 +04 +10 +2b +20 +67 +00 +29 +10 +49 +00 +a5 +00 +3a +00 +8e +00 +3e +00 +c5 +00 +3b +00 +98 +00 +2d +00 +77 +00 +2c +00 +6a +00 +2b +00 +7e +00 +5c +00 +d4 +00 +4b +00 +d0 +00 +3c +00 +77 +00 +29 +00 +6d +00 +2b +00 +6d +00 +23 +00 +63 +00 +26 +00 +5f +00 +21 +00 +5e +00 +29 +00 +60 +00 +1f +00 +59 +00 +26 +00 +64 +00 +33 +00 +d7 +00 +3e +00 +c1 +00 +2f +00 +7b +00 +28 +00 +5a +00 +21 +00 +5e +00 +22 +00 +6b +00 +2a +00 +57 +00 +29 +00 +6d +00 +24 +00 +68 +00 +46 +00 +88 +00 +25 +00 +75 +00 +56 +00 +dc +00 +36 +00 +b0 +00 +62 +00 +3a +10 +0b +10 +c1 +20 +25 +10 +d6 +20 +d5 +00 +6c +30 +c0 +00 +78 +10 +7e +00 +8b +10 +96 +00 +56 +10 +4a +00 +b7 +00 +3d +00 +97 +00 +3a +00 +79 +00 +20 +00 +62 +00 +26 +00 +70 +00 +38 +00 +8f +00 +39 +00 +65 +00 +1d +00 +47 +00 +24 +00 +55 +00 +17 +00 +4c +00 +13 +00 +3a +00 +15 +00 +34 +00 +13 +00 +33 +00 +20 +00 +3a +00 +1e +00 +4d +00 +53 +00 +ff +20 +1a +20 +61 +50 +3c +10 +1f +10 +23 +00 +5d +00 +1c +00 +56 +00 +28 +00 +57 +00 +1d +00 +5a +00 +21 +00 +59 +00 +22 +00 +52 +00 +1c +00 +4e +00 +1f +00 +4e +00 +1f +00 +51 +00 +25 +00 +55 +00 +29 +00 +6d +00 +2a +00 +6e +00 +20 +00 +47 +00 +19 +00 +4e +00 +29 +00 +55 +00 +1d +00 +56 +00 +19 +00 +45 +00 +1d +00 +4f +00 +21 +00 +5a +00 +27 +00 +6f +00 +30 +00 +5c +00 +26 +00 +59 +00 +1a +00 +5a +00 +1b +00 +44 +00 +20 +00 +43 +00 +1f +00 +5f +00 +1d +00 +5c +00 +2e +00 +6f +00 +30 +00 +66 +00 +24 +00 +5e +00 +29 +00 +bf +00 +7b +00 +e4 +00 +30 +00 +70 +00 +20 +00 +63 +00 +21 +00 +61 +00 +2c +00 +5c +00 +27 +00 +68 +00 +1e +00 +63 +00 +27 +00 +66 +00 +27 +00 +51 +00 +23 +00 +5c +00 +20 +00 +4b +00 +23 +00 +5d +00 +1b +00 +5b +00 +28 +00 +68 +00 +1f +00 +4f +00 +1a +00 +48 +00 +1b +00 +52 +00 +24 +00 +4b +00 +21 +00 +58 +00 +00 +00 +00 +00 +ad +00 +6b +00 +9d +00 +75 +00 +a3 +00 +77 +00 +a3 +00 +68 +00 +a4 +00 +69 +00 +a3 +00 +75 +00 +a7 +00 +6f +00 +a4 +00 +6c +00 +a1 +00 +5c +00 +83 +00 +42 +00 +6d +00 +3f +00 +60 +00 +42 +00 +6d +00 +6c +00 +d1 +00 +33 +10 +05 +10 +59 +10 +3b +10 +c2 +00 +9b +00 +4c +00 +75 +00 +48 +00 +6b +00 +4e +00 +7a +00 +5f +00 +96 +00 +6d +00 +aa +00 +8a +00 +1f +10 +77 +00 +a0 +00 +63 +00 +c3 +00 +5f +00 +86 +00 +4e +00 +6a +00 +3d +00 +65 +00 +56 +00 +95 +00 +1f +10 +99 +10 +77 +00 +64 +00 +3c +00 +58 +00 +36 +00 +5b +00 +3f +00 +64 +00 +41 +00 +5f +00 +33 +00 +67 +00 +4a +00 +7d +00 +52 +00 +80 +00 +3e +00 +60 +00 +41 +00 +60 +00 +5e +00 +16 +10 +8c +00 +d0 +00 +61 +00 +66 +00 +5d +00 +b7 +00 +4c +00 +66 +00 +3b +00 +5e +00 +3f +00 +64 +00 +40 +00 +67 +00 +3c +00 +66 +00 +3a +00 +65 +00 +3d +00 +5e +00 +3f +00 +5a +00 +2b +00 +61 +00 +34 +00 +5c +00 +31 +00 +74 +00 +37 +00 +68 +00 +38 +00 +5d +00 +30 +00 +57 +00 +30 +00 +4e +00 +2f +00 +61 +00 +3b +00 +55 +00 +51 +00 +8d +00 +79 +00 +ec +00 +a4 +00 +9a +10 +af +00 +7b +00 +3d +00 +57 +00 +3c +00 +72 +00 +32 +00 +49 +00 +39 +00 +5a +00 +29 +00 +5e +00 +32 +00 +5c +00 +38 +00 +61 +00 +36 +00 +4d +00 +30 +00 +53 +00 +33 +00 +5f +00 +38 +00 +59 +00 +36 +00 +5a +00 +34 +00 +53 +00 +2c +00 +5a +00 +33 +00 +58 +00 +36 +00 +60 +00 +46 +00 +6e +00 +3f +00 +6b +00 +43 +00 +76 +00 +67 +00 +9f +00 +e2 +00 +4b +10 +00 +10 +21 +10 +99 +00 +a2 +00 +67 +00 +8d +00 +8e +00 +ee +00 +02 +10 +d0 +10 +5a +10 +9a +20 +5a +10 +78 +10 +60 +10 +39 +30 +e6 +10 +c3 +20 +b3 +10 +2c +10 +04 +10 +46 +20 +4f +10 +a1 +20 +f7 +00 +21 +10 +c7 +00 +fa +00 +96 +00 +15 +10 +87 +00 +ad +00 +64 +00 +89 +00 +69 +00 +ac +00 +d5 +00 +10 +10 +a0 +00 +2b +10 +99 +10 +3e +20 +09 +10 +43 +10 +d2 +10 +90 +40 +c7 +10 +4d +20 +20 +10 +5c +10 +d1 +00 +6b +10 +c2 +00 +df +00 +a5 +00 +c9 +00 +4c +10 +cc +20 +6c +10 +9b +10 +a1 +00 +d0 +00 +7c +00 +a9 +00 +63 +00 +88 +00 +78 +00 +a8 +00 +bd +00 +a5 +10 +47 +10 +36 +30 +dc +10 +2e +20 +e1 +20 +e9 +40 +19 +40 +6f +60 +f1 +20 +ab +30 +d9 +10 +0f +20 +4d +10 +9d +10 +83 +10 +f5 +10 +25 +10 +49 +10 +e7 +00 +1e +10 +3e +10 +b5 +10 +cb +30 +13 +80 +69 +60 +71 +a0 +1f +70 +22 +b0 +93 +70 +b0 +a0 +73 +70 +9f +a0 +7e +70 +7d +a0 +46 +70 +56 +a0 +3c +70 +bc +a0 +29 +70 +c2 +a0 +12 +70 +53 +a0 +da +60 +2e +a0 +99 +60 +dc +90 +ed +50 +9f +80 +d8 +30 +b7 +20 +81 +10 +1d +10 +1a +10 +f9 +10 +f1 +00 +54 +10 +aa +00 +ca +00 +73 +00 +a5 +00 +6b +00 +b8 +00 +a0 +00 +d5 +00 +67 +00 +84 +00 +58 +00 +75 +00 +45 +00 +67 +00 +63 +00 +e6 +00 +64 +00 +db +00 +00 +10 +07 +10 +5d +00 +8a +00 +49 +00 +6e +00 +3f +00 +6a +00 +3a +00 +5e +00 +2b +00 +61 +00 +4a +00 +69 +00 +41 +00 +5b +00 +2c +00 +5b +00 +37 +00 +5b +00 +3a +00 +7b +00 +3e +00 +6f +00 +52 +00 +70 +00 +4a +00 +5f +00 +3d +00 +5c +00 +3b +00 +64 +00 +a2 +00 +03 +10 +8f +00 +92 +00 +4f +00 +60 +00 +41 +00 +74 +00 +e5 +00 +34 +30 +8c +10 +11 +10 +13 +10 +7a +10 +31 +20 +c8 +40 +b5 +10 +d6 +10 +2a +10 +a6 +10 +fa +00 +51 +10 +f0 +00 +5f +10 +c4 +00 +fe +00 +7f +00 +c1 +00 +72 +00 +89 +00 +47 +00 +7f +00 +3b +00 +64 +00 +34 +00 +61 +00 +3c +00 +6d +00 +31 +00 +6b +00 +49 +00 +5f +00 +9d +00 +9d +00 +4e +00 +54 +00 +24 +00 +36 +00 +24 +00 +34 +00 +22 +00 +3d +00 +2a +00 +36 +00 +29 +00 +49 +00 +4d +00 +b3 +00 +cb +00 +58 +20 +26 +10 +8a +20 +d3 +00 +b1 +00 +58 +00 +51 +00 +37 +00 +5e +00 +3d +00 +52 +00 +2e +00 +4f +00 +32 +00 +5b +00 +2d +00 +4e +00 +2f +00 +4e +00 +2c +00 +4d +00 +30 +00 +61 +00 +32 +00 +58 +00 +32 +00 +62 +00 +32 +00 +4e +00 +2a +00 +44 +00 +2d +00 +4a +00 +26 +00 +56 +00 +27 +00 +4a +00 +2c +00 +4c +00 +2a +00 +4f +00 +2e +00 +5f +00 +2d +00 +5c +00 +35 +00 +56 +00 +2d +00 +65 +00 +2a +00 +4e +00 +2f +00 +56 +00 +2c +00 +52 +00 +2d +00 +5a +00 +33 +00 +68 +00 +34 +00 +6c +00 +3c +00 +65 +00 +4c +00 +7e +00 +55 +00 +c2 +00 +65 +00 +91 +00 +4b +00 +63 +00 +43 +00 +69 +00 +35 +00 +68 +00 +35 +00 +5e +00 +41 +00 +5b +00 +3d +00 +69 +00 +2d +00 +50 +00 +28 +00 +64 +00 +32 +00 +5f +00 +30 +00 +61 +00 +37 +00 +50 +00 +2c +00 +59 +00 +28 +00 +52 +00 +34 +00 +51 +00 +3b +00 +55 +00 +29 +00 +54 +00 +2d +00 +4c +00 +2d +00 +00 +00 +00 +00 +34 +00 +a9 +00 +3c +00 +9f +00 +35 +00 +a7 +00 +3c +00 +a2 +00 +36 +00 +a7 +00 +3b +00 +a4 +00 +39 +00 +a6 +00 +3b +00 +a1 +00 +35 +00 +97 +00 +29 +00 +7b +00 +28 +00 +60 +00 +23 +00 +62 +00 +2c +00 +70 +00 +b1 +00 +87 +30 +59 +10 +c4 +30 +f6 +00 +62 +10 +36 +00 +79 +00 +2c +00 +69 +00 +28 +00 +6b +00 +2c +00 +90 +00 +2f +00 +87 +00 +3f +00 +ae +00 +39 +00 +9e +00 +34 +00 +90 +00 +2c +00 +84 +00 +2b +00 +6e +00 +2a +00 +64 +00 +28 +00 +5d +00 +54 +00 +bf +10 +7a +00 +ce +00 +31 +00 +67 +00 +21 +00 +58 +00 +28 +00 +62 +00 +20 +00 +60 +00 +21 +00 +68 +00 +22 +00 +77 +00 +2b +00 +8d +00 +29 +00 +6a +00 +24 +00 +5f +00 +28 +00 +7a +00 +45 +00 +a6 +00 +29 +00 +78 +00 +2c +00 +9f +00 +5d +00 +ae +00 +26 +00 +66 +00 +25 +00 +59 +00 +20 +00 +5c +00 +21 +00 +4a +00 +24 +00 +5b +00 +1e +00 +60 +00 +25 +00 +62 +00 +1a +00 +53 +00 +21 +00 +5f +00 +27 +00 +6a +00 +2a +00 +68 +00 +2d +00 +6a +00 +1f +00 +53 +00 +1d +00 +4c +00 +1e +00 +51 +00 +18 +00 +5f +00 +2a +00 +6a +00 +27 +00 +89 +00 +3f +00 +df +00 +4b +00 +b5 +00 +22 +00 +65 +00 +20 +00 +5f +00 +20 +00 +52 +00 +20 +00 +50 +00 +26 +00 +55 +00 +21 +00 +5b +00 +1f +00 +59 +00 +20 +00 +61 +00 +20 +00 +56 +00 +19 +00 +59 +00 +1f +00 +5c +00 +22 +00 +5c +00 +26 +00 +4a +00 +1a +00 +55 +00 +21 +00 +56 +00 +1f +00 +58 +00 +24 +00 +5e +00 +27 +00 +79 +00 +2c +00 +6e +00 +26 +00 +7d +00 +40 +00 +d4 +10 +03 +10 +b2 +10 +69 +00 +e5 +00 +35 +00 +7b +00 +34 +00 +9d +00 +48 +00 +01 +10 +63 +00 +66 +10 +7e +00 +83 +10 +6c +00 +43 +10 +e0 +00 +98 +20 +25 +10 +b6 +30 +bd +00 +4a +10 +87 +00 +ac +10 +76 +00 +16 +10 +51 +00 +d9 +00 +58 +00 +d9 +00 +5a +00 +2f +10 +40 +00 +93 +00 +32 +00 +8b +00 +51 +00 +41 +10 +64 +00 +dc +00 +6e +00 +59 +20 +bc +00 +78 +10 +62 +00 +af +10 +43 +10 +9a +20 +80 +00 +3a +10 +4a +00 +0e +10 +45 +00 +db +00 +3e +00 +c2 +00 +53 +00 +24 +20 +69 +10 +40 +20 +6b +00 +0b +10 +49 +00 +c5 +00 +3d +00 +9b +00 +35 +00 +98 +00 +37 +00 +cb +00 +4c +00 +0b +10 +bf +00 +d2 +20 +f7 +10 +4f +60 +b7 +20 +be +70 +fb +20 +79 +60 +e6 +00 +ee +10 +a0 +00 +78 +10 +e8 +00 +59 +20 +82 +00 +67 +10 +a1 +00 +d2 +10 +81 +00 +78 +10 +df +00 +1e +50 +44 +30 +ad +90 +d9 +30 +dd +a0 +d6 +30 +bd +a0 +a9 +30 +f2 +a0 +ca +30 +17 +b0 +e9 +30 +9f +a0 +bd +30 +5d +a0 +db +30 +ff +a0 +10 +40 +80 +a0 +e6 +30 +a2 +a0 +b2 +30 +8e +a0 +b1 +30 +38 +a0 +7f +30 +82 +80 +27 +10 +04 +20 +75 +00 +62 +10 +8a +00 +4a +10 +83 +00 +45 +10 +54 +00 +c8 +00 +3e +00 +ad +00 +8d +00 +b4 +10 +4f +00 +ba +00 +48 +00 +bc +00 +3b +00 +72 +00 +30 +00 +7f +00 +39 +00 +a5 +00 +4b +00 +3a +10 +7c +00 +cf +00 +2c +00 +67 +00 +23 +00 +62 +00 +2a +00 +64 +00 +20 +00 +59 +00 +29 +00 +7d +00 +32 +00 +79 +00 +1f +00 +5a +00 +23 +00 +59 +00 +1e +00 +60 +00 +28 +00 +63 +00 +2d +00 +7d +00 +2a +00 +69 +00 +2e +00 +66 +00 +26 +00 +74 +00 +3f +00 +95 +10 +3b +10 +7f +10 +34 +00 +6c +00 +26 +00 +5d +00 +2c +00 +f2 +00 +ca +10 +fd +20 +97 +00 +77 +20 +59 +10 +3f +40 +3d +10 +e9 +10 +6e +00 +40 +10 +59 +00 +f9 +00 +4b +00 +f2 +00 +48 +00 +cc +00 +3b +00 +9d +00 +31 +00 +87 +00 +39 +00 +72 +00 +2b +00 +69 +00 +25 +00 +63 +00 +2f +00 +52 +00 +32 +00 +66 +00 +21 +00 +59 +00 +4c +00 +7a +10 +69 +00 +8e +00 +19 +00 +3f +00 +17 +00 +32 +00 +17 +00 +3c +00 +16 +00 +3e +00 +1a +00 +41 +00 +1e +00 +8b +00 +3c +00 +cc +00 +61 +00 +4a +10 +80 +00 +01 +10 +32 +00 +63 +00 +23 +00 +59 +00 +1d +00 +57 +00 +1a +00 +47 +00 +1f +00 +60 +00 +1d +00 +55 +00 +23 +00 +5b +00 +25 +00 +51 +00 +23 +00 +4e +00 +1c +00 +5a +00 +28 +00 +5e +00 +20 +00 +56 +00 +14 +00 +4e +00 +1e +00 +51 +00 +23 +00 +4d +00 +24 +00 +56 +00 +1c +00 +4b +00 +28 +00 +4d +00 +20 +00 +64 +00 +22 +00 +5b +00 +23 +00 +59 +00 +23 +00 +61 +00 +28 +00 +52 +00 +20 +00 +59 +00 +26 +00 +5d +00 +25 +00 +53 +00 +24 +00 +67 +00 +22 +00 +70 +00 +29 +00 +76 +00 +2b +00 +80 +00 +2f +00 +96 +00 +42 +00 +9d +00 +2b +00 +71 +00 +2d +00 +62 +00 +2c +00 +67 +00 +37 +00 +65 +00 +2f +00 +70 +00 +26 +00 +60 +00 +20 +00 +5d +00 +21 +00 +60 +00 +25 +00 +67 +00 +27 +00 +53 +00 +27 +00 +6a +00 +25 +00 +51 +00 +22 +00 +55 +00 +21 +00 +67 +00 +99 +00 +91 +00 +1d +00 +4a +00 +20 +00 +5b +00 +1f +00 +60 +00 +00 +00 +00 +00 +9a +00 +79 +00 +a4 +00 +69 +00 +9c +00 +6b +00 +b6 +00 +70 +00 +a1 +00 +7b +00 +9c +00 +80 +00 +9e +00 +76 +00 +ac +00 +6b +00 +a1 +00 +5b +00 +74 +00 +4d +00 +66 +00 +42 +00 +5c +00 +3b +00 +65 +00 +6c +00 +f4 +00 +38 +10 +57 +40 +a3 +20 +35 +40 +0e +10 +c9 +00 +46 +00 +6e +00 +39 +00 +6b +00 +4b +00 +76 +00 +53 +00 +8c +00 +82 +00 +d6 +00 +6e +00 +89 +00 +5a +00 +81 +00 +51 +00 +86 +00 +4a +00 +6a +00 +48 +00 +65 +00 +4b +00 +6c +00 +53 +00 +92 +00 +61 +00 +ce +00 +70 +00 +74 +00 +3e +00 +68 +00 +4f +00 +69 +00 +4e +00 +69 +00 +3c +00 +6e +00 +3e +00 +60 +00 +60 +00 +c2 +00 +8d +00 +97 +00 +54 +00 +67 +00 +49 +00 +60 +00 +4c +00 +89 +00 +48 +00 +77 +00 +43 +00 +5f +00 +44 +00 +72 +00 +3c +00 +6f +00 +3e +00 +4d +00 +34 +00 +58 +00 +31 +00 +4e +00 +36 +00 +52 +00 +35 +00 +56 +00 +2f +00 +57 +00 +35 +00 +5e +00 +2e +00 +52 +00 +23 +00 +5f +00 +3a +00 +5f +00 +36 +00 +65 +00 +39 +00 +5f +00 +34 +00 +4c +00 +2c +00 +50 +00 +2d +00 +5c +00 +38 +00 +5b +00 +40 +00 +68 +00 +5c +00 +9a +00 +66 +00 +a2 +00 +65 +00 +75 +00 +33 +00 +61 +00 +2f +00 +48 +00 +2b +00 +5f +00 +37 +00 +61 +00 +29 +00 +60 +00 +32 +00 +56 +00 +33 +00 +4d +00 +33 +00 +59 +00 +29 +00 +55 +00 +31 +00 +51 +00 +3b +00 +59 +00 +33 +00 +5a +00 +3e +00 +64 +00 +2c +00 +50 +00 +2f +00 +53 +00 +3c +00 +61 +00 +4a +00 +bb +00 +52 +00 +72 +00 +39 +00 +6c +00 +52 +00 +6c +00 +c5 +00 +64 +20 +71 +10 +16 +20 +a2 +00 +9a +00 +5e +00 +8d +00 +6e +00 +a6 +00 +be +00 +fc +00 +e6 +00 +26 +10 +f3 +00 +0b +10 +bb +10 +ca +30 +42 +20 +cf +20 +7f +20 +99 +40 +63 +10 +23 +10 +b1 +00 +1f +10 +a6 +00 +d7 +00 +8f +00 +b6 +00 +7f +00 +be +00 +b2 +00 +15 +10 +66 +00 +89 +00 +5b +00 +9f +00 +6c +00 +b4 +00 +97 +00 +d7 +00 +ab +00 +1c +10 +b9 +00 +ee +00 +df +00 +40 +10 +d1 +00 +58 +10 +d0 +00 +c2 +00 +a6 +00 +c4 +00 +df +00 +f8 +00 +90 +10 +5f +10 +54 +10 +6c +20 +fd +00 +63 +10 +b1 +00 +ca +00 +7f +00 +a8 +00 +59 +00 +8d +00 +5e +00 +a6 +00 +80 +00 +d7 +00 +95 +00 +0b +10 +5b +10 +31 +20 +ec +30 +f0 +70 +2b +50 +ea +80 +81 +30 +65 +30 +a8 +10 +62 +10 +cd +10 +be +30 +a6 +10 +c4 +10 +3e +10 +16 +20 +32 +30 +7f +40 +b6 +30 +d1 +40 +4a +50 +97 +80 +9a +60 +a9 +a0 +a1 +70 +eb +a0 +81 +70 +f4 +a0 +4d +70 +0b +b0 +70 +70 +a3 +a0 +39 +60 +23 +a0 +e9 +60 +d7 +a0 +5a +70 +e4 +a0 +5d +70 +9e +a0 +2f +70 +d3 +a0 +2f +70 +bf +a0 +d2 +60 +38 +a0 +e7 +50 +d2 +50 +86 +30 +2c +30 +85 +20 +32 +30 +0f +10 +91 +10 +43 +10 +7a +10 +9d +00 +bf +00 +8d +00 +74 +10 +84 +00 +f3 +00 +66 +00 +f4 +00 +8a +00 +be +00 +4b +00 +83 +00 +4a +00 +7e +00 +81 +00 +c7 +00 +95 +00 +a8 +00 +60 +00 +98 +00 +48 +00 +64 +00 +52 +00 +78 +00 +4c +00 +6d +00 +4a +00 +8e +00 +f0 +00 +0e +20 +75 +00 +6c +00 +35 +00 +58 +00 +3c +00 +63 +00 +3c +00 +5e +00 +68 +00 +48 +10 +8e +00 +7b +00 +3b +00 +5a +00 +57 +00 +83 +00 +63 +00 +9f +00 +66 +10 +4c +40 +34 +10 +0c +10 +8b +00 +74 +00 +50 +00 +79 +00 +88 +00 +57 +10 +22 +10 +86 +20 +0d +30 +3d +50 +21 +30 +b1 +20 +83 +10 +5c +10 +f2 +00 +d3 +00 +b0 +00 +d6 +00 +8d +00 +ad +00 +77 +00 +85 +00 +58 +00 +75 +00 +43 +00 +70 +00 +37 +00 +5f +00 +35 +00 +54 +00 +2c +00 +58 +00 +28 +00 +57 +00 +30 +00 +46 +00 +4c +00 +0c +10 +a7 +00 +ba +00 +4a +00 +5d +00 +2d +00 +34 +00 +20 +00 +32 +00 +26 +00 +33 +00 +2a +00 +45 +00 +3a +00 +74 +00 +9e +00 +ea +00 +a2 +00 +f5 +00 +a4 +00 +ef +00 +71 +00 +7f +00 +50 +00 +67 +00 +2d +00 +5d +00 +40 +00 +72 +00 +33 +00 +4c +00 +36 +00 +54 +00 +3a +00 +6f +00 +48 +00 +59 +00 +29 +00 +62 +00 +2e +00 +4a +00 +36 +00 +5f +00 +33 +00 +49 +00 +34 +00 +47 +00 +2c +00 +47 +00 +27 +00 +4a +00 +25 +00 +5c +00 +2a +00 +50 +00 +34 +00 +5b +00 +32 +00 +5a +00 +2b +00 +5f +00 +35 +00 +5a +00 +2a +00 +52 +00 +33 +00 +5f +00 +36 +00 +4b +00 +33 +00 +63 +00 +2b +00 +5a +00 +30 +00 +67 +00 +2e +00 +65 +00 +48 +00 +81 +00 +93 +00 +af +00 +4f +00 +88 +00 +5d +00 +38 +10 +89 +00 +83 +00 +5e +00 +6e +00 +41 +00 +74 +00 +37 +00 +71 +00 +3c +00 +86 +00 +41 +00 +63 +00 +38 +00 +5b +00 +39 +00 +66 +00 +2b +00 +6e +00 +38 +00 +6a +00 +47 +00 +62 +00 +3e +00 +56 +00 +2b +00 +5a +00 +28 +00 +4f +00 +44 +00 +f8 +00 +57 +00 +59 +00 +30 +00 +4c +00 +2b +00 +49 +00 +2d +00 +00 +00 +00 +00 +38 +00 +a1 +00 +3d +00 +a7 +00 +3e +00 +a5 +00 +45 +00 +a4 +00 +40 +00 +a5 +00 +37 +00 +ac +00 +39 +00 +a1 +00 +36 +00 +a0 +00 +35 +00 +9e +00 +2b +00 +73 +00 +21 +00 +67 +00 +1f +00 +5d +00 +22 +00 +74 +00 +3f +00 +09 +10 +ba +00 +3e +30 +fc +00 +b0 +10 +33 +00 +7d +00 +23 +00 +6b +00 +29 +00 +6e +00 +2a +00 +70 +00 +2a +00 +bd +00 +44 +00 +ac +00 +2d +00 +6a +00 +2a +00 +74 +00 +29 +00 +77 +00 +25 +00 +79 +00 +29 +00 +63 +00 +26 +00 +6c +00 +27 +00 +8c +00 +35 +00 +84 +00 +29 +00 +65 +00 +24 +00 +6e +00 +26 +00 +76 +00 +2c +00 +6a +00 +1f +00 +5b +00 +22 +00 +86 +00 +6e +00 +6a +10 +31 +00 +6f +00 +28 +00 +60 +00 +21 +00 +7c +00 +2e +00 +8c +00 +1e +00 +6d +00 +26 +00 +6d +00 +2d +00 +73 +00 +29 +00 +74 +00 +22 +00 +52 +00 +1d +00 +55 +00 +21 +00 +56 +00 +1d +00 +61 +00 +1d +00 +5b +00 +27 +00 +50 +00 +1d +00 +55 +00 +1a +00 +4e +00 +1c +00 +52 +00 +20 +00 +59 +00 +20 +00 +5c +00 +1b +00 +3f +00 +1c +00 +4b +00 +23 +00 +4e +00 +23 +00 +56 +00 +20 +00 +4d +00 +22 +00 +64 +00 +21 +00 +7b +00 +26 +00 +78 +00 +22 +00 +50 +00 +1f +00 +4d +00 +20 +00 +4d +00 +21 +00 +57 +00 +20 +00 +5e +00 +21 +00 +58 +00 +1e +00 +55 +00 +24 +00 +66 +00 +2c +00 +5c +00 +17 +00 +4f +00 +22 +00 +4c +00 +21 +00 +54 +00 +1f +00 +52 +00 +1e +00 +54 +00 +1b +00 +58 +00 +1d +00 +52 +00 +29 +00 +60 +00 +2f +00 +83 +00 +28 +00 +5a +00 +2a +00 +5d +00 +29 +00 +aa +00 +84 +00 +b5 +20 +90 +00 +0a +10 +2b +00 +80 +00 +30 +00 +8a +00 +3e +00 +c5 +00 +3b +00 +ea +00 +41 +00 +ec +00 +6b +00 +a2 +20 +7f +10 +b8 +30 +6f +10 +37 +40 +34 +10 +19 +20 +57 +00 +cb +00 +46 +00 +ce +00 +3f +00 +af +00 +3c +00 +ae +00 +40 +00 +b7 +00 +48 +00 +b8 +00 +31 +00 +82 +00 +40 +00 +a9 +00 +39 +00 +9b +00 +3a +00 +c7 +00 +3f +00 +cc +00 +3c +00 +c3 +00 +53 +00 +2d +10 +68 +00 +d3 +00 +38 +00 +bb +00 +75 +00 +36 +10 +47 +10 +bd +40 +e8 +00 +d9 +10 +70 +00 +5b +10 +54 +00 +d8 +00 +50 +00 +bc +00 +3a +00 +a2 +00 +3b +00 +9c +00 +41 +00 +a5 +00 +45 +00 +ed +00 +80 +00 +1c +20 +5d +10 +99 +50 +32 +30 +2b +90 +1f +30 +9d +50 +ed +00 +12 +20 +90 +00 +33 +30 +03 +20 +26 +30 +90 +00 +6e +10 +55 +10 +15 +60 +ea +20 +da +70 +17 +30 +80 +80 +7a +30 +4e +a0 +d8 +30 +b5 +a0 +ef +30 +d9 +a0 +cc +30 +a0 +a0 +f5 +30 +db +a0 +3e +30 +e7 +60 +f8 +20 +13 +a0 +d2 +30 +ab +a0 +b5 +30 +c0 +a0 +e7 +30 +a2 +a0 +d2 +30 +e7 +a0 +f4 +30 +bf +a0 +96 +30 +fe +90 +3e +30 +f2 +70 +2e +20 +d7 +30 +cc +00 +b3 +10 +6b +00 +46 +10 +72 +00 +01 +10 +50 +00 +dc +00 +4a +00 +dd +00 +46 +00 +ba +00 +4d +00 +a6 +00 +48 +00 +98 +00 +2b +00 +7e +00 +2d +00 +ba +00 +e8 +00 +a8 +10 +37 +00 +9c +00 +3a +00 +78 +00 +39 +00 +04 +10 +65 +00 +8c +00 +27 +00 +5c +00 +2f +00 +a8 +10 +d3 +00 +12 +10 +34 +00 +68 +00 +2c +00 +60 +00 +25 +00 +86 +00 +24 +00 +87 +00 +82 +00 +f7 +00 +3a +00 +72 +00 +26 +00 +9d +00 +a5 +00 +d9 +00 +45 +00 +c4 +10 +ad +10 +44 +30 +57 +00 +a9 +00 +33 +00 +7a +00 +2f +00 +a6 +00 +54 +00 +36 +10 +f4 +10 +47 +60 +4f +20 +b1 +60 +1a +20 +ea +20 +79 +00 +f5 +00 +57 +00 +d7 +00 +4b +00 +b5 +00 +30 +00 +7c +00 +2c +00 +78 +00 +28 +00 +6f +00 +25 +00 +5f +00 +21 +00 +49 +00 +24 +00 +5e +00 +27 +00 +56 +00 +1d +00 +4d +00 +1e +00 +52 +00 +4a +00 +eb +00 +35 +00 +7a +00 +16 +00 +46 +00 +17 +00 +38 +00 +19 +00 +3d +00 +17 +00 +45 +00 +18 +00 +56 +00 +3b +00 +37 +10 +6a +00 +b7 +00 +2f +00 +ba +00 +35 +00 +92 +00 +27 +00 +4f +00 +21 +00 +54 +00 +27 +00 +6a +00 +26 +00 +5d +00 +24 +00 +5d +00 +1f +00 +63 +00 +58 +00 +72 +00 +28 +00 +5c +00 +2a +00 +56 +00 +1f +00 +52 +00 +25 +00 +4e +00 +1c +00 +49 +00 +19 +00 +4c +00 +1e +00 +59 +00 +2a +00 +52 +00 +2a +00 +49 +00 +22 +00 +54 +00 +2a +00 +4f +00 +27 +00 +68 +00 +23 +00 +63 +00 +26 +00 +62 +00 +2a +00 +5e +00 +1e +00 +4c +00 +19 +00 +5c +00 +3f +00 +76 +00 +26 +00 +5e +00 +2a +00 +77 +00 +2f +00 +6e +00 +35 +00 +b1 +00 +46 +00 +9a +00 +35 +00 +a0 +00 +7b +00 +f3 +00 +40 +00 +99 +00 +33 +00 +79 +00 +2d +00 +80 +00 +2d +00 +84 +00 +35 +00 +7e +00 +32 +00 +69 +00 +2c +00 +65 +00 +2c +00 +6f +00 +27 +00 +73 +00 +2c +00 +6d +00 +26 +00 +6d +00 +26 +00 +57 +00 +24 +00 +50 +00 +27 +00 +68 +00 +2b +00 +71 +00 +24 +00 +49 +00 +1a +00 +4e +00 +1d +00 +63 +00 +00 +00 +00 +00 +9d +00 +72 +00 +93 +00 +6a +00 +a1 +00 +6c +00 +a3 +00 +78 +00 +a6 +00 +74 +00 +98 +00 +70 +00 +a2 +00 +70 +00 +a8 +00 +62 +00 +a2 +00 +5e +00 +74 +00 +4d +00 +66 +00 +3a +00 +69 +00 +45 +00 +5b +00 +5c +00 +95 +00 +90 +00 +1d +10 +bb +00 +51 +10 +ac +00 +a8 +00 +51 +00 +7a +00 +4d +00 +76 +00 +47 +00 +65 +00 +44 +00 +74 +00 +55 +00 +8a +00 +60 +00 +73 +00 +54 +00 +78 +00 +54 +00 +84 +00 +5f +00 +7e +00 +44 +00 +70 +00 +3f +00 +65 +00 +48 +00 +63 +00 +5c +00 +86 +00 +48 +00 +68 +00 +46 +00 +61 +00 +ab +00 +21 +10 +96 +00 +97 +00 +4a +00 +6a +00 +3b +00 +6b +00 +8b +00 +56 +10 +e2 +00 +f7 +00 +71 +00 +6c +00 +35 +00 +64 +00 +44 +00 +73 +00 +42 +00 +5b +00 +3a +00 +65 +00 +40 +00 +6f +00 +3a +00 +6f +00 +3c +00 +69 +00 +33 +00 +5a +00 +36 +00 +51 +00 +35 +00 +53 +00 +33 +00 +5e +00 +30 +00 +53 +00 +30 +00 +50 +00 +37 +00 +51 +00 +35 +00 +6d +00 +42 +00 +54 +00 +2e +00 +58 +00 +2d +00 +50 +00 +2f +00 +4c +00 +28 +00 +4e +00 +29 +00 +58 +00 +34 +00 +4f +00 +3a +00 +55 +00 +4a +00 +63 +00 +6d +00 +bb +00 +64 +00 +6c +00 +37 +00 +52 +00 +2c +00 +57 +00 +26 +00 +53 +00 +2b +00 +59 +00 +2e +00 +59 +00 +37 +00 +52 +00 +37 +00 +6a +00 +35 +00 +70 +00 +2d +00 +59 +00 +34 +00 +53 +00 +27 +00 +4d +00 +32 +00 +5c +00 +2e +00 +4d +00 +2c +00 +5a +00 +2f +00 +5c +00 +37 +00 +50 +00 +34 +00 +79 +00 +31 +00 +6e +00 +3f +00 +52 +00 +47 +00 +5e +00 +79 +00 +dc +00 +9e +00 +5e +10 +90 +00 +8c +00 +55 +00 +86 +00 +85 +00 +17 +10 +ec +10 +0d +20 +0f +10 +e0 +00 +97 +10 +d7 +10 +5a +10 +5c +20 +22 +20 +42 +40 +d4 +10 +ee +10 +08 +10 +0c +10 +ae +00 +a8 +00 +bf +00 +0f +10 +a7 +00 +c5 +00 +91 +00 +a8 +00 +68 +00 +83 +00 +52 +00 +87 +00 +5a +00 +88 +00 +74 +00 +a0 +00 +95 +00 +9f +00 +92 +00 +b9 +00 +95 +00 +af +00 +8f +00 +d0 +00 +a5 +00 +4e +10 +a3 +00 +af +00 +a2 +00 +e8 +10 +0a +20 +f8 +40 +a7 +20 +41 +30 +1f +20 +bb +10 +d8 +00 +f8 +00 +2e +10 +82 +10 +7e +00 +b4 +00 +63 +00 +97 +00 +58 +00 +ad +00 +70 +00 +b4 +00 +ea +00 +bc +20 +ed +20 +84 +60 +bf +40 +8a +80 +d4 +50 +e4 +80 +fe +30 +42 +30 +74 +20 +1b +20 +fd +20 +8f +60 +b8 +20 +72 +20 +3f +20 +d0 +30 +57 +40 +58 +80 +dc +50 +63 +90 +6f +60 +24 +a0 +44 +70 +cb +a0 +96 +70 +da +a0 +c0 +70 +fb +a0 +6d +70 +c8 +a0 +83 +60 +84 +70 +ee +30 +ee +40 +d5 +50 +5d +a0 +f3 +60 +cf +a0 +a0 +70 +c6 +a0 +36 +70 +09 +b0 +74 +70 +0d +b0 +61 +70 +89 +a0 +84 +60 +da +90 +17 +50 +00 +60 +21 +20 +53 +20 +5e +20 +5d +20 +06 +10 +0c +10 +e0 +00 +dc +10 +85 +00 +b9 +00 +6e +00 +9f +00 +64 +00 +a2 +00 +4a +00 +94 +00 +4b +00 +74 +00 +4d +00 +85 +00 +81 +00 +5e +10 +83 +00 +e6 +00 +70 +00 +8f +00 +56 +00 +7d +00 +6b +00 +ad +00 +4a +00 +7b +00 +47 +00 +73 +00 +58 +00 +dc +00 +66 +00 +b7 +00 +6a +00 +6f +00 +44 +00 +70 +00 +47 +00 +8c +00 +52 +00 +9a +00 +5d +00 +b4 +00 +5e +00 +65 +00 +b0 +00 +f0 +10 +b7 +00 +ac +00 +b6 +00 +eb +10 +c9 +00 +69 +10 +92 +00 +91 +00 +bb +00 +e5 +00 +c4 +00 +f8 +00 +44 +10 +f1 +30 +e1 +30 +b4 +70 +45 +40 +5f +70 +a6 +20 +91 +20 +03 +10 +54 +10 +a6 +00 +af +00 +62 +00 +7b +00 +48 +00 +80 +00 +3d +00 +69 +00 +3d +00 +5e +00 +31 +00 +65 +00 +2b +00 +4c +00 +2e +00 +56 +00 +30 +00 +4d +00 +2d +00 +43 +00 +5f +00 +71 +00 +4b +00 +8d +00 +3b +00 +50 +00 +2b +00 +3a +00 +25 +00 +35 +00 +23 +00 +41 +00 +25 +00 +3d +00 +41 +00 +72 +00 +ee +00 +d1 +20 +dd +00 +b5 +00 +87 +00 +7d +00 +4f +00 +6e +00 +32 +00 +48 +00 +35 +00 +56 +00 +2b +00 +65 +00 +36 +00 +5e +00 +36 +00 +62 +00 +37 +00 +67 +00 +38 +00 +5e +00 +2d +00 +5b +00 +36 +00 +5b +00 +32 +00 +43 +00 +30 +00 +44 +00 +2a +00 +48 +00 +25 +00 +45 +00 +2f +00 +54 +00 +2f +00 +61 +00 +2e +00 +5e +00 +38 +00 +74 +00 +3e +00 +65 +00 +3c +00 +57 +00 +3e +00 +57 +00 +35 +00 +60 +00 +30 +00 +67 +00 +31 +00 +5f +00 +39 +00 +8f +00 +40 +00 +58 +00 +3b +00 +7c +00 +4f +00 +84 +00 +49 +00 +8d +00 +44 +00 +8d +00 +54 +00 +8b +00 +68 +00 +95 +00 +8b +00 +ff +00 +94 +00 +cd +00 +59 +00 +6a +00 +55 +00 +7e +00 +62 +00 +85 +00 +67 +00 +79 +00 +49 +00 +68 +00 +3e +00 +71 +00 +3d +00 +77 +00 +77 +00 +01 +10 +9b +00 +98 +00 +46 +00 +5a +00 +32 +00 +58 +00 +2b +00 +76 +00 +2d +00 +77 +00 +37 +00 +6a +00 +4a +00 +64 +00 +33 +00 +4e +00 +2b +00 +00 +00 +00 +00 +37 +00 +90 +00 +34 +00 +9c +00 +3c +00 +94 +00 +34 +00 +a9 +00 +3c +00 +a2 +00 +3b +00 +a2 +00 +3d +00 +a6 +00 +2c +00 +b0 +00 +3b +00 +86 +00 +30 +00 +79 +00 +25 +00 +6a +00 +26 +00 +67 +00 +24 +00 +67 +00 +2d +00 +b9 +00 +45 +00 +08 +10 +50 +00 +a4 +00 +2e +00 +91 +00 +2d +00 +65 +00 +29 +00 +65 +00 +28 +00 +7b +00 +2b +00 +8d +00 +2f +00 +75 +00 +29 +00 +76 +00 +2c +00 +90 +00 +35 +00 +89 +00 +31 +00 +7c +00 +26 +00 +65 +00 +21 +00 +54 +00 +25 +00 +75 +00 +21 +00 +67 +00 +2a +00 +75 +00 +33 +00 +df +00 +00 +10 +d0 +10 +37 +00 +85 +00 +2a +00 +6e +00 +20 +00 +8b +00 +ef +00 +88 +20 +53 +00 +94 +00 +28 +00 +65 +00 +1e +00 +68 +00 +29 +00 +5e +00 +21 +00 +5e +00 +26 +00 +5c +00 +26 +00 +6d +00 +23 +00 +66 +00 +20 +00 +55 +00 +1f +00 +49 +00 +1f +00 +4a +00 +22 +00 +4b +00 +1f +00 +61 +00 +25 +00 +5c +00 +21 +00 +5e +00 +20 +00 +67 +00 +1a +00 +63 +00 +1b +00 +57 +00 +1e +00 +57 +00 +19 +00 +46 +00 +19 +00 +4c +00 +1a +00 +52 +00 +22 +00 +55 +00 +26 +00 +54 +00 +1c +00 +5f +00 +27 +00 +7f +00 +8c +00 +0d +10 +28 +00 +4f +00 +1c +00 +52 +00 +1a +00 +4b +00 +20 +00 +57 +00 +23 +00 +57 +00 +20 +00 +5f +00 +25 +00 +63 +00 +28 +00 +5e +00 +22 +00 +53 +00 +25 +00 +55 +00 +1e +00 +55 +00 +1d +00 +65 +00 +21 +00 +56 +00 +1f +00 +5b +00 +24 +00 +50 +00 +20 +00 +51 +00 +19 +00 +5f +00 +2b +00 +65 +00 +25 +00 +5d +00 +23 +00 +64 +00 +29 +00 +6d +00 +38 +00 +cd +00 +42 +00 +cb +00 +3a +00 +7a +00 +2f +00 +88 +00 +a6 +00 +b7 +30 +8f +10 +12 +20 +a5 +00 +65 +30 +72 +10 +31 +30 +aa +00 +81 +20 +be +10 +e0 +30 +94 +00 +30 +10 +3a +00 +ba +00 +4c +00 +03 +10 +c6 +00 +66 +10 +5e +00 +bf +00 +34 +00 +a3 +00 +37 +00 +7b +00 +30 +00 +88 +00 +3a +00 +c0 +00 +46 +00 +aa +00 +4b +00 +28 +10 +47 +00 +c6 +00 +2f +00 +a2 +00 +38 +00 +c0 +00 +44 +00 +c6 +00 +3a +00 +a0 +00 +8b +00 +da +20 +f1 +10 +88 +40 +7e +10 +3d +40 +a1 +00 +2a +10 +4e +00 +28 +20 +c8 +00 +26 +10 +3b +00 +9b +00 +3e +00 +a0 +00 +3d +00 +aa +00 +41 +00 +e5 +00 +17 +10 +93 +30 +b5 +10 +25 +60 +6d +30 +cc +90 +5c +30 +4a +80 +57 +10 +c5 +30 +b7 +10 +9a +50 +65 +20 +80 +60 +14 +10 +e3 +20 +27 +20 +94 +70 +54 +30 +5f +90 +af +30 +78 +a0 +d0 +30 +e6 +a0 +d5 +30 +eb +a0 +f2 +30 +f6 +a0 +f6 +30 +a2 +a0 +bf +30 +82 +90 +ae +10 +00 +40 +39 +10 +b0 +60 +97 +30 +91 +a0 +e9 +30 +e5 +a0 +cb +30 +72 +a0 +c1 +30 +f5 +a0 +e2 +30 +02 +b0 +c3 +30 +65 +a0 +58 +30 +14 +80 +71 +10 +e4 +20 +2d +10 +55 +50 +6f +10 +e1 +10 +56 +00 +13 +10 +66 +00 +00 +10 +3d +00 +b2 +00 +38 +00 +99 +00 +37 +00 +81 +00 +34 +00 +9a +00 +34 +00 +6d +00 +2b +00 +8f +00 +40 +00 +93 +00 +4c +00 +a5 +00 +3b +00 +92 +00 +2c +00 +96 +00 +2f +00 +8b +00 +33 +00 +77 +00 +27 +00 +8d +00 +35 +00 +91 +00 +3e +00 +9a +00 +33 +00 +ac +00 +2e +00 +70 +00 +29 +00 +7b +00 +35 +00 +a6 +00 +49 +00 +97 +00 +29 +00 +c8 +00 +8b +00 +e1 +00 +35 +00 +c7 +00 +61 +00 +f5 +00 +4d +00 +bb +00 +3b +00 +9c +10 +31 +10 +e3 +10 +85 +00 +88 +10 +be +10 +44 +70 +1f +30 +2b +60 +ec +10 +17 +40 +8a +00 +3f +10 +88 +00 +20 +10 +39 +00 +87 +00 +3a +00 +86 +00 +34 +00 +83 +00 +28 +00 +68 +00 +1a +00 +5f +00 +23 +00 +54 +00 +25 +00 +62 +00 +22 +00 +4f +00 +23 +00 +4b +00 +24 +00 +f6 +00 +4a +00 +7c +00 +25 +00 +62 +00 +13 +00 +37 +00 +13 +00 +3e +00 +14 +00 +3c +00 +14 +00 +3e +00 +23 +00 +6d +00 +3b +00 +3e +10 +95 +10 +3b +20 +37 +00 +92 +00 +29 +00 +68 +00 +20 +00 +54 +00 +22 +00 +56 +00 +2c +00 +5f +00 +2c +00 +60 +00 +23 +00 +58 +00 +22 +00 +53 +00 +21 +00 +55 +00 +26 +00 +53 +00 +24 +00 +54 +00 +1f +00 +47 +00 +20 +00 +43 +00 +20 +00 +44 +00 +1a +00 +47 +00 +23 +00 +4a +00 +23 +00 +5d +00 +22 +00 +52 +00 +1f +00 +61 +00 +42 +00 +92 +00 +34 +00 +82 +00 +2d +00 +6d +00 +26 +00 +66 +00 +1f +00 +64 +00 +26 +00 +5a +00 +30 +00 +75 +00 +32 +00 +76 +00 +2f +00 +72 +00 +4a +00 +be +00 +33 +00 +84 +00 +34 +00 +8d +00 +32 +00 +89 +00 +2b +00 +93 +00 +40 +00 +eb +00 +83 +00 +de +00 +3e +00 +94 +00 +3a +00 +8c +00 +36 +00 +d2 +00 +48 +00 +a6 +00 +2e +00 +89 +00 +2e +00 +6f +00 +31 +00 +78 +00 +2f +00 +f4 +00 +f3 +00 +58 +10 +3c +00 +74 +00 +2a +00 +5f +00 +20 +00 +57 +00 +1f +00 +56 +00 +28 +00 +6e +00 +25 +00 +81 +00 +3c +00 +61 +00 +21 +00 +49 +00 +00 +00 +00 +00 +91 +00 +65 +00 +93 +00 +6c +00 +91 +00 +6a +00 +9c +00 +66 +00 +a9 +00 +64 +00 +a9 +00 +69 +00 +a0 +00 +76 +00 +ab +00 +80 +00 +ad +00 +74 +00 +83 +00 +63 +00 +63 +00 +58 +00 +6c +00 +42 +00 +6d +00 +5d +00 +75 +00 +77 +00 +b0 +00 +a1 +00 +cd +00 +6b +00 +83 +00 +4b +00 +73 +00 +51 +00 +63 +00 +4e +00 +6f +00 +51 +00 +65 +00 +56 +00 +7d +00 +4b +00 +76 +00 +52 +00 +86 +00 +df +00 +24 +10 +a2 +00 +82 +00 +4e +00 +7c +00 +42 +00 +6e +00 +41 +00 +67 +00 +51 +00 +68 +00 +4c +00 +6a +00 +50 +00 +70 +00 +49 +10 +a2 +30 +2a +10 +0d +10 +89 +00 +76 +00 +5a +00 +7e +00 +97 +00 +05 +20 +c5 +10 +2e +20 +8c +00 +6f +00 +52 +00 +67 +00 +39 +00 +60 +00 +39 +00 +61 +00 +3c +00 +68 +00 +43 +00 +72 +00 +57 +00 +85 +00 +4c +00 +61 +00 +33 +00 +5b +00 +35 +00 +67 +00 +43 +00 +55 +00 +42 +00 +65 +00 +3a +00 +5d +00 +35 +00 +5e +00 +30 +00 +5f +00 +4a +00 +7e +00 +49 +00 +68 +00 +3b +00 +44 +00 +2a +00 +49 +00 +2e +00 +46 +00 +2a +00 +4b +00 +28 +00 +4d +00 +39 +00 +5b +00 +3a +00 +4e +00 +38 +00 +61 +00 +73 +00 +ee +00 +63 +00 +84 +00 +40 +00 +53 +00 +39 +00 +53 +00 +2f +00 +59 +00 +35 +00 +55 +00 +37 +00 +51 +00 +2f +00 +58 +00 +37 +00 +56 +00 +37 +00 +6a +00 +54 +00 +74 +00 +33 +00 +47 +00 +34 +00 +5b +00 +38 +00 +56 +00 +3b +00 +54 +00 +30 +00 +4a +00 +2a +00 +56 +00 +2e +00 +55 +00 +3b +00 +5c +00 +47 +00 +53 +00 +3e +00 +68 +00 +32 +00 +58 +00 +4d +00 +75 +00 +65 +00 +95 +00 +5d +00 +7e +00 +53 +00 +7f +00 +df +00 +db +10 +bf +10 +08 +30 +99 +10 +1c +20 +30 +10 +05 +20 +54 +10 +f7 +10 +7f +10 +94 +20 +57 +10 +0d +20 +d2 +00 +cc +00 +0d +10 +e0 +10 +de +10 +54 +30 +af +10 +c3 +10 +bc +00 +ac +00 +61 +00 +75 +00 +4f +00 +82 +00 +6f +00 +d6 +00 +c2 +10 +d5 +10 +ef +00 +bc +00 +29 +10 +4c +10 +aa +00 +c1 +00 +8e +00 +8b +00 +b5 +00 +51 +10 +cf +00 +b5 +00 +a1 +00 +fe +00 +16 +20 +73 +50 +5b +20 +54 +40 +a5 +10 +c7 +10 +dd +00 +d0 +00 +96 +00 +49 +10 +95 +00 +df +00 +7c +00 +a0 +00 +67 +00 +a4 +00 +70 +00 +ba +00 +bd +00 +47 +10 +1d +10 +13 +20 +91 +20 +13 +60 +98 +50 +52 +90 +1c +50 +ad +50 +4e +30 +9a +50 +6d +40 +b7 +60 +cf +30 +9c +50 +69 +40 +0a +70 +77 +50 +46 +90 +6c +60 +88 +a0 +29 +70 +bc +a0 +69 +70 +e3 +a0 +67 +70 +e1 +a0 +66 +70 +69 +a0 +74 +70 +a7 +a0 +57 +50 +f4 +40 +50 +30 +14 +30 +20 +50 +38 +90 +99 +60 +e0 +a0 +4b +70 +b8 +a0 +76 +70 +ef +a0 +91 +70 +ff +a0 +47 +70 +51 +a0 +ed +40 +36 +60 +de +20 +5c +30 +d0 +10 +34 +30 +20 +20 +3d +30 +1d +10 +5c +10 +bd +00 +d9 +00 +75 +00 +bb +00 +6f +00 +95 +00 +58 +00 +81 +00 +50 +00 +89 +00 +4a +00 +73 +00 +47 +00 +78 +00 +4b +00 +8e +00 +54 +00 +a1 +00 +81 +00 +bd +00 +da +00 +88 +10 +7d +00 +86 +00 +4d +00 +71 +00 +47 +00 +76 +00 +4a +00 +8b +00 +4c +00 +8d +00 +7d +00 +41 +10 +e8 +00 +d1 +00 +4b +00 +77 +00 +4e +00 +80 +00 +c8 +00 +b4 +20 +ad +10 +39 +10 +72 +10 +3b +20 +f0 +00 +cb +00 +95 +00 +a1 +00 +7e +00 +a3 +00 +a1 +00 +99 +00 +a9 +00 +8c +10 +11 +10 +5b +10 +65 +10 +e6 +20 +62 +30 +d3 +50 +49 +20 +bd +30 +86 +10 +92 +10 +c9 +00 +ce +00 +58 +00 +8f +00 +4c +00 +90 +00 +3f +00 +a1 +00 +42 +00 +78 +00 +2f +00 +5b +00 +3a +00 +5a +00 +32 +00 +5c +00 +2c +00 +53 +00 +31 +00 +55 +00 +35 +00 +53 +00 +8d +00 +e8 +00 +4b +00 +5f +00 +41 +00 +42 +00 +21 +00 +3a +00 +27 +00 +39 +00 +2a +00 +39 +00 +33 +00 +60 +00 +a6 +00 +23 +10 +4b +10 +2d +30 +95 +10 +1f +10 +bd +00 +7e +00 +7b +00 +66 +00 +56 +00 +58 +00 +37 +00 +5f +00 +2b +00 +5a +00 +39 +00 +61 +00 +2c +00 +63 +00 +37 +00 +62 +00 +2e +00 +6f +00 +34 +00 +56 +00 +2b +00 +4c +00 +35 +00 +52 +00 +21 +00 +56 +00 +2a +00 +4f +00 +31 +00 +4e +00 +29 +00 +58 +00 +32 +00 +52 +00 +29 +00 +54 +00 +3e +00 +90 +00 +9d +00 +0e +20 +ea +00 +df +00 +66 +00 +62 +00 +3b +00 +67 +00 +31 +00 +5f +00 +37 +00 +71 +00 +48 +00 +82 +00 +56 +00 +86 +00 +54 +00 +81 +00 +48 +00 +91 +00 +4e +00 +92 +00 +67 +00 +ae +00 +60 +00 +a8 +00 +d3 +00 +4b +10 +bf +10 +59 +10 +c7 +00 +cc +00 +8b +00 +a0 +00 +98 +00 +e8 +00 +bc +10 +26 +20 +67 +10 +dc +10 +ac +00 +a7 +00 +55 +00 +7e +00 +50 +00 +81 +00 +6f +00 +94 +10 +79 +00 +a3 +00 +55 +00 +6d +00 +37 +00 +5c +00 +2a +00 +5a +00 +2e +00 +67 +00 +3a +00 +5e +00 +3a +00 +61 +00 +32 +00 +49 +00 +2e +00 +00 +00 +00 +00 +3b +00 +a1 +00 +3a +00 +9a +00 +40 +00 +93 +00 +34 +00 +ad +00 +43 +00 +9e +00 +3c +00 +a7 +00 +3c +00 +b0 +00 +36 +00 +b1 +00 +56 +00 +f5 +00 +3e +00 +84 +00 +2f +00 +7d +00 +21 +00 +6b +00 +2b +00 +67 +00 +2b +00 +7e +00 +2f +00 +a7 +00 +32 +00 +7b +00 +24 +00 +7e +00 +2a +00 +6e +00 +29 +00 +6f +00 +25 +00 +71 +00 +2c +00 +85 +00 +2d +00 +76 +00 +2f +00 +77 +00 +4f +00 +d8 +10 +a4 +00 +17 +10 +2d +00 +84 +00 +2b +00 +6b +00 +2e +00 +62 +00 +29 +00 +6a +00 +2a +00 +77 +00 +29 +00 +66 +00 +39 +00 +57 +10 +7f +10 +1c +30 +5f +00 +b5 +00 +2d +00 +79 +00 +29 +00 +9e +00 +9f +00 +6f +20 +aa +00 +fa +00 +27 +00 +6f +00 +21 +00 +64 +00 +29 +00 +67 +00 +23 +00 +6f +00 +21 +00 +6b +00 +32 +00 +9b +00 +31 +00 +77 +00 +24 +00 +59 +00 +1f +00 +5f +00 +27 +00 +5d +00 +25 +00 +6f +00 +26 +00 +66 +00 +28 +00 +64 +00 +26 +00 +5c +00 +19 +00 +66 +00 +30 +00 +7e +00 +26 +00 +56 +00 +1c +00 +46 +00 +18 +00 +43 +00 +20 +00 +53 +00 +24 +00 +4d +00 +1f +00 +5d +00 +25 +00 +5f +00 +14 +00 +53 +00 +2b +00 +75 +00 +3c +00 +af +00 +30 +00 +70 +00 +26 +00 +51 +00 +20 +00 +4d +00 +1a +00 +51 +00 +20 +00 +5b +00 +1d +00 +55 +00 +20 +00 +59 +00 +27 +00 +66 +00 +1a +00 +77 +00 +2e +00 +63 +00 +1d +00 +51 +00 +22 +00 +5c +00 +1c +00 +50 +00 +20 +00 +52 +00 +1e +00 +52 +00 +1f +00 +52 +00 +1d +00 +5c +00 +26 +00 +64 +00 +25 +00 +5f +00 +24 +00 +60 +00 +22 +00 +5f +00 +20 +00 +7e +00 +2a +00 +77 +00 +33 +00 +72 +00 +37 +00 +f0 +00 +78 +10 +a4 +40 +e9 +00 +0d +20 +bf +00 +6d +10 +79 +00 +85 +10 +c9 +00 +fe +10 +c2 +00 +a8 +10 +5c +00 +f3 +00 +3e +00 +8f +10 +e5 +00 +3c +30 +ed +10 +94 +40 +a8 +00 +14 +10 +35 +00 +8d +00 +27 +00 +88 +00 +35 +00 +8e +00 +9d +00 +7a +30 +f6 +00 +dd +10 +68 +00 +93 +10 +14 +10 +b3 +10 +56 +00 +d0 +00 +39 +00 +c1 +00 +f9 +00 +b1 +20 +4f +00 +ca +00 +6d +00 +d0 +20 +73 +10 +5f +30 +da +00 +f5 +10 +6e +00 +11 +10 +45 +00 +e1 +00 +47 +00 +de +00 +5d +00 +cf +00 +3c +00 +9d +00 +4a +00 +d7 +00 +45 +00 +d3 +00 +63 +00 +4e +10 +c0 +00 +db +20 +96 +10 +8f +70 +6e +30 +56 +80 +bc +10 +98 +30 +2c +20 +9c +70 +f0 +20 +91 +60 +74 +20 +67 +70 +03 +30 +d1 +80 +89 +30 +49 +a0 +a2 +30 +aa +a0 +c8 +30 +e0 +a0 +04 +40 +37 +b0 +e7 +30 +49 +b0 +fc +30 +d9 +a0 +b5 +30 +d7 +80 +55 +10 +2b +30 +57 +10 +dc +60 +67 +30 +97 +a0 +bf +30 +e8 +a0 +df +30 +e2 +a0 +d1 +30 +05 +b0 +e9 +30 +af +a0 +c5 +20 +a5 +50 +3f +10 +44 +30 +c5 +00 +02 +20 +a3 +00 +ff +10 +af +00 +a0 +10 +6e +00 +18 +10 +51 +00 +c4 +00 +45 +00 +a1 +00 +3e +00 +94 +00 +3b +00 +82 +00 +3d +00 +8c +00 +33 +00 +73 +00 +33 +00 +87 +00 +2f +00 +84 +00 +30 +00 +a1 +00 +f1 +00 +9a +30 +8b +00 +e5 +00 +34 +00 +7b +00 +2d +00 +7a +00 +2c +00 +73 +00 +2a +00 +7d +00 +2a +00 +8d +00 +78 +00 +ee +10 +4b +00 +a4 +00 +2d +00 +71 +00 +36 +00 +02 +10 +6e +10 +5a +40 +1d +20 +08 +50 +ef +00 +95 +10 +39 +00 +a0 +00 +24 +00 +82 +00 +5b +00 +da +10 +39 +00 +b8 +00 +f4 +00 +5e +20 +67 +00 +03 +10 +e6 +00 +20 +50 +3a +10 +d2 +20 +b2 +00 +9d +10 +55 +00 +d4 +00 +2d +00 +8f +00 +2f +00 +83 +00 +3e +00 +94 +00 +3b +00 +7d +00 +29 +00 +6a +00 +2e +00 +7a +00 +27 +00 +69 +00 +21 +00 +54 +00 +23 +00 +47 +00 +1d +00 +3a +00 +2c +00 +18 +10 +42 +00 +84 +00 +1e +00 +3d +00 +1a +00 +3c +00 +15 +00 +40 +00 +1a +00 +42 +00 +15 +00 +40 +00 +2f +00 +d7 +00 +48 +10 +ea +20 +7e +10 +51 +30 +66 +00 +1c +10 +7f +00 +14 +10 +30 +00 +70 +00 +25 +00 +54 +00 +1d +00 +5f +00 +22 +00 +65 +00 +21 +00 +64 +00 +21 +00 +68 +00 +22 +00 +60 +00 +2f +00 +53 +00 +1c +00 +5a +00 +26 +00 +5d +00 +1f +00 +50 +00 +24 +00 +50 +00 +1e +00 +54 +00 +2c +00 +5b +00 +1c +00 +40 +00 +26 +00 +5f +00 +2d +00 +66 +00 +29 +00 +e2 +00 +c8 +00 +87 +10 +71 +00 +f3 +00 +2a +00 +64 +00 +2b +00 +64 +00 +2c +00 +64 +00 +2d +00 +75 +00 +4a +00 +4c +10 +34 +00 +90 +00 +36 +00 +79 +00 +34 +00 +a1 +00 +37 +00 +af +00 +54 +00 +b7 +00 +44 +00 +93 +10 +f7 +10 +bf +50 +af +00 +32 +10 +51 +00 +68 +10 +69 +00 +db +00 +92 +00 +28 +40 +84 +10 +8c +20 +06 +10 +41 +10 +3c +00 +9f +00 +39 +00 +85 +00 +2f +00 +a0 +00 +44 +00 +c2 +00 +33 +00 +88 +00 +26 +00 +5e +00 +1f +00 +54 +00 +26 +00 +57 +00 +23 +00 +65 +00 +2b +00 +6a +00 +20 +00 +6a +00 +25 +00 +4e +00 +00 +00 +00 +00 +96 +00 +66 +00 +92 +00 +66 +00 +a2 +00 +74 +00 +a1 +00 +6a +00 +99 +00 +6f +00 +a6 +00 +70 +00 +ab +00 +75 +00 +aa +00 +a8 +00 +26 +10 +4f +10 +38 +10 +b0 +00 +a8 +00 +87 +00 +8e +00 +55 +00 +77 +00 +4e +00 +6b +00 +6a +00 +80 +00 +65 +00 +7e +00 +57 +00 +6a +00 +68 +00 +88 +00 +59 +00 +70 +00 +53 +00 +6f +00 +50 +00 +8a +00 +4d +00 +86 +00 +4f +00 +80 +00 +8e +00 +e2 +00 +4d +20 +0c +40 +7a +10 +f7 +00 +72 +00 +8a +00 +52 +00 +73 +00 +41 +00 +72 +00 +55 +00 +73 +00 +6d +00 +7c +00 +62 +00 +7e +00 +be +00 +bc +10 +ad +10 +e4 +10 +15 +10 +d3 +00 +8b +00 +7e +00 +75 +00 +d5 +00 +8b +00 +ff +00 +7a +00 +7e +00 +46 +00 +68 +00 +3d +00 +5e +00 +49 +00 +6b +00 +42 +00 +67 +00 +59 +00 +ac +00 +dd +00 +46 +10 +63 +00 +68 +00 +38 +00 +66 +00 +35 +00 +53 +00 +54 +00 +ea +00 +73 +00 +80 +00 +54 +00 +c8 +00 +40 +00 +62 +00 +34 +00 +5f +00 +2f +00 +60 +00 +31 +00 +56 +00 +2a +00 +4d +00 +3c +00 +4a +00 +2c +00 +54 +00 +33 +00 +4c +00 +3b +00 +5f +00 +a6 +00 +82 +00 +6a +00 +6a +00 +37 +00 +4c +00 +51 +00 +7b +00 +85 +00 +a8 +00 +59 +00 +72 +00 +34 +00 +56 +00 +36 +00 +62 +00 +32 +00 +5d +00 +2d +00 +57 +00 +2b +00 +59 +00 +36 +00 +58 +00 +30 +00 +55 +00 +35 +00 +5a +00 +2f +00 +47 +00 +30 +00 +55 +00 +2a +00 +57 +00 +2b +00 +56 +00 +33 +00 +57 +00 +2e +00 +4e +00 +38 +00 +4c +00 +3e +00 +8a +00 +5a +00 +67 +00 +3d +00 +5f +00 +38 +00 +61 +00 +47 +00 +6b +00 +53 +00 +6e +00 +4e +00 +7f +00 +57 +00 +72 +00 +26 +10 +40 +30 +13 +30 +2f +60 +71 +20 +06 +20 +23 +10 +64 +10 +14 +10 +df +20 +78 +10 +88 +10 +d8 +00 +05 +10 +95 +00 +b2 +00 +9a +00 +4c +10 +01 +10 +c2 +20 +bb +10 +71 +20 +dd +00 +b0 +00 +53 +00 +6f +00 +5b +00 +8c +00 +73 +00 +d4 +00 +e0 +10 +54 +40 +c0 +10 +25 +20 +04 +10 +5e +10 +55 +10 +73 +20 +1d +10 +f4 +00 +e9 +00 +5a +20 +94 +10 +ae +10 +f8 +00 +f5 +00 +56 +10 +c8 +20 +3e +10 +c7 +10 +00 +10 +08 +10 +a1 +00 +b8 +00 +88 +00 +c9 +00 +e7 +00 +bc +20 +cc +00 +c9 +00 +a5 +00 +6a +10 +97 +10 +54 +10 +d6 +00 +f1 +00 +9b +10 +cc +40 +94 +30 +65 +60 +38 +40 +77 +70 +ca +30 +3a +50 +1f +30 +31 +40 +d3 +20 +6b +60 +07 +30 +89 +50 +24 +40 +31 +80 +bd +50 +ea +90 +df +60 +7f +a0 +6c +70 +cb +a0 +6c +70 +f2 +a0 +9a +70 +34 +b0 +5c +70 +04 +b0 +3c +70 +71 +a0 +2d +60 +15 +60 +cf +30 +89 +40 +bb +50 +83 +90 +c2 +60 +be +a0 +90 +70 +b0 +a0 +3c +70 +15 +b0 +58 +70 +0c +b0 +b7 +60 +0b +70 +db +30 +72 +30 +3f +20 +12 +20 +58 +10 +56 +10 +f2 +00 +54 +10 +d1 +00 +65 +10 +c0 +00 +f9 +00 +78 +00 +c8 +00 +6d +00 +9f +00 +4f +00 +98 +00 +4e +00 +85 +00 +4e +00 +84 +00 +54 +00 +6e +00 +47 +00 +6f +00 +52 +00 +7e +00 +a3 +00 +23 +20 +de +10 +68 +20 +bc +00 +af +00 +64 +00 +78 +00 +47 +00 +76 +00 +4a +00 +5e +00 +52 +00 +79 +00 +99 +00 +a4 +10 +ad +00 +f8 +00 +6b +00 +89 +00 +4d +00 +7b +00 +ca +00 +32 +30 +1d +30 +36 +70 +67 +20 +c8 +20 +37 +10 +0f +10 +8f +00 +76 +00 +72 +00 +83 +00 +72 +00 +d1 +00 +e6 +00 +62 +30 +59 +10 +52 +10 +44 +10 +67 +10 +a3 +10 +9b +20 +df +10 +c0 +10 +2d +10 +ef +00 +86 +00 +96 +00 +4a +00 +6e +00 +40 +00 +8a +00 +3c +00 +8a +00 +35 +00 +6e +00 +33 +00 +67 +00 +37 +00 +7e +00 +31 +00 +5b +00 +2e +00 +47 +00 +33 +00 +58 +00 +38 +00 +55 +00 +4b +00 +79 +00 +3d +00 +58 +00 +32 +00 +3f +00 +2e +00 +39 +00 +2a +00 +3f +00 +24 +00 +37 +00 +40 +00 +67 +00 +d5 +00 +90 +30 +6f +10 +0e +30 +2d +10 +b8 +10 +99 +20 +55 +50 +63 +20 +73 +10 +ac +00 +65 +00 +3b +00 +54 +00 +39 +00 +57 +00 +4d +00 +73 +00 +5d +00 +74 +00 +53 +00 +71 +00 +3b +00 +62 +00 +3d +00 +67 +00 +2e +00 +5d +00 +33 +00 +59 +00 +2a +00 +57 +00 +22 +00 +57 +00 +26 +00 +4f +00 +33 +00 +63 +00 +35 +00 +50 +00 +33 +00 +65 +00 +3f +00 +75 +00 +52 +00 +a6 +00 +68 +00 +cb +00 +60 +00 +7a +00 +40 +00 +64 +00 +3d +00 +73 +00 +59 +00 +75 +00 +5c +00 +97 +00 +53 +00 +c8 +00 +5c +00 +93 +00 +56 +00 +8b +00 +66 +00 +a5 +00 +96 +00 +0c +20 +06 +10 +09 +10 +79 +10 +79 +50 +2b +30 +45 +40 +42 +10 +f8 +00 +df +10 +8f +30 +aa +10 +98 +10 +20 +30 +32 +60 +5f +20 +a1 +10 +01 +10 +d0 +00 +77 +00 +98 +00 +57 +00 +87 +00 +54 +00 +9a +00 +53 +00 +82 +00 +55 +00 +6c +00 +3a +00 +58 +00 +37 +00 +58 +00 +36 +00 +5b +00 +37 +00 +5b +00 +34 +00 +62 +00 +46 +00 +71 +00 +31 +00 +00 +00 +00 +00 +44 +00 +a0 +00 +41 +00 +a9 +00 +3c +00 +af +00 +3d +00 +a3 +00 +34 +00 +b3 +00 +39 +00 +a7 +00 +3f +00 +af +00 +45 +00 +be +00 +a9 +00 +ef +20 +12 +10 +56 +20 +59 +00 +d7 +00 +36 +00 +98 +00 +36 +00 +7f +00 +29 +00 +79 +00 +2c +00 +81 +00 +2e +00 +78 +00 +38 +00 +eb +00 +43 +00 +88 +00 +2a +00 +78 +00 +2e +00 +84 +00 +2f +00 +87 +00 +2f +00 +8a +00 +2c +00 +a1 +00 +af +00 +11 +40 +f7 +10 +41 +40 +6c +00 +b5 +00 +37 +00 +81 +00 +26 +00 +79 +00 +2b +00 +81 +00 +34 +00 +ab +00 +31 +00 +7c +00 +35 +00 +ca +00 +a8 +00 +be +20 +34 +10 +d4 +30 +7b +00 +cb +00 +29 +00 +8c +00 +40 +00 +e0 +00 +3c +00 +92 +00 +25 +00 +60 +00 +2a +00 +69 +00 +21 +00 +79 +00 +25 +00 +65 +00 +27 +00 +6a +00 +95 +00 +29 +20 +53 +00 +a9 +00 +22 +00 +62 +00 +21 +00 +65 +00 +1b +00 +78 +00 +5d +00 +fb +00 +31 +00 +86 +00 +37 +00 +82 +00 +27 +00 +64 +00 +1e +00 +55 +00 +19 +00 +4f +00 +21 +00 +56 +00 +20 +00 +4b +00 +20 +00 +4f +00 +1b +00 +52 +00 +25 +00 +5a +00 +63 +00 +86 +20 +72 +00 +a0 +00 +22 +00 +54 +00 +1c +00 +68 +00 +42 +00 +ea +00 +47 +00 +8f +00 +24 +00 +53 +00 +23 +00 +6c +00 +1f +00 +62 +00 +21 +00 +5b +00 +16 +00 +57 +00 +24 +00 +58 +00 +1d +00 +5d +00 +1e +00 +5a +00 +26 +00 +55 +00 +1a +00 +60 +00 +1f +00 +59 +00 +22 +00 +56 +00 +1e +00 +58 +00 +22 +00 +4d +00 +20 +00 +53 +00 +27 +00 +58 +00 +30 +00 +b8 +00 +2e +00 +6e +00 +29 +00 +64 +00 +1e +00 +54 +00 +28 +00 +7c +00 +27 +00 +7f +00 +29 +00 +74 +00 +36 +00 +59 +10 +d4 +10 +6b +50 +cf +10 +a2 +40 +b4 +00 +1b +10 +84 +00 +60 +10 +73 +00 +57 +10 +5f +00 +e9 +00 +40 +00 +a3 +00 +43 +00 +b2 +00 +56 +00 +39 +10 +bd +00 +29 +20 +8f +00 +21 +10 +2e +00 +86 +00 +3e +00 +88 +00 +2e +00 +91 +00 +47 +00 +26 +20 +ea +10 +b2 +40 +b0 +00 +59 +10 +6d +00 +6e +10 +a2 +10 +e4 +30 +89 +00 +0d +10 +c3 +00 +1b +20 +a2 +00 +6d +10 +3f +00 +51 +10 +94 +00 +b9 +10 +67 +00 +05 +10 +44 +00 +bd +00 +3d +00 +b2 +00 +50 +00 +f1 +00 +87 +00 +31 +10 +41 +00 +df +00 +03 +10 +1e +40 +dd +00 +63 +10 +55 +00 +a6 +10 +c5 +10 +7b +40 +73 +10 +25 +40 +e4 +10 +62 +50 +fb +10 +0e +40 +2c +10 +af +30 +20 +10 +21 +30 +15 +10 +54 +30 +33 +20 +69 +80 +a7 +30 +42 +a0 +c8 +30 +9b +a0 +2f +40 +17 +b0 +08 +40 +db +a0 +e2 +30 +ee +a0 +de +30 +8d +a0 +e0 +30 +00 +a0 +1b +30 +f6 +50 +92 +20 +8a +80 +b8 +30 +4d +a0 +df +30 +a8 +a0 +b6 +30 +c7 +90 +c1 +30 +ec +a0 +d5 +30 +2b +a0 +c7 +20 +39 +60 +89 +10 +c0 +20 +89 +00 +37 +10 +64 +00 +29 +10 +60 +00 +13 +10 +61 +00 +08 +10 +68 +00 +fb +00 +45 +00 +a6 +00 +3e +00 +a4 +00 +35 +00 +76 +00 +3b +00 +8c +00 +2f +00 +81 +00 +33 +00 +7d +00 +27 +00 +7a +00 +35 +00 +ab +00 +f1 +00 +64 +30 +d4 +00 +4c +10 +36 +00 +88 +00 +25 +00 +68 +00 +30 +00 +64 +00 +34 +00 +98 +00 +95 +00 +94 +10 +61 +00 +f4 +00 +47 +00 +ab +00 +23 +00 +79 +00 +2e +00 +bc +00 +b3 +00 +33 +40 +f3 +10 +a8 +30 +00 +10 +ab +10 +4d +00 +97 +00 +29 +00 +71 +00 +28 +00 +7e +00 +2e +00 +dc +00 +b7 +00 +51 +20 +24 +10 +23 +20 +78 +00 +8f +10 +60 +10 +18 +40 +79 +00 +f9 +00 +51 +00 +ae +00 +31 +00 +66 +00 +23 +00 +6c +00 +2f +00 +7b +00 +37 +00 +74 +00 +22 +00 +4b +00 +2b +00 +70 +00 +2f +00 +6f +00 +22 +00 +52 +00 +20 +00 +4d +00 +21 +00 +4d +00 +1f +00 +5a +00 +22 +00 +52 +00 +1c +00 +3d +00 +15 +00 +40 +00 +13 +00 +38 +00 +14 +00 +3b +00 +1b +00 +41 +00 +20 +00 +d1 +00 +c1 +00 +b0 +10 +91 +00 +79 +10 +a5 +00 +f9 +30 +df +20 +ee +50 +94 +00 +ea +00 +22 +00 +5b +00 +1f +00 +5c +00 +1e +00 +7c +00 +63 +00 +16 +10 +36 +00 +7f +00 +2b +00 +63 +00 +2e +00 +71 +00 +23 +00 +5a +00 +20 +00 +5d +00 +21 +00 +4b +00 +18 +00 +56 +00 +1d +00 +57 +00 +27 +00 +63 +00 +21 +00 +5a +00 +1e +00 +5d +00 +27 +00 +6b +00 +2a +00 +78 +00 +27 +00 +9d +00 +2f +00 +84 +00 +2a +00 +71 +00 +26 +00 +67 +00 +39 +00 +bb +00 +43 +00 +93 +00 +40 +00 +af +00 +34 +00 +ac +00 +41 +00 +8a +00 +3a +00 +f4 +00 +68 +00 +ea +00 +9e +00 +d5 +10 +09 +10 +e7 +10 +02 +10 +8a +40 +40 +10 +db +10 +6e +00 +56 +30 +81 +20 +38 +40 +f3 +00 +48 +50 +12 +30 +d5 +50 +9b +00 +2d +10 +52 +00 +b6 +00 +38 +00 +99 +00 +33 +00 +89 +00 +33 +00 +7a +00 +2b +00 +87 +00 +2a +00 +5f +00 +22 +00 +5a +00 +24 +00 +51 +00 +20 +00 +6f +00 +29 +00 +62 +00 +35 +00 +bd +00 +38 +00 +58 +00 +00 +00 +00 +00 +97 +00 +6c +00 +a1 +00 +6b +00 +a4 +00 +78 +00 +a2 +00 +67 +00 +ac +00 +71 +00 +aa +00 +68 +00 +bd +00 +68 +00 +ae +00 +9e +00 +5f +10 +0f +10 +6e +20 +42 +20 +3a +30 +56 +10 +f9 +00 +88 +00 +b3 +00 +8b +00 +9b +00 +65 +00 +95 +00 +53 +00 +74 +00 +7f +00 +99 +00 +b9 +00 +61 +10 +93 +00 +95 +00 +9a +00 +a4 +00 +83 +00 +87 +00 +5a +00 +73 +00 +51 +00 +7f +00 +ea +00 +24 +20 +08 +30 +55 +60 +c2 +20 +85 +20 +bd +00 +af +00 +64 +00 +88 +00 +6a +00 +92 +00 +14 +10 +42 +10 +d5 +00 +b1 +00 +73 +00 +82 +00 +9b +00 +18 +10 +1d +10 +7f +30 +9f +20 +3f +30 +f2 +00 +9f +00 +7f +00 +ad +00 +8f +00 +ac +00 +5d +00 +68 +00 +47 +00 +70 +00 +36 +00 +6a +00 +3d +00 +62 +00 +40 +00 +6c +00 +55 +00 +eb +00 +88 +00 +1b +10 +5d +00 +6a +00 +40 +00 +64 +00 +37 +00 +67 +00 +9a +00 +46 +20 +a0 +00 +95 +00 +45 +00 +78 +00 +3b +00 +6e +00 +3f +00 +56 +00 +2c +00 +51 +00 +38 +00 +59 +00 +35 +00 +57 +00 +28 +00 +52 +00 +22 +00 +48 +00 +24 +00 +54 +00 +6d +00 +6c +10 +d0 +10 +aa +20 +b6 +00 +6b +00 +41 +00 +5d +00 +a7 +00 +61 +10 +95 +00 +9c +00 +4e +00 +5a +00 +33 +00 +5e +00 +33 +00 +60 +00 +43 +00 +62 +00 +34 +00 +57 +00 +2e +00 +58 +00 +3c +00 +56 +00 +38 +00 +69 +00 +30 +00 +50 +00 +2e +00 +4b +00 +29 +00 +6b +00 +35 +00 +5f +00 +3c +00 +61 +00 +2e +00 +47 +00 +35 +00 +49 +00 +37 +00 +56 +00 +37 +00 +69 +00 +39 +00 +7b +00 +45 +00 +60 +00 +39 +00 +53 +00 +4b +00 +a2 +00 +6a +00 +75 +00 +59 +00 +7a +00 +4d +00 +84 +00 +cf +00 +15 +20 +7b +10 +73 +30 +a7 +10 +0a +20 +fd +00 +e2 +00 +a3 +00 +f5 +00 +92 +00 +c7 +00 +9d +00 +b1 +00 +82 +00 +91 +00 +7d +00 +d5 +00 +b6 +00 +2d +10 +b4 +00 +29 +10 +9e +00 +a3 +00 +8c +00 +e8 +00 +be +00 +b0 +00 +bc +00 +de +00 +34 +20 +fc +30 +2c +20 +d6 +20 +60 +10 +3a +10 +35 +10 +89 +30 +f9 +20 +0d +40 +4e +10 +46 +10 +36 +10 +80 +20 +17 +20 +48 +20 +0a +10 +22 +10 +cb +00 +2f +10 +c4 +00 +c8 +00 +a1 +00 +ae +00 +bd +00 +bd +00 +06 +10 +17 +10 +ef +00 +eb +00 +0a +10 +06 +30 +41 +20 +b1 +20 +24 +10 +10 +10 +18 +10 +d8 +10 +62 +10 +44 +20 +f6 +10 +9d +20 +93 +20 +62 +40 +11 +20 +58 +20 +b2 +10 +52 +20 +be +10 +3a +20 +1f +20 +e8 +20 +5e +30 +87 +80 +5b +60 +8c +a0 +53 +70 +57 +b0 +23 +70 +27 +b0 +1b +70 +10 +b0 +73 +60 +d6 +90 +ca +60 +a0 +a0 +b5 +60 +f3 +90 +23 +60 +64 +80 +39 +60 +e8 +90 +b0 +60 +ac +a0 +c3 +60 +64 +a0 +7d +60 +f5 +90 +c5 +60 +96 +a0 +d6 +50 +3b +80 +73 +30 +12 +40 +fe +10 +d0 +10 +27 +10 +30 +10 +c5 +00 +fb +00 +9e +00 +ee +00 +85 +00 +d0 +00 +79 +00 +c2 +00 +66 +00 +95 +00 +5b +00 +96 +00 +60 +00 +8c +00 +6a +00 +8f +00 +66 +00 +8e +00 +4c +00 +8c +00 +46 +00 +7d +00 +87 +00 +f5 +00 +b1 +00 +8e +10 +af +00 +f9 +00 +69 +00 +6d +00 +37 +00 +68 +00 +3a +00 +6f +00 +84 +00 +53 +10 +96 +00 +d2 +00 +6e +00 +9f +00 +52 +00 +7c +00 +51 +00 +67 +00 +86 +00 +ea +00 +fd +00 +0c +20 +4c +10 +50 +20 +3b +10 +55 +10 +c0 +00 +83 +00 +9c +00 +8a +00 +81 +00 +80 +00 +80 +00 +c1 +00 +c8 +00 +f1 +20 +ee +10 +2b +30 +5e +10 +ed +20 +9d +10 +cc +10 +23 +10 +96 +10 +98 +00 +77 +00 +41 +00 +64 +00 +37 +00 +6b +00 +35 +00 +6c +00 +30 +00 +53 +00 +33 +00 +5d +00 +43 +00 +d1 +00 +4d +00 +86 +00 +83 +00 +86 +00 +43 +00 +47 +00 +36 +00 +54 +00 +32 +00 +51 +00 +36 +00 +46 +00 +26 +00 +42 +00 +27 +00 +36 +00 +22 +00 +37 +00 +21 +00 +3d +00 +40 +00 +70 +00 +9e +00 +e0 +00 +99 +00 +19 +10 +c8 +00 +62 +10 +aa +10 +37 +60 +6d +20 +8b +20 +d1 +00 +7d +00 +45 +00 +6c +00 +4d +00 +5d +00 +b2 +00 +49 +20 +4a +10 +f1 +00 +67 +00 +71 +00 +45 +00 +69 +00 +3f +00 +52 +00 +2f +00 +5e +00 +38 +00 +57 +00 +33 +00 +50 +00 +36 +00 +59 +00 +2c +00 +50 +00 +31 +00 +57 +00 +46 +00 +6f +00 +7c +00 +81 +00 +7d +00 +69 +00 +50 +00 +76 +00 +59 +00 +8d +00 +51 +00 +74 +00 +4a +00 +6a +00 +53 +00 +d7 +00 +27 +10 +83 +10 +83 +00 +9c +00 +66 +00 +99 +00 +7c +00 +b7 +00 +87 +00 +9d +00 +ba +00 +12 +20 +c0 +00 +04 +10 +30 +10 +e6 +30 +20 +20 +09 +20 +87 +10 +25 +20 +de +10 +fe +10 +d1 +30 +e1 +70 +01 +50 +c0 +60 +b5 +40 +a7 +80 +5a +40 +9e +30 +9d +10 +e7 +00 +83 +00 +8f +00 +59 +00 +82 +00 +52 +00 +79 +00 +47 +00 +6d +00 +3c +00 +80 +00 +48 +00 +7f +00 +64 +00 +a8 +00 +4d +00 +55 +00 +3e +00 +5e +00 +37 +00 +57 +00 +2c +00 +6d +00 +2f +00 +00 +00 +00 +00 +32 +00 +ab +00 +43 +00 +9f +00 +38 +00 +ac +00 +3d +00 +97 +00 +34 +00 +9f +00 +38 +00 +af +00 +40 +00 +aa +00 +41 +00 +d2 +00 +57 +00 +16 +10 +ba +00 +14 +40 +de +10 +49 +30 +72 +00 +cd +00 +62 +00 +b6 +10 +51 +00 +a7 +00 +2e +00 +94 +00 +32 +00 +9e +00 +5a +00 +bb +10 +bf +00 +4c +10 +64 +00 +57 +10 +55 +00 +c9 +00 +2f +00 +8a +00 +25 +00 +8f +00 +49 +00 +e5 +00 +39 +10 +41 +50 +fc +10 +90 +40 +b5 +00 +13 +10 +2e +00 +9c +00 +2e +00 +94 +00 +48 +00 +b7 +10 +64 +10 +f4 +20 +5a +00 +a0 +00 +2f +00 +af +00 +47 +00 +12 +10 +13 +10 +89 +40 +f4 +00 +7a +10 +3b +00 +9a +00 +51 +00 +24 +10 +39 +00 +87 +00 +27 +00 +6c +00 +22 +00 +5e +00 +27 +00 +62 +00 +27 +00 +78 +00 +2d +00 +6f +00 +39 +00 +a3 +00 +3c +00 +7b +00 +29 +00 +50 +00 +24 +00 +5e +00 +22 +00 +96 +00 +8a +00 +4c +10 +39 +00 +77 +00 +25 +00 +67 +00 +29 +00 +58 +00 +1c +00 +4e +00 +1f +00 +5a +00 +22 +00 +60 +00 +1a +00 +51 +00 +17 +00 +45 +00 +1d +00 +58 +00 +1b +00 +62 +00 +9e +00 +9b +20 +8f +00 +18 +10 +23 +00 +64 +00 +42 +00 +72 +10 +ac +00 +6a +10 +37 +00 +84 +00 +24 +00 +57 +00 +23 +00 +5b +00 +1e +00 +85 +00 +28 +00 +6a +00 +21 +00 +66 +00 +1f +00 +6a +00 +22 +00 +60 +00 +26 +00 +57 +00 +1c +00 +59 +00 +1c +00 +57 +00 +24 +00 +5f +00 +1e +00 +5a +00 +20 +00 +60 +00 +24 +00 +5d +00 +24 +00 +4b +00 +1a +00 +5a +00 +27 +00 +71 +00 +2f +00 +77 +00 +28 +00 +59 +00 +25 +00 +8f +00 +47 +00 +95 +00 +2d +00 +8b +00 +27 +00 +80 +00 +34 +00 +96 +00 +58 +00 +78 +10 +0e +10 +5d +20 +76 +00 +3c +10 +4f +00 +b3 +00 +3b +00 +b3 +00 +40 +00 +b1 +00 +3e +00 +a4 +00 +31 +00 +9e +00 +4a +00 +cc +00 +4a +00 +16 +10 +3e +00 +a8 +00 +38 +00 +ab +00 +c1 +00 +6d +20 +5f +00 +db +00 +5d +00 +c1 +20 +18 +20 +41 +50 +cd +00 +d6 +10 +57 +00 +27 +10 +32 +10 +e2 +40 +9d +10 +81 +20 +6e +00 +f7 +10 +6d +10 +3a +40 +e4 +00 +9e +10 +4c +00 +e7 +00 +51 +00 +cd +00 +42 +00 +d2 +00 +57 +00 +f9 +00 +7f +00 +8f +10 +12 +10 +c0 +20 +6d +00 +2e +10 +5d +10 +2e +50 +0b +10 +cb +10 +61 +00 +06 +10 +69 +00 +83 +10 +91 +00 +da +10 +a4 +00 +92 +20 +dd +00 +23 +20 +88 +00 +bd +10 +89 +00 +b5 +10 +89 +00 +bf +10 +c3 +00 +00 +30 +1c +20 +f0 +70 +95 +30 +60 +a0 +e6 +30 +cd +a0 +e2 +30 +8b +a0 +4b +30 +87 +70 +5c +20 +27 +90 +c7 +30 +b8 +a0 +b2 +30 +83 +90 +71 +30 +dd +90 +a8 +30 +41 +a0 +94 +30 +60 +90 +31 +30 +84 +90 +97 +30 +0e +a0 +58 +30 +24 +80 +9f +10 +9b +30 +e1 +00 +d9 +20 +08 +10 +9e +20 +75 +00 +0d +10 +52 +00 +e9 +00 +5a +00 +f0 +00 +4e +00 +c3 +00 +45 +00 +a8 +00 +42 +00 +8d +00 +3c +00 +a1 +00 +76 +00 +e7 +00 +44 +00 +9f +00 +3e +00 +79 +00 +3b +00 +7f +00 +36 +00 +87 +00 +43 +00 +ed +00 +55 +00 +e6 +00 +65 +00 +bd +00 +29 +00 +64 +00 +2c +00 +63 +00 +30 +00 +88 +00 +6f +00 +be +00 +3c +00 +8d +00 +30 +00 +92 +00 +28 +00 +76 +00 +2d +00 +7f +00 +35 +00 +0c +10 +69 +00 +7a +10 +75 +00 +15 +20 +82 +00 +33 +10 +13 +10 +da +10 +46 +00 +a2 +00 +2c +00 +9a +00 +3a +00 +da +00 +6e +00 +99 +10 +c1 +00 +85 +10 +7b +00 +6e +10 +82 +00 +0d +20 +ec +00 +07 +10 +35 +00 +6f +00 +24 +00 +5d +00 +2d +00 +6a +00 +25 +00 +5e +00 +20 +00 +55 +00 +1f +00 +65 +00 +69 +00 +c0 +00 +55 +00 +bc +10 +7b +00 +98 +00 +1e +00 +50 +00 +21 +00 +52 +00 +1a +00 +3f +00 +17 +00 +35 +00 +16 +00 +30 +00 +17 +00 +3b +00 +1b +00 +3d +00 +1d +00 +62 +00 +39 +00 +4e +10 +6b +00 +c5 +00 +34 +00 +c2 +00 +48 +00 +a1 +10 +52 +10 +5d +30 +ae +00 +36 +10 +2b +00 +60 +00 +20 +00 +6e +00 +3c +00 +32 +10 +17 +10 +96 +20 +49 +00 +ab +00 +2c +00 +66 +00 +21 +00 +69 +00 +20 +00 +5d +00 +20 +00 +4b +00 +2b +00 +5d +00 +22 +00 +63 +00 +2b +00 +58 +00 +24 +00 +53 +00 +26 +00 +66 +00 +7f +00 +88 +20 +83 +00 +f4 +00 +33 +00 +7d +00 +2e +00 +80 +00 +2b +00 +69 +00 +27 +00 +74 +00 +2e +00 +80 +00 +4d +00 +59 +10 +7d +00 +fb +00 +42 +00 +ad +00 +3c +00 +e1 +00 +53 +00 +dc +00 +54 +00 +f6 +00 +6e +00 +10 +10 +65 +00 +55 +10 +b2 +10 +9c +50 +d4 +00 +1b +20 +af +00 +a7 +20 +50 +20 +f5 +70 +a0 +30 +9d +90 +25 +30 +ea +70 +9e +30 +f9 +80 +6f +10 +5a +20 +5a +00 +b6 +00 +35 +00 +92 +00 +33 +00 +7f +00 +35 +00 +8a +00 +25 +00 +78 +00 +29 +00 +86 +00 +48 +00 +e7 +00 +3a +00 +78 +00 +2a +00 +65 +00 +28 +00 +5f +00 +26 +00 +5d +00 +22 +00 +47 +00 +00 +00 +00 +00 +9f +00 +66 +00 +a4 +00 +6d +00 +a6 +00 +67 +00 +97 +00 +60 +00 +a5 +00 +69 +00 +af +00 +69 +00 +a5 +00 +84 +00 +ac +00 +93 +00 +c8 +00 +d3 +00 +6e +10 +95 +20 +cf +50 +29 +20 +c9 +10 +c7 +00 +0d +10 +23 +10 +e8 +10 +aa +00 +93 +00 +75 +00 +bb +00 +58 +10 +be +10 +48 +10 +d5 +10 +5f +10 +69 +20 +57 +20 +1a +30 +3f +10 +b9 +00 +b0 +00 +b1 +00 +9e +00 +b8 +00 +36 +10 +f7 +10 +99 +20 +51 +50 +8a +20 +d8 +20 +17 +10 +d0 +00 +9b +00 +bd +00 +f1 +00 +2a +10 +2c +20 +24 +50 +e2 +10 +f8 +10 +93 +00 +a7 +00 +92 +00 +10 +10 +f5 +00 +13 +20 +2c +20 +3d +40 +19 +10 +b7 +00 +ad +00 +39 +10 +a5 +00 +e3 +00 +5e +00 +74 +00 +4b +00 +66 +00 +4e +00 +6d +00 +5e +00 +7a +00 +5b +00 +73 +00 +5d +00 +7e +00 +51 +00 +8d +00 +46 +00 +6c +00 +37 +00 +64 +00 +3f +00 +58 +00 +4d +00 +8d +00 +53 +00 +90 +00 +4a +00 +72 +00 +2e +00 +60 +00 +31 +00 +49 +00 +36 +00 +58 +00 +37 +00 +5d +00 +33 +00 +57 +00 +30 +00 +4a +00 +33 +00 +47 +00 +35 +00 +5f +00 +72 +00 +fc +00 +9b +00 +1a +10 +7d +00 +70 +00 +46 +00 +78 +00 +bc +00 +58 +20 +e5 +00 +de +00 +59 +00 +67 +00 +35 +00 +56 +00 +34 +00 +5e +00 +3d +00 +7d +00 +40 +00 +60 +00 +32 +00 +59 +00 +32 +00 +69 +00 +31 +00 +57 +00 +39 +00 +52 +00 +35 +00 +57 +00 +36 +00 +5c +00 +32 +00 +5a +00 +2f +00 +58 +00 +37 +00 +52 +00 +2b +00 +57 +00 +37 +00 +5e +00 +3f +00 +6a +00 +4a +00 +7b +00 +47 +00 +64 +00 +36 +00 +5c +00 +61 +00 +d3 +00 +11 +10 +11 +10 +8f +00 +91 +00 +58 +00 +78 +00 +8b +00 +d6 +00 +ea +00 +ca +20 +25 +10 +4d +10 +ac +00 +b0 +00 +7b +00 +92 +00 +67 +00 +a6 +00 +61 +00 +8b +00 +64 +00 +ae +00 +bb +00 +d8 +00 +c8 +00 +dc +00 +8f +00 +ba +00 +82 +00 +91 +00 +32 +10 +e2 +20 +37 +20 +cb +20 +bb +10 +3f +10 +94 +20 +2a +40 +38 +30 +a5 +40 +cd +10 +2d +10 +fe +00 +60 +10 +16 +10 +9b +20 +40 +10 +73 +10 +bd +10 +29 +30 +48 +10 +cd +10 +f5 +00 +fb +00 +c0 +00 +e3 +00 +49 +10 +3c +10 +0e +20 +65 +20 +d7 +20 +5e +40 +b4 +20 +92 +30 +7c +10 +27 +20 +00 +10 +a6 +10 +2d +10 +5f +20 +13 +10 +1b +10 +28 +10 +83 +10 +de +10 +b1 +10 +af +10 +a3 +10 +1f +20 +9e +20 +93 +10 +98 +10 +50 +10 +5a +10 +af +10 +93 +10 +1f +20 +37 +20 +fd +30 +ab +60 +ae +50 +29 +80 +cb +50 +83 +80 +a1 +50 +3c +90 +8c +50 +b1 +70 +c0 +40 +c4 +40 +fa +40 +2f +90 +90 +60 +69 +a0 +2c +60 +72 +90 +29 +60 +e7 +80 +8c +40 +d7 +60 +2e +40 +6a +70 +8c +50 +e3 +80 +d4 +40 +5a +60 +58 +30 +d1 +30 +27 +20 +c7 +20 +99 +20 +b7 +50 +11 +20 +60 +20 +b5 +00 +e8 +00 +92 +00 +fa +00 +c5 +00 +01 +10 +af +00 +c1 +00 +7a +00 +b4 +00 +79 +00 +9a +00 +9f +00 +91 +10 +d7 +00 +d5 +00 +65 +00 +a7 +00 +62 +00 +8b +00 +73 +00 +c4 +00 +7e +00 +ae +00 +84 +00 +c3 +00 +70 +00 +a5 +00 +53 +00 +73 +00 +37 +00 +6a +00 +3f +00 +66 +00 +44 +00 +90 +00 +71 +00 +a0 +00 +64 +00 +79 +00 +43 +00 +69 +00 +4e +00 +86 +00 +71 +00 +8f +00 +a4 +00 +f8 +00 +ba +00 +f0 +00 +95 +00 +de +00 +00 +20 +68 +50 +99 +20 +ed +10 +1b +10 +cb +00 +e8 +00 +c2 +00 +9a +00 +dc +00 +94 +00 +05 +10 +ba +00 +10 +10 +bb +00 +f2 +00 +ee +00 +0b +30 +a3 +00 +b5 +00 +53 +00 +67 +00 +37 +00 +70 +00 +39 +00 +6d +00 +36 +00 +5c +00 +3c +00 +56 +00 +34 +00 +83 +00 +47 +00 +8f +00 +8d +00 +8b +10 +7f +00 +70 +00 +34 +00 +5a +00 +2e +00 +44 +00 +27 +00 +35 +00 +26 +00 +42 +00 +21 +00 +3c +00 +2d +00 +44 +00 +3d +00 +a7 +00 +74 +00 +9d +00 +d4 +00 +5b +10 +93 +00 +96 +00 +93 +00 +a8 +00 +dd +00 +76 +10 +c8 +00 +5e +10 +bc +00 +8d +00 +4c +00 +5e +00 +67 +00 +3f +10 +5e +10 +d0 +20 +fe +00 +27 +10 +87 +00 +95 +00 +42 +00 +5e +00 +42 +00 +64 +00 +33 +00 +55 +00 +33 +00 +61 +00 +3d +00 +72 +00 +46 +00 +9c +00 +41 +00 +66 +00 +3a +00 +60 +00 +9a +00 +b1 +10 +f3 +10 +a3 +40 +2b +10 +22 +10 +87 +00 +01 +10 +4b +00 +7d +00 +3c +00 +76 +00 +4a +00 +6e +00 +57 +00 +b1 +00 +72 +00 +e6 +00 +71 +00 +c6 +00 +91 +00 +75 +10 +2d +20 +95 +30 +23 +20 +7a +10 +ad +10 +c8 +10 +13 +30 +03 +30 +f2 +20 +f0 +30 +ab +40 +16 +70 +1d +40 +b3 +30 +bf +40 +1f +80 +06 +60 +23 +a0 +51 +60 +5c +90 +a4 +50 +87 +90 +22 +40 +de +40 +dd +10 +3e +10 +a1 +00 +b5 +00 +4b +00 +77 +00 +56 +00 +7e +00 +5f +00 +82 +00 +54 +00 +80 +00 +5f +00 +0b +10 +72 +00 +f8 +00 +bc +00 +b8 +00 +4a +00 +5f +00 +33 +00 +57 +00 +34 +00 +56 +00 +29 +00 +00 +00 +00 +00 +37 +00 +99 +00 +38 +00 +9d +00 +3b +00 +96 +00 +3b +00 +a2 +00 +3a +00 +9d +00 +38 +00 +aa +00 +43 +00 +a9 +00 +44 +00 +b7 +00 +41 +00 +e3 +00 +a9 +00 +ed +30 +1b +20 +74 +40 +89 +00 +fe +00 +60 +00 +a0 +10 +7a +00 +f7 +00 +38 +00 +a0 +00 +6e +00 +ba +20 +10 +10 +39 +20 +92 +00 +02 +20 +c7 +10 +92 +50 +85 +10 +a1 +20 +83 +00 +3c +10 +4a +00 +ed +00 +83 +00 +39 +20 +24 +10 +a4 +30 +b0 +10 +02 +40 +e7 +00 +dd +10 +59 +00 +f4 +00 +4b +00 +15 +10 +10 +10 +f4 +30 +c7 +10 +0c +30 +79 +00 +eb +00 +40 +00 +b6 +00 +44 +00 +f8 +00 +c3 +00 +50 +30 +ec +00 +a4 +10 +41 +00 +de +00 +82 +00 +00 +10 +42 +00 +86 +00 +2e +00 +5d +00 +28 +00 +75 +00 +2b +00 +85 +00 +33 +00 +94 +00 +2f +00 +6b +00 +2a +00 +7e +00 +24 +00 +6e +00 +21 +00 +5b +00 +21 +00 +60 +00 +2b +00 +75 +00 +2f +00 +85 +00 +27 +00 +79 +00 +27 +00 +59 +00 +1a +00 +56 +00 +1d +00 +5f +00 +27 +00 +60 +00 +2a +00 +5b +00 +1d +00 +50 +00 +1b +00 +55 +00 +16 +00 +5a +00 +2f +00 +a9 +00 +4a +00 +e6 +00 +43 +00 +a8 +00 +1f +00 +5c +00 +29 +00 +e1 +00 +f0 +00 +d1 +10 +45 +00 +72 +00 +1f +00 +5c +00 +28 +00 +5a +00 +24 +00 +60 +00 +1d +00 +5e +00 +22 +00 +60 +00 +20 +00 +54 +00 +22 +00 +51 +00 +18 +00 +5a +00 +1b +00 +57 +00 +1a +00 +53 +00 +20 +00 +59 +00 +1d +00 +5e +00 +23 +00 +5b +00 +26 +00 +58 +00 +21 +00 +5f +00 +1e +00 +64 +00 +30 +00 +87 +00 +30 +00 +77 +00 +20 +00 +54 +00 +1f +00 +68 +00 +79 +00 +c6 +20 +31 +10 +78 +10 +2f +00 +61 +00 +2b +00 +8d +00 +3a +00 +0b +10 +6e +00 +4c +10 +4e +00 +b9 +00 +33 +00 +85 +00 +2c +00 +84 +00 +34 +00 +7b +00 +36 +00 +8b +00 +56 +00 +8e +10 +fd +00 +fa +10 +51 +00 +b4 +00 +2e +00 +8f +00 +40 +00 +e0 +10 +e7 +10 +9f +40 +c6 +10 +8a +40 +d9 +00 +5f +30 +20 +20 +13 +50 +60 +10 +74 +20 +5f +00 +e8 +00 +61 +00 +95 +10 +8f +00 +5a +10 +62 +00 +f4 +10 +c0 +00 +bf +10 +62 +00 +0d +10 +42 +00 +d6 +00 +cf +00 +5a +30 +bc +10 +8c +50 +3c +20 +c2 +60 +5c +20 +33 +50 +05 +10 +e3 +10 +76 +00 +34 +10 +68 +00 +50 +10 +74 +00 +4d +10 +5d +00 +51 +10 +7d +10 +37 +50 +dd +00 +38 +20 +24 +10 +35 +30 +b2 +10 +6d +20 +84 +00 +49 +10 +dc +00 +58 +30 +50 +10 +4c +30 +50 +10 +e6 +50 +d9 +20 +ba +80 +4a +30 +09 +90 +b0 +20 +0e +70 +60 +20 +c0 +60 +9d +20 +fa +60 +9c +10 +e0 +40 +07 +30 +4c +90 +60 +30 +c4 +80 +06 +30 +1b +80 +22 +20 +f2 +40 +30 +10 +ac +30 +39 +10 +0e +50 +d0 +20 +77 +60 +45 +10 +72 +30 +cf +00 +28 +20 +c3 +00 +68 +20 +1e +10 +91 +20 +b6 +00 +61 +10 +59 +00 +f1 +00 +ac +00 +76 +20 +7d +00 +0b +10 +50 +00 +d2 +00 +41 +00 +c0 +00 +4a +00 +c6 +00 +71 +00 +93 +10 +64 +00 +b9 +00 +4a +00 +9a +00 +31 +00 +ac +00 +61 +00 +e0 +00 +3e +00 +ab +00 +2f +00 +9f +00 +2e +00 +75 +00 +2b +00 +69 +00 +25 +00 +65 +00 +2d +00 +7c +00 +34 +00 +aa +00 +8d +00 +b4 +00 +25 +00 +6d +00 +2c +00 +a8 +00 +40 +00 +8c +00 +39 +00 +a0 +00 +32 +00 +91 +00 +31 +00 +9c +00 +43 +00 +49 +20 +3c +20 +35 +60 +ce +10 +6a +20 +3a +10 +92 +20 +5e +00 +b3 +00 +2c +00 +ba +00 +3b +00 +b4 +00 +42 +00 +d1 +00 +46 +00 +eb +00 +67 +00 +e2 +00 +3a +00 +80 +00 +2a +00 +5d +00 +1b +00 +59 +00 +33 +00 +6c +00 +25 +00 +5a +00 +24 +00 +58 +00 +1f +00 +5f +00 +2f +00 +c2 +00 +60 +00 +a5 +00 +27 +00 +59 +00 +1e +00 +4e +00 +1f +00 +35 +00 +1a +00 +3a +00 +1b +00 +37 +00 +20 +00 +4d +00 +1a +00 +53 +00 +74 +00 +17 +10 +69 +00 +0e +20 +58 +00 +bd +00 +30 +00 +81 +00 +37 +00 +ab +00 +3e +00 +f6 +00 +4c +00 +b9 +00 +29 +00 +67 +00 +22 +00 +87 +00 +78 +00 +b4 +10 +4e +10 +e2 +20 +53 +00 +b7 +00 +27 +00 +7f +00 +23 +00 +61 +00 +23 +00 +58 +00 +28 +00 +62 +00 +39 +00 +d4 +00 +39 +00 +8c +00 +2a +00 +67 +00 +24 +00 +6e +00 +2a +00 +d5 +00 +5a +10 +5d +30 +c6 +00 +90 +10 +4c +00 +b5 +00 +4c +00 +a5 +00 +30 +00 +75 +00 +2f +00 +85 +00 +37 +00 +88 +00 +43 +00 +c8 +00 +4e +00 +db +00 +3a +00 +c6 +00 +8a +00 +a8 +30 +cd +20 +03 +70 +9c +10 +89 +20 +55 +20 +51 +70 +fd +20 +41 +70 +0c +30 +c1 +80 +83 +30 +fe +80 +30 +30 +eb +80 +93 +30 +f8 +90 +14 +40 +f2 +a0 +fd +20 +5f +80 +8c +20 +50 +60 +35 +10 +31 +20 +60 +00 +ce +00 +40 +00 +85 +00 +38 +00 +8d +00 +4f +00 +c0 +00 +34 +00 +85 +00 +34 +00 +b0 +00 +99 +00 +de +00 +63 +00 +a9 +10 +57 +00 +8e +00 +22 +00 +69 +00 +1e +00 +44 +00 +1b +00 +47 +00 +00 +00 +00 +00 +a3 +00 +5f +00 +a6 +00 +73 +00 +99 +00 +66 +00 +aa +00 +6a +00 +a7 +00 +6f +00 +ae +00 +73 +00 +bf +00 +75 +00 +ac +00 +85 +00 +b1 +00 +eb +00 +5c +10 +03 +30 +29 +60 +b0 +20 +69 +20 +37 +10 +4a +10 +39 +10 +26 +10 +c0 +00 +b2 +00 +1b +10 +20 +20 +b7 +10 +5f +30 +5a +10 +7f +10 +fd +00 +92 +20 +8b +20 +ec +50 +fb +20 +62 +40 +83 +10 +5c +20 +b8 +10 +a5 +20 +e1 +10 +6a +30 +8e +20 +8b +40 +cc +20 +dc +40 +91 +20 +ff +10 +3c +10 +21 +10 +3b +20 +88 +40 +a5 +20 +19 +40 +69 +10 +67 +10 +a9 +00 +be +00 +7b +00 +ac +00 +af +00 +1a +10 +dd +00 +8b +10 +af +00 +cd +00 +67 +00 +bb +00 +75 +00 +aa +00 +42 +00 +75 +00 +5c +00 +6d +00 +b3 +00 +47 +10 +9e +10 +27 +20 +b8 +00 +9f +00 +53 +00 +6c +00 +4e +00 +68 +00 +3c +00 +62 +00 +37 +00 +59 +00 +36 +00 +61 +00 +50 +00 +8f +00 +4b +00 +7a +00 +47 +00 +63 +00 +37 +00 +55 +00 +38 +00 +5b +00 +2f +00 +68 +00 +33 +00 +5b +00 +3a +00 +4d +00 +66 +00 +7a +00 +3c +00 +4f +00 +2d +00 +5a +00 +49 +00 +7e +00 +62 +00 +9e +00 +61 +00 +62 +00 +39 +00 +5a +00 +71 +00 +df +00 +70 +00 +d8 +00 +5d +00 +68 +00 +48 +00 +55 +00 +4e +00 +5f +00 +3b +00 +4f +00 +35 +00 +61 +00 +2e +00 +4d +00 +36 +00 +5c +00 +2e +00 +4e +00 +37 +00 +53 +00 +34 +00 +51 +00 +2d +00 +59 +00 +2a +00 +5f +00 +3a +00 +60 +00 +30 +00 +5a +00 +2a +00 +5f +00 +3f +00 +78 +00 +4b +00 +8c +00 +47 +00 +9f +00 +41 +00 +65 +00 +44 +00 +54 +00 +69 +00 +52 +10 +09 +10 +53 +20 +de +00 +e0 +00 +65 +00 +85 +00 +84 +00 +9a +00 +76 +10 +29 +20 +bb +00 +c3 +00 +76 +00 +87 +00 +55 +00 +7d +00 +67 +00 +78 +00 +5f +00 +8c +00 +73 +00 +2b +10 +ff +00 +e2 +10 +d2 +00 +52 +10 +84 +00 +9e +00 +7b +00 +a7 +00 +fc +10 +87 +50 +fb +20 +ca +50 +0d +40 +ed +50 +b3 +20 +66 +50 +0f +40 +77 +60 +21 +20 +7c +10 +06 +10 +00 +10 +19 +10 +5e +10 +e5 +00 +16 +10 +e8 +00 +38 +10 +c8 +00 +06 +10 +a3 +00 +be +00 +a2 +00 +68 +10 +a6 +20 +cd +60 +6c +40 +d0 +70 +e1 +30 +ca +50 +35 +20 +6c +20 +2f +10 +2c +10 +ec +00 +0a +10 +dc +00 +1a +10 +fa +00 +86 +10 +e5 +20 +8f +50 +36 +20 +bd +20 +d0 +10 +f4 +20 +0c +20 +c1 +40 +c3 +10 +c5 +10 +d5 +10 +fc +30 +3c +40 +6c +70 +d0 +40 +8f +50 +98 +40 +22 +60 +83 +30 +b9 +50 +b6 +30 +4d +50 +df +40 +0c +80 +02 +50 +4b +80 +3a +50 +38 +70 +21 +50 +a0 +60 +eb +40 +dc +60 +f5 +30 +c1 +50 +25 +50 +d5 +70 +18 +40 +a8 +30 +dd +20 +ba +20 +b5 +30 +9e +60 +38 +40 +26 +40 +ce +20 +19 +20 +af +10 +9a +10 +20 +10 +98 +10 +d6 +00 +68 +10 +bc +00 +fa +00 +11 +10 +62 +30 +03 +30 +57 +30 +9f +10 +2b +10 +46 +10 +0f +10 +a9 +10 +a0 +10 +d9 +10 +8b +10 +bd +00 +d0 +00 +8c +00 +a2 +00 +60 +00 +9d +00 +70 +00 +11 +10 +c4 +00 +de +00 +67 +00 +88 +00 +5e +00 +84 +00 +42 +00 +7e +00 +43 +00 +70 +00 +3a +00 +78 +00 +42 +00 +7b +00 +59 +00 +f1 +00 +55 +00 +83 +00 +43 +00 +76 +00 +4b +00 +6d +00 +66 +00 +41 +10 +a1 +00 +89 +00 +62 +00 +72 +00 +7b +00 +8f +00 +c7 +00 +03 +20 +3d +10 +65 +40 +41 +20 +48 +50 +55 +10 +19 +10 +91 +00 +90 +00 +70 +00 +82 +00 +68 +00 +94 +00 +7c +00 +f7 +00 +82 +00 +a4 +00 +57 +00 +92 +00 +56 +00 +80 +00 +62 +00 +72 +00 +4e +00 +e2 +00 +80 +00 +73 +00 +40 +00 +56 +00 +38 +00 +58 +00 +3c +00 +62 +00 +3f +00 +7d +00 +43 +00 +6c +00 +3b +00 +51 +00 +29 +00 +41 +00 +2b +00 +3a +00 +2b +00 +3c +00 +27 +00 +46 +00 +29 +00 +3e +00 +2f +00 +5f +00 +57 +00 +cf +00 +de +00 +07 +10 +70 +00 +82 +00 +75 +00 +7f +00 +7e +00 +92 +00 +86 +00 +97 +00 +6f +00 +6f +00 +47 +00 +68 +00 +89 +00 +bf +00 +18 +10 +5c +30 +23 +10 +4a +10 +bc +00 +91 +00 +80 +00 +81 +00 +6b +00 +75 +00 +59 +00 +59 +00 +40 +00 +65 +00 +43 +00 +9c +00 +48 +00 +82 +00 +3b +00 +68 +00 +58 +00 +7e +00 +1f +10 +af +30 +56 +10 +91 +10 +df +00 +ef +00 +86 +00 +8d +00 +44 +00 +76 +00 +52 +00 +89 +00 +57 +00 +9e +00 +6b +00 +a6 +00 +8a +00 +c5 +00 +e0 +00 +50 +10 +af +10 +5e +20 +d8 +20 +14 +60 +28 +50 +13 +80 +a3 +30 +15 +60 +18 +50 +47 +90 +ff +50 +c7 +90 +50 +60 +10 +a0 +87 +60 +09 +a0 +89 +60 +52 +a0 +fc +60 +25 +b0 +d6 +60 +81 +90 +b8 +40 +cc +50 +a2 +30 +f7 +30 +ea +10 +92 +10 +d8 +00 +d3 +00 +71 +00 +8c +00 +b2 +00 +24 +20 +2a +10 +43 +10 +7b +00 +80 +00 +76 +00 +65 +10 +6d +00 +ab +00 +71 +00 +c1 +00 +5c +00 +75 +00 +47 +00 +55 +00 +34 +00 +50 +00 +27 +00 +00 +00 +00 +00 +3d +00 +aa +00 +3a +00 +ab +00 +49 +00 +9a +00 +39 +00 +ae +00 +36 +00 +a9 +00 +37 +00 +a9 +00 +41 +00 +ac +00 +3d +00 +ad +00 +43 +00 +bf +00 +94 +00 +6a +40 +3f +20 +e3 +40 +9e +00 +86 +10 +f0 +00 +7e +30 +7d +00 +e5 +00 +43 +00 +4e +10 +86 +10 +1c +40 +f5 +00 +ef +10 +5d +00 +15 +10 +88 +00 +65 +20 +97 +10 +c9 +40 +91 +10 +f2 +20 +11 +10 +7d +30 +24 +10 +65 +20 +cd +00 +e0 +20 +f7 +00 +a4 +30 +0d +20 +e0 +50 +72 +10 +cc +20 +92 +00 +03 +30 +1b +20 +1b +50 +03 +10 +ff +10 +76 +00 +84 +10 +5b +00 +b7 +00 +37 +00 +b8 +00 +52 +00 +20 +10 +58 +00 +d6 +00 +37 +00 +86 +00 +39 +00 +a7 +00 +37 +00 +6f +00 +2d +00 +7f +00 +72 +00 +97 +10 +13 +10 +02 +40 +89 +10 +5a +20 +45 +00 +8f +00 +22 +00 +65 +00 +2a +00 +62 +00 +2c +00 +5f +00 +20 +00 +5e +00 +23 +00 +6e +00 +22 +00 +7f +00 +26 +00 +5f +00 +1e +00 +59 +00 +19 +00 +52 +00 +23 +00 +59 +00 +27 +00 +60 +00 +23 +00 +58 +00 +23 +00 +03 +10 +4d +00 +81 +00 +23 +00 +56 +00 +1d +00 +61 +00 +22 +00 +78 +00 +23 +00 +66 +00 +13 +00 +59 +00 +22 +00 +84 +00 +39 +00 +b6 +00 +2c +00 +6f +00 +1f +00 +69 +00 +22 +00 +65 +00 +23 +00 +59 +00 +20 +00 +58 +00 +26 +00 +59 +00 +26 +00 +54 +00 +21 +00 +55 +00 +1d +00 +5a +00 +1c +00 +59 +00 +20 +00 +4f +00 +25 +00 +52 +00 +21 +00 +5d +00 +1f +00 +5c +00 +20 +00 +62 +00 +23 +00 +64 +00 +26 +00 +7c +00 +2a +00 +85 +00 +2f +00 +75 +00 +2f +00 +52 +00 +23 +00 +6e +00 +8a +00 +8b +10 +62 +00 +0c +10 +48 +00 +90 +00 +2b +00 +86 +00 +76 +00 +c1 +20 +cf +00 +70 +10 +32 +00 +8e +00 +34 +00 +77 +00 +32 +00 +87 +00 +2a +00 +74 +00 +59 +00 +2d +10 +5a +00 +24 +10 +73 +00 +1c +10 +55 +00 +af +00 +37 +00 +96 +00 +75 +00 +70 +20 +0f +20 +d2 +40 +8f +20 +3c +70 +38 +20 +88 +40 +96 +10 +ac +60 +20 +20 +87 +30 +6c +00 +11 +10 +74 +00 +af +10 +5c +00 +01 +10 +75 +00 +87 +10 +5b +00 +f1 +00 +43 +00 +d3 +00 +41 +00 +c8 +00 +68 +00 +6d +20 +8f +20 +c4 +70 +67 +20 +23 +50 +59 +10 +df +20 +8f +00 +58 +10 +51 +00 +0d +10 +57 +00 +0c +10 +50 +00 +e8 +00 +2a +10 +71 +50 +ea +10 +4c +30 +a6 +00 +d2 +10 +87 +00 +1e +20 +b9 +10 +fb +20 +94 +00 +ce +10 +09 +20 +5e +70 +39 +30 +ec +80 +7f +20 +4c +70 +fb +10 +12 +40 +02 +10 +5c +30 +eb +10 +86 +70 +9d +20 +9a +50 +30 +20 +38 +70 +dd +20 +81 +80 +1a +30 +c6 +70 +b1 +10 +38 +40 +4c +20 +7b +70 +2e +20 +5f +50 +f3 +10 +7f +40 +90 +10 +09 +60 +0d +30 +40 +70 +88 +10 +aa +30 +de +00 +cd +10 +83 +00 +6d +10 +6d +00 +75 +10 +6e +00 +02 +10 +5a +00 +52 +10 +8f +10 +e1 +50 +a9 +20 +18 +50 +08 +10 +7e +20 +64 +10 +fc +40 +e1 +10 +86 +50 +0c +10 +5e +10 +49 +00 +c3 +00 +38 +00 +97 +00 +35 +00 +94 +00 +5a +00 +00 +10 +54 +00 +aa +00 +26 +00 +7f +00 +2c +00 +80 +00 +29 +00 +6d +00 +2d +00 +65 +00 +2e +00 +6a +00 +2d +00 +7c +00 +3b +00 +86 +00 +2f +00 +6f +00 +34 +00 +6f +00 +26 +00 +7e +00 +4c +00 +cd +00 +34 +00 +7a +00 +33 +00 +90 +00 +2d +00 +c7 +00 +50 +00 +6d +10 +91 +00 +01 +20 +c5 +00 +89 +10 +4e +00 +ab +00 +2a +00 +c4 +00 +29 +00 +76 +00 +23 +00 +6c +00 +27 +00 +84 +00 +29 +00 +81 +00 +2d +00 +a1 +00 +8a +00 +ac +10 +5c +00 +7d +00 +8a +00 +06 +10 +2c +00 +67 +00 +18 +00 +50 +00 +20 +00 +4f +00 +25 +00 +61 +00 +2b +00 +67 +00 +2a +00 +56 +00 +1b +00 +3e +00 +1b +00 +34 +00 +18 +00 +32 +00 +15 +00 +39 +00 +18 +00 +42 +00 +20 +00 +50 +00 +23 +00 +64 +00 +35 +00 +ce +00 +4c +00 +c7 +00 +57 +00 +ac +00 +24 +00 +6d +00 +23 +00 +66 +00 +1f +00 +6b +00 +1d +00 +63 +00 +39 +00 +35 +10 +d6 +00 +c7 +10 +7a +00 +73 +10 +ed +00 +49 +10 +3e +00 +b2 +00 +bb +00 +46 +10 +2d +00 +79 +00 +2a +00 +6a +00 +2e +00 +69 +00 +30 +00 +81 +00 +2d +00 +80 +00 +29 +00 +7b +00 +3a +00 +7c +10 +7a +10 +1d +20 +7e +00 +3f +10 +49 +00 +b2 +00 +30 +00 +84 +00 +2d +00 +85 +00 +3c +00 +9b +00 +3b +00 +b5 +00 +51 +00 +d1 +00 +4a +00 +44 +10 +61 +10 +e5 +30 +24 +20 +18 +70 +35 +30 +7d +90 +85 +30 +3a +60 +fb +10 +d0 +80 +e4 +30 +ad +a0 +ea +30 +8c +a0 +f1 +30 +da +a0 +26 +40 +ce +a0 +4b +40 +52 +b0 +1c +40 +bc +a0 +79 +20 +f4 +40 +32 +20 +d7 +40 +e5 +00 +99 +20 +94 +00 +ea +00 +37 +00 +a8 +00 +5b +00 +bf +10 +3a +10 +aa +30 +89 +00 +bc +00 +2a +00 +a7 +00 +66 +00 +bc +00 +37 +00 +d4 +00 +67 +00 +b8 +00 +3a +00 +6a +00 +1d +00 +4c +00 +21 +00 +43 +00 +00 +00 +00 +00 +97 +00 +69 +00 +9b +00 +6c +00 +a3 +00 +76 +00 +a3 +00 +73 +00 +b3 +00 +6d +00 +b2 +00 +72 +00 +a9 +00 +79 +00 +a9 +00 +7b +00 +a7 +00 +16 +10 +fe +10 +bc +30 +b8 +60 +a0 +30 +ec +20 +94 +20 +48 +40 +e8 +20 +b7 +20 +28 +10 +f1 +00 +e0 +00 +3b +20 +2b +10 +fb +10 +f0 +00 +f4 +00 +b6 +00 +24 +10 +f6 +00 +cb +10 +20 +10 +e7 +10 +1e +10 +2e +20 +0e +20 +d9 +30 +5d +10 +9f +10 +4a +10 +83 +10 +74 +20 +4f +50 +b0 +30 +b2 +50 +a7 +30 +de +40 +94 +30 +73 +40 +48 +20 +96 +20 +45 +10 +41 +10 +d5 +00 +71 +10 +7d +00 +9a +00 +7a +00 +b3 +00 +9b +00 +dc +00 +70 +00 +a3 +00 +61 +00 +8d +00 +61 +00 +83 +00 +43 +00 +74 +00 +58 +00 +13 +10 +c7 +00 +c1 +10 +32 +10 +5b +20 +f0 +00 +fa +00 +5f +00 +6d +00 +3a +00 +60 +00 +3c +00 +58 +00 +3c +00 +69 +00 +3d +00 +5e +00 +43 +00 +5f +00 +4e +00 +57 +00 +3a +00 +68 +00 +35 +00 +6d +00 +3c +00 +67 +00 +3c +00 +67 +00 +36 +00 +5f +00 +37 +00 +62 +00 +86 +00 +db +00 +56 +00 +61 +00 +33 +00 +5d +00 +4b +00 +56 +00 +3f +00 +61 +00 +3a +00 +50 +00 +49 +00 +65 +00 +4c +00 +83 +00 +4e +00 +79 +00 +86 +00 +8d +00 +97 +00 +fa +00 +84 +00 +75 +00 +47 +00 +62 +00 +34 +00 +53 +00 +31 +00 +5c +00 +36 +00 +50 +00 +35 +00 +58 +00 +32 +00 +4f +00 +27 +00 +53 +00 +2e +00 +4c +00 +2c +00 +52 +00 +45 +00 +65 +00 +33 +00 +53 +00 +34 +00 +57 +00 +49 +00 +5d +00 +3b +00 +6d +00 +3d +00 +6d +00 +51 +00 +66 +00 +43 +00 +5d +00 +5a +00 +bc +00 +a0 +00 +f3 +00 +ae +00 +bd +00 +8d +00 +84 +00 +c8 +00 +c2 +10 +d5 +00 +6a +10 +b1 +00 +d0 +00 +c4 +00 +be +00 +95 +00 +91 +00 +66 +00 +79 +00 +69 +00 +c2 +00 +85 +00 +fd +00 +91 +00 +f5 +00 +81 +00 +da +00 +76 +00 +77 +00 +90 +00 +1c +10 +4c +10 +77 +30 +c4 +20 +8b +60 +74 +30 +26 +40 +56 +20 +cc +20 +73 +20 +51 +40 +14 +20 +76 +20 +68 +10 +0a +20 +5d +10 +3c +10 +e8 +00 +d3 +00 +b4 +00 +04 +10 +b9 +00 +ec +00 +a0 +00 +aa +00 +bc +00 +e9 +00 +cc +10 +9b +40 +19 +40 +32 +60 +ac +30 +6d +50 +2a +20 +ed +10 +86 +10 +7b +10 +6a +20 +5a +20 +20 +10 +06 +10 +f6 +00 +81 +10 +54 +20 +ef +40 +77 +30 +91 +30 +04 +20 +96 +10 +9b +10 +6b +40 +ad +20 +d8 +20 +7f +30 +29 +60 +3d +50 +53 +90 +f8 +50 +75 +80 +e3 +30 +1c +40 +74 +20 +d0 +20 +31 +30 +40 +60 +e4 +30 +b7 +40 +a9 +20 +47 +30 +3b +30 +2c +70 +c7 +40 +37 +70 +b7 +30 +ec +30 +19 +40 +bb +60 +df +40 +9b +60 +46 +30 +94 +50 +ec +20 +7c +50 +fa +40 +d7 +80 +c9 +50 +d7 +80 +42 +50 +f7 +50 +03 +20 +70 +10 +1a +10 +2e +10 +c1 +00 +ef +00 +9e +00 +e9 +00 +c5 +00 +b0 +10 +d9 +10 +b8 +60 +65 +40 +4d +70 +72 +40 +5b +60 +9c +30 +c5 +40 +e2 +10 +c7 +20 +3d +10 +20 +10 +bb +00 +b3 +00 +7e +00 +99 +00 +63 +00 +9d +00 +50 +00 +a7 +00 +56 +00 +86 +00 +4b +00 +73 +00 +38 +00 +6d +00 +48 +00 +7e +00 +65 +00 +84 +00 +51 +00 +7c +00 +44 +00 +6f +00 +3c +00 +6e +00 +3e +00 +6c +00 +43 +00 +79 +00 +53 +00 +7d +00 +4f +00 +7b +00 +63 +00 +df +00 +74 +00 +9a +00 +8e +00 +e2 +00 +a8 +00 +21 +10 +c5 +00 +1f +10 +99 +00 +d9 +00 +79 +00 +8b +00 +4d +00 +7d +00 +40 +00 +66 +00 +51 +00 +60 +00 +4f +00 +68 +00 +4b +00 +66 +00 +63 +00 +a8 +10 +91 +00 +16 +10 +7c +00 +01 +10 +60 +00 +8c +00 +41 +00 +55 +00 +2d +00 +57 +00 +36 +00 +5b +00 +38 +00 +6b +00 +67 +00 +70 +00 +48 +00 +49 +00 +2f +00 +3c +00 +2c +00 +40 +00 +28 +00 +3c +00 +2b +00 +39 +00 +2b +00 +49 +00 +3c +00 +6b +00 +76 +00 +d2 +00 +8e +00 +cc +00 +63 +00 +e9 +00 +6d +00 +79 +00 +4b +00 +65 +00 +47 +00 +57 +00 +48 +00 +4f +00 +67 +00 +ac +00 +f5 +00 +b3 +10 +c5 +00 +f4 +00 +44 +10 +75 +40 +a1 +20 +b7 +10 +5a +10 +20 +30 +33 +10 +ee +00 +9d +00 +77 +00 +4e +00 +6c +00 +4c +00 +73 +00 +48 +00 +81 +00 +46 +00 +6c +00 +7d +00 +2b +10 +27 +20 +fb +30 +e9 +20 +90 +40 +c1 +10 +ed +00 +89 +00 +88 +00 +51 +00 +74 +00 +88 +00 +46 +10 +39 +10 +50 +10 +7c +10 +68 +10 +24 +10 +e1 +00 +0a +10 +01 +20 +61 +30 +77 +70 +9b +50 +b1 +90 +30 +60 +2f +a0 +7a +50 +16 +50 +7e +50 +59 +a0 +ed +60 +f3 +a0 +60 +70 +f7 +a0 +69 +70 +3c +b0 +87 +70 +97 +b0 +84 +70 +5f +b0 +49 +50 +76 +40 +56 +30 +b4 +30 +00 +30 +4d +30 +89 +20 +7d +10 +d4 +00 +a6 +00 +95 +00 +8d +10 +62 +10 +d6 +20 +f5 +00 +61 +10 +8c +00 +89 +00 +57 +00 +81 +00 +55 +00 +9c +00 +67 +00 +18 +10 +94 +00 +ad +00 +49 +00 +5d +00 +38 +00 +64 +00 +3b +00 +00 +00 +00 +00 +36 +00 +95 +00 +34 +00 +a6 +00 +41 +00 +94 +00 +40 +00 +a8 +00 +38 +00 +b3 +00 +3b +00 +bd +00 +3e +00 +a5 +00 +43 +00 +b2 +00 +49 +00 +ea +00 +07 +10 +94 +50 +ad +20 +4d +70 +7a +10 +9a +30 +20 +20 +5a +60 +a4 +10 +10 +30 +6a +00 +f6 +00 +5c +00 +44 +10 +63 +00 +05 +10 +47 +00 +b4 +00 +49 +00 +35 +10 +6c +00 +4b +10 +66 +00 +07 +10 +7b +00 +15 +20 +f1 +00 +ea +10 +76 +00 +35 +10 +71 +00 +7e +20 +30 +20 +a9 +60 +ea +10 +77 +50 +76 +20 +1b +70 +22 +20 +21 +40 +9c +00 +6e +10 +5e +00 +fb +00 +45 +00 +c1 +00 +30 +00 +82 +00 +35 +00 +d0 +00 +3a +00 +94 +00 +36 +00 +97 +00 +2e +00 +91 +00 +28 +00 +74 +00 +2a +00 +68 +00 +3c +00 +b4 +00 +a5 +00 +3a +20 +78 +00 +03 +10 +36 +00 +86 +00 +23 +00 +64 +00 +20 +00 +53 +00 +20 +00 +62 +00 +21 +00 +63 +00 +21 +00 +59 +00 +26 +00 +59 +00 +1e +00 +5e +00 +2a +00 +6c +00 +28 +00 +62 +00 +26 +00 +63 +00 +2b +00 +61 +00 +21 +00 +5a +00 +2e +00 +95 +00 +33 +00 +7f +00 +25 +00 +56 +00 +20 +00 +61 +00 +21 +00 +66 +00 +21 +00 +49 +00 +1a +00 +63 +00 +23 +00 +5d +00 +20 +00 +76 +00 +2c +00 +97 +00 +b4 +00 +de +10 +82 +00 +5d +10 +33 +00 +6b +00 +21 +00 +5a +00 +1c +00 +52 +00 +26 +00 +54 +00 +24 +00 +55 +00 +25 +00 +53 +00 +25 +00 +4d +00 +18 +00 +4d +00 +19 +00 +56 +00 +22 +00 +7c +00 +41 +00 +70 +00 +2a +00 +5a +00 +1a +00 +5e +00 +20 +00 +66 +00 +27 +00 +5e +00 +35 +00 +8b +00 +34 +00 +80 +00 +25 +00 +76 +00 +48 +00 +97 +10 +6f +00 +0c +10 +47 +00 +a9 +00 +34 +00 +c3 +00 +a9 +00 +65 +10 +56 +00 +d0 +00 +3c +00 +33 +10 +ef +00 +74 +10 +41 +00 +9a +00 +31 +00 +87 +00 +31 +00 +a3 +00 +43 +00 +d3 +00 +48 +00 +d5 +00 +3f +00 +8c +00 +3d +00 +92 +00 +49 +00 +12 +10 +d8 +00 +2b +30 +1c +20 +5f +50 +f4 +00 +5b +20 +89 +00 +1a +20 +09 +10 +15 +30 +5b +10 +87 +20 +33 +10 +ff +30 +9e +00 +6c +10 +47 +00 +e6 +00 +54 +00 +01 +10 +62 +00 +e7 +00 +60 +00 +f9 +00 +98 +00 +59 +20 +e4 +10 +c9 +60 +18 +20 +4b +60 +61 +20 +f5 +30 +9b +00 +9f +10 +9a +10 +d5 +50 +34 +10 +e8 +10 +5f +00 +f2 +00 +d4 +00 +40 +40 +be +10 +1e +60 +f7 +10 +79 +40 +9d +00 +ba +10 +cb +10 +49 +50 +35 +20 +d7 +60 +fe +20 +03 +90 +9b +30 +1b +a0 +2f +30 +e9 +50 +e1 +00 +71 +20 +c9 +00 +c4 +30 +6f +20 +41 +50 +0c +10 +dd +20 +c3 +00 +14 +30 +02 +20 +3a +60 +0a +20 +84 +40 +36 +10 +1b +50 +18 +30 +64 +80 +1a +20 +d3 +30 +03 +10 +5b +20 +3d +10 +38 +60 +74 +30 +b4 +90 +97 +30 +5e +90 +27 +20 +23 +30 +94 +00 +66 +10 +63 +00 +19 +10 +52 +00 +de +00 +57 +00 +07 +10 +76 +00 +19 +20 +2f +20 +80 +70 +e9 +20 +6f +70 +8b +20 +57 +60 +44 +10 +7e +20 +a6 +00 +26 +20 +04 +10 +88 +10 +4e +00 +a5 +00 +3f +00 +a6 +00 +3b +00 +8d +00 +3d +00 +98 +00 +2a +00 +77 +00 +25 +00 +78 +00 +32 +00 +73 +00 +6f +00 +87 +10 +3d +00 +79 +00 +28 +00 +73 +00 +2e +00 +7a +00 +1e +00 +6a +00 +25 +00 +74 +00 +33 +00 +78 +00 +35 +00 +71 +00 +34 +00 +92 +00 +39 +00 +6d +00 +35 +00 +6d +00 +25 +00 +ae +00 +39 +00 +d3 +00 +36 +00 +b9 +00 +3d +00 +a2 +00 +2e +00 +86 +00 +26 +00 +5c +00 +2a +00 +64 +00 +2b +00 +62 +00 +2a +00 +5f +00 +24 +00 +76 +00 +34 +00 +9b +00 +3b +00 +bb +00 +5c +00 +98 +00 +30 +00 +66 +00 +1f +00 +4a +00 +20 +00 +51 +00 +1a +00 +56 +00 +43 +00 +b8 +10 +52 +00 +7b +00 +1f +00 +40 +00 +15 +00 +36 +00 +1c +00 +36 +00 +16 +00 +3c +00 +19 +00 +4e +00 +22 +00 +5e +00 +47 +00 +b5 +00 +a1 +00 +fc +00 +2d +00 +86 +00 +29 +00 +83 +00 +2b +00 +6e +00 +2b +00 +62 +00 +21 +00 +61 +00 +25 +00 +91 +00 +08 +10 +98 +20 +5e +00 +dd +00 +3c +00 +49 +10 +fa +10 +f6 +60 +c3 +10 +75 +20 +23 +10 +57 +20 +70 +00 +f1 +00 +38 +00 +89 +00 +2b +00 +81 +00 +30 +00 +7c +00 +27 +00 +76 +00 +35 +00 +8d +00 +eb +00 +4f +50 +bf +20 +f7 +60 +12 +20 +ae +20 +59 +00 +b2 +00 +34 +00 +82 +00 +36 +00 +ab +00 +65 +10 +50 +40 +0b +20 +b9 +40 +93 +10 +d5 +20 +8c +00 +6a +10 +05 +10 +6b +60 +83 +30 +e7 +90 +e0 +30 +ca +a0 +2b +40 +85 +90 +cc +10 +f0 +70 +f6 +30 +32 +b0 +24 +40 +95 +b0 +39 +40 +60 +b0 +42 +40 +ad +b0 +20 +40 +c7 +b0 +1a +40 +56 +70 +15 +10 +7b +30 +99 +10 +d9 +50 +4b +20 +88 +40 +8c +00 +06 +10 +4c +00 +ab +00 +a9 +00 +df +10 +89 +00 +3f +10 +55 +00 +be +00 +2f +00 +84 +00 +2e +00 +84 +00 +2d +00 +a7 +00 +4c +00 +e5 +00 +46 +00 +7c +00 +28 +00 +59 +00 +2b +00 +6f +00 +00 +00 +00 +00 +a7 +00 +62 +00 +ab +00 +7b +00 +9a +00 +72 +00 +aa +00 +6a +00 +a9 +00 +7a +00 +ab +00 +70 +00 +a5 +00 +6f +00 +b3 +00 +96 +00 +c0 +00 +f2 +10 +45 +30 +b3 +30 +8a +70 +49 +40 +eb +60 +df +30 +f9 +50 +2d +30 +87 +50 +9e +10 +a3 +10 +bb +00 +d3 +00 +a1 +00 +f7 +00 +88 +00 +ac +00 +8c +00 +b7 +00 +c7 +00 +03 +10 +ca +00 +1c +10 +b8 +00 +f2 +00 +cb +00 +98 +10 +e9 +00 +20 +10 +f8 +00 +3f +10 +63 +20 +9c +50 +dc +30 +07 +60 +92 +40 +34 +70 +e0 +40 +23 +70 +61 +30 +ba +20 +6b +10 +34 +10 +9a +00 +c1 +00 +6c +00 +9c +00 +60 +00 +90 +00 +6b +00 +ac +00 +59 +00 +9a +00 +81 +00 +9c +00 +6f +00 +8e +00 +50 +00 +75 +00 +54 +00 +78 +00 +6f +00 +ec +00 +b2 +00 +6e +10 +8c +00 +a3 +00 +56 +00 +68 +00 +3e +00 +65 +00 +40 +00 +59 +00 +49 +00 +6b +00 +50 +00 +65 +00 +4d +00 +4c +00 +3a +00 +66 +00 +40 +00 +7b +00 +38 +00 +5c +00 +4c +00 +66 +00 +3d +00 +62 +00 +45 +00 +60 +00 +37 +00 +59 +00 +3e +00 +6a +00 +4b +00 +60 +00 +2e +00 +50 +00 +39 +00 +56 +00 +39 +00 +66 +00 +4b +00 +53 +00 +59 +00 +7a +00 +4b +00 +5e +00 +67 +00 +b3 +00 +bb +10 +c6 +30 +71 +10 +7d +10 +86 +00 +e0 +00 +43 +00 +58 +00 +39 +00 +57 +00 +31 +00 +52 +00 +35 +00 +57 +00 +37 +00 +51 +00 +30 +00 +66 +00 +2f +00 +57 +00 +3a +00 +49 +00 +2e +00 +60 +00 +3c +00 +a4 +00 +5b +00 +5f +00 +5d +00 +67 +00 +48 +00 +64 +00 +30 +00 +63 +00 +4b +00 +d2 +00 +13 +10 +4f +10 +68 +00 +78 +00 +54 +00 +a1 +00 +d7 +00 +b9 +20 +a9 +10 +6a +20 +1f +10 +c3 +00 +84 +00 +d3 +00 +79 +00 +e3 +00 +a4 +00 +99 +00 +37 +10 +4c +30 +c7 +10 +92 +10 +b8 +00 +8f +00 +64 +00 +94 +00 +5c +00 +99 +00 +8b +00 +c1 +00 +6f +00 +a4 +00 +6a +00 +a2 +00 +c3 +00 +d7 +00 +22 +10 +05 +20 +c5 +20 +e0 +50 +3c +30 +bc +30 +c5 +20 +f1 +10 +08 +20 +eb +10 +c8 +10 +94 +30 +0c +20 +a9 +20 +af +10 +d9 +20 +df +10 +43 +10 +fb +00 +d3 +00 +b1 +00 +91 +10 +de +00 +1a +20 +f3 +10 +77 +30 +a1 +30 +66 +40 +a1 +40 +40 +70 +49 +50 +73 +70 +7d +30 +aa +20 +10 +30 +9e +50 +06 +40 +0e +50 +c9 +10 +5f +10 +35 +10 +63 +20 +76 +30 +dc +40 +0c +30 +fe +30 +f2 +10 +1f +20 +a1 +10 +e3 +30 +4a +30 +f2 +60 +60 +50 +d1 +80 +40 +60 +2a +a0 +a2 +60 +cf +90 +0b +40 +94 +30 +4f +20 +00 +20 +ba +20 +1f +40 +40 +20 +05 +30 +fc +10 +31 +20 +67 +30 +94 +60 +77 +50 +53 +80 +54 +40 +bb +40 +70 +50 +aa +80 +de +40 +32 +50 +62 +20 +89 +20 +9d +10 +11 +20 +0e +30 +dd +70 +bf +50 +0c +a0 +be +50 +f1 +60 +c1 +20 +58 +20 +bd +10 +58 +10 +d2 +00 +f2 +00 +b8 +00 +02 +10 +ea +00 +3e +10 +89 +10 +53 +30 +1d +40 +73 +70 +20 +50 +0a +80 +ed +20 +23 +30 +94 +10 +b6 +10 +dd +10 +e4 +30 +e2 +10 +de +10 +9f +00 +b3 +00 +57 +00 +90 +00 +61 +00 +86 +00 +5f +00 +94 +00 +76 +00 +7f +00 +56 +00 +85 +00 +64 +00 +b9 +00 +76 +00 +c7 +00 +5d +00 +79 +00 +44 +00 +7b +00 +3d +00 +6b +00 +3f +00 +69 +00 +3f +00 +7c +00 +48 +00 +83 +00 +68 +00 +8d +00 +51 +00 +76 +00 +45 +00 +5f +00 +54 +00 +76 +00 +6b +00 +89 +00 +72 +00 +8c +00 +ac +00 +10 +10 +73 +00 +87 +00 +4a +00 +5e +00 +3a +00 +67 +00 +5d +00 +a4 +10 +da +00 +90 +00 +4d +00 +59 +00 +49 +00 +7a +00 +50 +00 +86 +00 +53 +00 +82 +00 +3c +00 +72 +00 +3b +00 +49 +00 +27 +00 +49 +00 +31 +00 +57 +00 +5e +00 +be +00 +a1 +10 +c5 +20 +9b +00 +65 +00 +43 +00 +48 +00 +2c +00 +3e +00 +21 +00 +40 +00 +2a +00 +3a +00 +2b +00 +60 +00 +31 +00 +75 +00 +81 +00 +01 +10 +6c +00 +8e +00 +5b +00 +6c +00 +3e +00 +73 +00 +70 +00 +83 +00 +68 +00 +61 +00 +66 +00 +78 +00 +a5 +00 +6c +10 +a5 +00 +07 +10 +9b +00 +d6 +00 +50 +10 +68 +40 +b7 +30 +a9 +70 +86 +30 +1d +40 +46 +30 +f4 +40 +cc +10 +f8 +00 +7d +00 +82 +00 +41 +00 +7c +00 +46 +00 +7c +00 +42 +00 +6e +00 +a8 +00 +85 +10 +83 +20 +7a +60 +ee +20 +7b +40 +6d +10 +41 +10 +8e +00 +8e +00 +53 +00 +9b +00 +8d +00 +ca +10 +62 +10 +d9 +40 +f8 +20 +07 +50 +d1 +10 +2f +20 +68 +10 +fc +20 +3b +40 +6f +90 +9c +60 +fb +a0 +3b +70 +28 +b0 +70 +60 +e9 +60 +90 +50 +d6 +90 +15 +70 +af +b0 +e2 +70 +96 +b0 +df +70 +e2 +b0 +a3 +70 +d2 +b0 +90 +70 +d8 +a0 +cf +40 +f3 +30 +c1 +40 +11 +80 +41 +50 +71 +70 +a5 +30 +69 +20 +62 +10 +d3 +00 +de +00 +5a +10 +d2 +00 +2c +10 +8f +00 +d1 +00 +77 +00 +7f +00 +52 +00 +71 +00 +44 +00 +94 +00 +5b +00 +a4 +00 +4a +00 +8c +00 +4c +00 +66 +00 +6c +00 +a7 +00 +6f +00 +00 +00 +00 +00 +33 +00 +9b +00 +3e +00 +a2 +00 +41 +00 +a8 +00 +37 +00 +a6 +00 +43 +00 +ae +00 +3e +00 +a0 +00 +44 +00 +b5 +00 +3d +00 +a6 +00 +87 +00 +69 +30 +a9 +10 +3c +50 +77 +20 +59 +70 +66 +20 +ff +50 +e8 +10 +dd +40 +11 +10 +f6 +10 +4d +00 +df +00 +47 +00 +d9 +00 +3a +00 +a8 +00 +39 +00 +a0 +00 +3c +00 +ba +00 +45 +00 +de +00 +38 +00 +c4 +00 +41 +00 +fe +00 +65 +00 +e4 +00 +4e +00 +fe +00 +81 +00 +00 +30 +ff +10 +89 +50 +60 +20 +6d +70 +e9 +20 +02 +80 +a0 +20 +44 +50 +16 +10 +4c +30 +95 +00 +08 +10 +4b +00 +ab +00 +36 +00 +8a +00 +36 +00 +88 +00 +39 +00 +89 +00 +39 +00 +c3 +00 +84 +00 +53 +10 +39 +00 +80 +00 +2e +00 +76 +00 +28 +00 +92 +00 +3d +00 +c2 +00 +4d +00 +b0 +00 +2f +00 +7a +00 +25 +00 +5b +00 +23 +00 +5e +00 +21 +00 +6c +00 +61 +00 +ee +00 +2f +00 +63 +00 +1b +00 +4c +00 +23 +00 +6f +00 +29 +00 +73 +00 +1e +00 +5b +00 +25 +00 +66 +00 +25 +00 +67 +00 +1c +00 +5b +00 +22 +00 +6b +00 +24 +00 +69 +00 +22 +00 +5b +00 +1e +00 +4e +00 +22 +00 +59 +00 +23 +00 +69 +00 +2d +00 +84 +00 +24 +00 +62 +00 +1f +00 +6a +00 +6e +00 +06 +30 +40 +10 +04 +20 +55 +00 +b7 +00 +2f +00 +85 +00 +2a +00 +64 +00 +20 +00 +5a +00 +20 +00 +4f +00 +1e +00 +54 +00 +1f +00 +59 +00 +1c +00 +48 +00 +1e +00 +4f +00 +1e +00 +4f +00 +27 +00 +64 +00 +29 +00 +81 +00 +30 +00 +8a +00 +3e +00 +81 +00 +2a +00 +64 +00 +27 +00 +67 +00 +54 +00 +70 +10 +73 +00 +b6 +00 +27 +00 +7a +00 +2f +00 +cb +00 +fd +00 +09 +40 +56 +10 +e6 +20 +5b +00 +ab +00 +35 +00 +9c +00 +39 +00 +b2 +00 +91 +00 +f0 +10 +97 +10 +e4 +30 +9e +00 +1f +10 +44 +00 +8d +00 +32 +00 +9c +00 +3a +00 +a0 +00 +40 +00 +97 +00 +32 +00 +8d +00 +71 +00 +3a +20 +7a +00 +38 +10 +cc +00 +1b +30 +c4 +10 +45 +50 +11 +20 +bf +50 +e3 +10 +91 +40 +aa +00 +b1 +10 +df +00 +2b +30 +0f +10 +26 +20 +87 +10 +b2 +40 +f5 +00 +d3 +10 +54 +00 +f5 +00 +53 +00 +1f +10 +96 +00 +40 +20 +1f +20 +bb +60 +df +10 +9b +60 +12 +30 +0d +90 +e4 +20 +aa +60 +ee +10 +1b +50 +b2 +20 +0d +80 +25 +20 +74 +30 +64 +00 +20 +10 +5c +10 +83 +60 +58 +20 +79 +50 +9e +10 +cb +20 +8e +00 +79 +10 +11 +10 +78 +40 +d4 +20 +b6 +80 +6b +30 +ed +90 +b2 +30 +63 +a0 +46 +30 +94 +60 +41 +10 +24 +30 +0e +10 +42 +30 +c9 +10 +bf +30 +c4 +00 +f1 +10 +f0 +00 +30 +50 +0e +30 +ec +80 +39 +30 +13 +80 +da +20 +6d +80 +75 +30 +03 +80 +55 +10 +f1 +20 +9a +00 +b2 +10 +a8 +00 +03 +30 +e6 +20 +85 +90 +9f +30 +46 +a0 +63 +20 +0f +40 +65 +10 +a3 +30 +94 +00 +35 +10 +62 +00 +11 +10 +b0 +00 +df +10 +74 +00 +9c +10 +7d +10 +5b +70 +5a +30 +1a +90 +3f +20 +1c +40 +bd +00 +ee +10 +86 +00 +6e +20 +d2 +00 +a2 +20 +90 +00 +23 +10 +38 +00 +7f +00 +29 +00 +92 +00 +5f +00 +27 +10 +4a +00 +38 +10 +4e +00 +aa +00 +39 +00 +a3 +00 +3d +00 +9f +00 +38 +00 +9a +00 +27 +00 +73 +00 +2f +00 +76 +00 +30 +00 +5f +00 +2c +00 +73 +00 +26 +00 +75 +00 +6a +00 +c0 +00 +2c +00 +7c +00 +27 +00 +6b +00 +25 +00 +6b +00 +27 +00 +5a +00 +28 +00 +64 +00 +52 +00 +c2 +10 +47 +00 +8c +00 +33 +00 +8a +00 +30 +00 +78 +00 +33 +00 +74 +00 +79 +00 +74 +20 +3f +00 +8c +00 +2f +00 +79 +00 +2c +00 +70 +00 +30 +00 +68 +00 +2a +00 +5f +00 +25 +00 +4d +00 +1f +00 +3d +00 +26 +00 +4c +00 +1f +00 +58 +00 +96 +00 +17 +30 +b0 +00 +06 +10 +2f +00 +5c +00 +1c +00 +3b +00 +18 +00 +3d +00 +1e +00 +40 +00 +1d +00 +3e +00 +23 +00 +57 +00 +21 +00 +87 +00 +5b +00 +a0 +00 +24 +00 +52 +00 +23 +00 +5d +00 +35 +00 +d4 +00 +a4 +00 +df +00 +27 +00 +7f +00 +83 +00 +58 +10 +53 +00 +cc +00 +4e +00 +b9 +00 +47 +00 +25 +10 +f7 +10 +84 +60 +52 +20 +1d +60 +63 +20 +46 +70 +b1 +20 +9b +30 +68 +00 +b6 +00 +32 +00 +77 +00 +29 +00 +76 +00 +27 +00 +74 +00 +2b +00 +d3 +00 +f1 +00 +6e +30 +c1 +10 +09 +50 +03 +10 +ef +10 +4a +00 +c1 +00 +34 +00 +75 +00 +3d +00 +c2 +00 +64 +00 +33 +10 +dc +00 +7c +40 +db +10 +b7 +20 +71 +00 +47 +10 +df +00 +13 +60 +e8 +30 +cc +a0 +02 +40 +41 +b0 +0f +40 +c1 +a0 +9c +20 +e0 +50 +a3 +30 +15 +b0 +2f +40 +b7 +b0 +32 +40 +ad +b0 +54 +40 +b0 +b0 +3d +40 +f4 +a0 +b6 +30 +4d +60 +5d +10 +85 +60 +9a +30 +74 +90 +e0 +20 +c0 +60 +c9 +00 +5e +10 +53 +00 +ba +10 +9f +00 +ed +00 +46 +00 +ca +00 +3e +00 +9a +00 +37 +00 +6f +00 +34 +00 +85 +00 +35 +00 +83 +00 +39 +00 +7e +00 +2c +00 +78 +00 +29 +00 +9e +00 +ee +00 +3b +10 +00 +00 +00 +00 +ad +00 +77 +00 +a0 +00 +75 +00 +a0 +00 +79 +00 +a1 +00 +71 +00 +a5 +00 +76 +00 +9d +00 +64 +00 +b3 +00 +78 +00 +ae +00 +99 +00 +f7 +00 +ce +10 +41 +40 +64 +20 +05 +40 +83 +20 +96 +40 +0f +20 +d0 +20 +7e +10 +e2 +10 +e1 +00 +eb +00 +85 +00 +a7 +00 +89 +00 +90 +00 +67 +00 +88 +00 +6f +00 +ae +00 +e0 +00 +e4 +00 +ac +00 +a8 +00 +7c +00 +ba +00 +a3 +00 +df +00 +99 +00 +bb +00 +bf +00 +11 +10 +60 +20 +26 +50 +34 +40 +b9 +60 +05 +50 +89 +80 +c0 +50 +03 +80 +2c +40 +c0 +40 +08 +20 +45 +20 +c2 +00 +ce +00 +67 +00 +94 +00 +67 +00 +8f +00 +5e +00 +93 +00 +65 +00 +ac +00 +92 +00 +e5 +10 +fc +00 +0b +10 +5e +00 +6c +00 +50 +00 +6b +00 +66 +00 +87 +00 +78 +00 +bc +00 +63 +00 +78 +00 +46 +00 +72 +00 +3c +00 +59 +00 +39 +00 +5d +00 +84 +00 +17 +20 +ca +00 +d0 +00 +50 +00 +6b +00 +4c +00 +65 +00 +4a +00 +77 +00 +41 +00 +64 +00 +44 +00 +6e +00 +33 +00 +63 +00 +37 +00 +55 +00 +41 +00 +56 +00 +3e +00 +6f +00 +45 +00 +5d +00 +3b +00 +75 +00 +33 +00 +56 +00 +42 +00 +61 +00 +29 +10 +48 +20 +d4 +00 +9d +00 +5c +00 +71 +00 +50 +00 +7e +00 +a2 +00 +62 +10 +a7 +00 +ea +00 +74 +00 +6d +00 +50 +00 +68 +00 +3f +00 +68 +00 +38 +00 +5a +00 +37 +00 +59 +00 +2b +00 +4e +00 +2a +00 +4b +00 +27 +00 +44 +00 +34 +00 +54 +00 +2d +00 +53 +00 +4b +00 +98 +00 +7a +10 +ba +10 +9b +00 +90 +00 +53 +00 +70 +00 +3d +00 +69 +00 +47 +00 +7c +00 +4d +00 +90 +00 +55 +00 +77 +00 +50 +00 +80 +00 +80 +00 +11 +10 +d2 +10 +20 +50 +8a +10 +77 +10 +b2 +00 +8f +00 +6b +00 +95 +00 +4a +10 +a5 +30 +5e +20 +07 +40 +88 +20 +ac +30 +3e +10 +c8 +00 +79 +00 +a3 +00 +72 +00 +9a +00 +7d +00 +a3 +00 +62 +00 +8c +00 +76 +00 +01 +10 +a2 +10 +37 +30 +d1 +10 +fe +20 +60 +20 +b4 +30 +70 +30 +4a +70 +d0 +40 +9d +70 +4f +40 +37 +40 +bf +10 +83 +10 +29 +20 +7a +40 +39 +20 +80 +40 +a9 +30 +03 +60 +f4 +10 +a8 +10 +2f +10 +15 +10 +30 +10 +86 +10 +53 +20 +87 +30 +51 +40 +49 +70 +90 +40 +1c +80 +ba +50 +32 +90 +59 +50 +4b +80 +30 +50 +2d +80 +0f +40 +f4 +60 +83 +20 +33 +20 +55 +10 +d3 +10 +32 +30 +38 +70 +a7 +20 +84 +30 +b6 +10 +b6 +10 +a5 +10 +d8 +10 +02 +30 +d3 +60 +e0 +50 +d8 +90 +27 +60 +23 +a0 +a7 +50 +bb +80 +b1 +30 +21 +40 +e6 +20 +a2 +30 +d6 +20 +50 +50 +a7 +20 +5f +30 +c6 +20 +83 +30 +35 +50 +5d +80 +e7 +50 +7d +90 +77 +60 +92 +90 +80 +60 +96 +90 +42 +40 +38 +40 +31 +20 +a5 +10 +6e +10 +92 +10 +04 +30 +15 +70 +0e +60 +5f +a0 +37 +60 +f9 +80 +ac +40 +9e +60 +76 +30 +f0 +20 +0f +20 +6e +10 +8f +10 +3c +30 +30 +30 +bd +20 +6a +20 +88 +30 +c5 +40 +cb +80 +ba +30 +9f +40 +35 +20 +12 +20 +24 +10 +23 +10 +f5 +00 +43 +10 +f3 +00 +35 +10 +d7 +00 +cf +00 +88 +00 +79 +00 +80 +00 +b3 +10 +6f +10 +99 +10 +c4 +00 +af +10 +9c +00 +d5 +00 +c1 +10 +b7 +10 +eb +00 +b6 +00 +79 +00 +84 +00 +4b +00 +70 +00 +39 +00 +65 +00 +4a +00 +6c +00 +47 +00 +77 +00 +57 +00 +5a +10 +86 +00 +99 +00 +49 +00 +6c +00 +34 +00 +60 +00 +35 +00 +65 +00 +49 +00 +5e +00 +43 +00 +73 +00 +52 +00 +b0 +00 +45 +00 +a8 +00 +41 +00 +77 +00 +3b +00 +b8 +00 +55 +00 +92 +00 +4f +00 +c1 +00 +6e +00 +56 +10 +55 +00 +6f +00 +32 +00 +65 +00 +39 +00 +61 +00 +30 +00 +50 +00 +35 +00 +54 +00 +34 +00 +48 +00 +35 +00 +62 +00 +57 +00 +1d +10 +b3 +00 +25 +10 +7c +00 +9b +00 +43 +00 +40 +00 +20 +00 +3a +00 +24 +00 +3d +00 +1f +00 +3a +00 +29 +00 +4d +00 +32 +00 +57 +00 +47 +00 +65 +00 +40 +00 +6c +00 +3d +00 +4b +00 +3d +00 +66 +00 +98 +00 +f2 +20 +f4 +10 +c4 +10 +a7 +00 +99 +00 +70 +00 +b7 +00 +71 +00 +9f +00 +b2 +00 +d4 +00 +14 +20 +08 +40 +11 +30 +8e +50 +7d +30 +23 +60 +67 +30 +40 +60 +a5 +10 +6f +10 +ab +00 +85 +00 +52 +00 +83 +00 +49 +00 +63 +00 +3f +00 +88 +00 +b9 +00 +6c +20 +95 +20 +ac +60 +5f +20 +8c +20 +2e +10 +fd +00 +8d +00 +8d +00 +58 +00 +9f +00 +89 +00 +d8 +00 +ef +00 +a8 +10 +f3 +10 +56 +50 +17 +20 +b2 +10 +8a +10 +b6 +10 +4d +30 +16 +90 +73 +60 +4a +b0 +16 +60 +f4 +70 +c9 +50 +61 +90 +31 +50 +38 +70 +a4 +60 +46 +b0 +c7 +70 +ac +b0 +ac +70 +c9 +b0 +da +60 +6c +90 +b1 +40 +dc +80 +9f +30 +f5 +40 +6c +40 +b5 +90 +e4 +50 +2f +90 +8c +40 +15 +40 +4b +20 +cf +10 +3b +10 +20 +10 +b6 +00 +b7 +00 +81 +00 +99 +00 +57 +00 +7e +00 +4e +00 +8b +00 +47 +00 +84 +00 +4a +00 +7d +00 +4b +00 +6f +00 +4b +00 +87 +00 +df +00 +b1 +30 +d3 +00 +00 +00 +00 +00 +34 +00 +9a +00 +40 +00 +a9 +00 +37 +00 +a9 +00 +45 +00 +98 +00 +39 +00 +b2 +00 +3f +00 +ad +00 +48 +00 +b0 +00 +41 +00 +cf +00 +5f +00 +0d +20 +d5 +00 +45 +20 +af +00 +3f +20 +ad +00 +14 +20 +80 +00 +90 +10 +68 +00 +fc +00 +3f +00 +af +00 +3c +00 +82 +00 +38 +00 +7f +00 +32 +00 +8c +00 +6e +00 +94 +20 +b0 +00 +ed +00 +38 +00 +9f +00 +3a +00 +b6 +00 +47 +00 +ae +00 +48 +00 +d7 +00 +6a +00 +77 +20 +73 +20 +c6 +60 +68 +20 +e7 +70 +0b +30 +f2 +80 +fa +20 +5f +70 +93 +10 +02 +30 +7f +00 +16 +10 +3a +00 +92 +00 +35 +00 +8e +00 +36 +00 +a3 +00 +31 +00 +a8 +00 +56 +00 +ef +00 +6e +00 +0f +10 +3f +00 +92 +00 +28 +00 +6e +00 +25 +00 +75 +00 +2a +00 +7d +00 +33 +00 +85 +00 +25 +00 +78 +00 +23 +00 +6e +00 +2a +00 +5f +00 +25 +00 +94 +00 +a2 +00 +49 +10 +3a +00 +7d +00 +23 +00 +81 +00 +2e +00 +7e +00 +30 +00 +74 +00 +28 +00 +6c +00 +23 +00 +65 +00 +29 +00 +6a +00 +1a +00 +51 +00 +20 +00 +5d +00 +1c +00 +66 +00 +24 +00 +66 +00 +28 +00 +65 +00 +24 +00 +64 +00 +32 +00 +89 +10 +93 +10 +ae +20 +47 +00 +76 +00 +2a +00 +68 +00 +2b +00 +ab +00 +49 +00 +e4 +00 +36 +00 +9d +00 +24 +00 +6e +00 +20 +00 +5b +00 +20 +00 +64 +00 +22 +00 +66 +00 +23 +00 +5d +00 +1d +00 +4c +00 +14 +00 +49 +00 +1a +00 +4e +00 +1e +00 +4f +00 +1a +00 +5e +00 +64 +00 +f8 +20 +15 +10 +b8 +10 +39 +00 +7c +00 +2d +00 +73 +00 +2c +00 +77 +00 +2d +00 +79 +00 +35 +00 +67 +00 +2a +00 +61 +00 +2a +00 +7c +00 +54 +00 +fe +10 +a9 +10 +70 +30 +63 +00 +bd +00 +29 +00 +79 +00 +37 +00 +98 +10 +f5 +10 +8a +50 +07 +20 +8f +40 +12 +10 +77 +20 +7e +00 +2f +10 +45 +00 +a4 +00 +36 +00 +e4 +00 +55 +00 +9c +00 +2c +00 +7f +00 +4e +00 +6a +10 +bc +00 +4b +20 +cc +10 +83 +40 +3a +10 +89 +30 +ec +10 +89 +60 +bf +20 +f0 +70 +95 +10 +88 +20 +93 +00 +32 +30 +03 +20 +b5 +30 +e3 +10 +a6 +60 +d8 +10 +19 +30 +fb +00 +49 +30 +b8 +00 +d5 +10 +99 +10 +c2 +50 +6d +20 +b3 +70 +09 +30 +c5 +60 +e0 +20 +08 +90 +85 +30 +45 +90 +a7 +20 +e8 +70 +32 +20 +4b +50 +53 +10 +01 +30 +a0 +00 +52 +10 +8e +00 +d4 +30 +ea +10 +dc +30 +b2 +00 +cf +10 +db +00 +20 +30 +92 +10 +b7 +40 +ce +20 +13 +90 +48 +30 +52 +80 +93 +20 +ac +60 +c1 +10 +7f +40 +5d +10 +a3 +30 +3c +10 +71 +30 +8b +10 +fe +30 +ec +10 +24 +50 +ac +20 +2c +80 +5b +30 +5f +90 +c9 +30 +3a +a0 +cd +30 +3e +a0 +70 +30 +11 +70 +16 +10 +3d +20 +7d +00 +55 +10 +a5 +00 +f5 +30 +1b +30 +4e +90 +a9 +30 +f1 +90 +44 +30 +7a +70 +93 +20 +69 +60 +46 +20 +93 +50 +b8 +00 +e7 +10 +2a +20 +17 +70 +1e +20 +f3 +30 +22 +20 +d9 +70 +83 +20 +a1 +40 +f3 +00 +71 +20 +7b +00 +2f +10 +57 +00 +f8 +00 +81 +00 +18 +20 +a1 +00 +4d +10 +46 +00 +ab +00 +2a +00 +8c +00 +b7 +00 +76 +20 +7e +00 +33 +10 +5a +00 +e1 +00 +a2 +00 +72 +40 +45 +10 +df +10 +4e +00 +b0 +00 +38 +00 +70 +00 +2c +00 +76 +00 +2b +00 +70 +00 +26 +00 +63 +00 +2c +00 +71 +00 +35 +00 +7c +00 +34 +00 +81 +00 +2d +00 +65 +00 +25 +00 +5a +00 +20 +00 +64 +00 +1e +00 +61 +00 +24 +00 +8a +00 +37 +00 +8b +00 +38 +00 +6b +00 +33 +00 +72 +00 +28 +00 +75 +00 +33 +00 +8b +00 +30 +00 +94 +00 +3a +00 +8a +00 +25 +00 +56 +00 +20 +00 +4e +00 +20 +00 +53 +00 +2e +00 +56 +00 +28 +00 +61 +00 +2a +00 +60 +00 +23 +00 +5e +00 +62 +00 +4f +10 +53 +00 +c5 +00 +20 +00 +5b +00 +1b +00 +40 +00 +14 +00 +40 +00 +1e +00 +44 +00 +17 +00 +3f +00 +1b +00 +62 +00 +29 +00 +6b +00 +20 +00 +6a +00 +28 +00 +5a +00 +1d +00 +51 +00 +23 +00 +90 +00 +c5 +00 +24 +40 +c9 +00 +03 +10 +33 +00 +93 +00 +30 +00 +a2 +00 +59 +00 +36 +10 +d1 +00 +77 +40 +25 +20 +17 +50 +fc +10 +21 +60 +66 +10 +51 +30 +f9 +00 +11 +20 +53 +00 +b6 +00 +54 +00 +0d +10 +3c +00 +6b +00 +27 +00 +75 +00 +32 +00 +ae +00 +7d +00 +6c +30 +17 +20 +10 +30 +7c +00 +2c +10 +3b +00 +9a +00 +34 +00 +81 +00 +3c +00 +a0 +00 +4c +00 +1b +10 +6e +00 +93 +20 +8d +20 +49 +40 +80 +00 +74 +10 +7f +00 +1e +30 +6f +30 +a0 +a0 +7e +30 +3b +70 +91 +10 +fc +60 +b5 +30 +95 +80 +0b +20 +f7 +80 +13 +40 +85 +b0 +1d +40 +92 +b0 +e2 +30 +21 +80 +a5 +10 +71 +40 +5e +10 +74 +30 +ee +00 +bb +40 +9f +30 +9e +a0 +51 +30 +4b +80 +52 +20 +b5 +40 +b7 +00 +48 +10 +51 +00 +c9 +00 +39 +00 +a0 +00 +43 +00 +b4 +00 +32 +00 +7a +00 +35 +00 +77 +00 +23 +00 +72 +00 +23 +00 +5f +00 +24 +00 +69 +00 +3d +00 +e4 +10 +d3 +00 +37 +10 +00 +00 +00 +00 +9e +00 +6b +00 +a3 +00 +6a +00 +a7 +00 +7a +00 +a4 +00 +73 +00 +b1 +00 +6f +00 +ac +00 +6c +00 +ab +00 +88 +00 +e3 +00 +ed +00 +35 +10 +e2 +00 +7c +10 +16 +10 +83 +10 +22 +10 +7f +10 +2c +10 +65 +10 +fb +00 +15 +10 +8d +00 +ae +00 +5b +00 +99 +00 +57 +00 +8d +00 +48 +00 +7e +00 +6a +00 +bc +00 +45 +10 +9f +20 +d8 +00 +b3 +00 +72 +00 +9f +00 +78 +00 +af +00 +9d +00 +5a +10 +de +00 +07 +10 +a9 +20 +02 +60 +41 +40 +95 +60 +31 +50 +e6 +80 +07 +60 +b2 +80 +a4 +40 +c3 +40 +a1 +10 +5f +10 +b9 +00 +bd +00 +76 +00 +99 +00 +57 +00 +86 +00 +59 +00 +84 +00 +69 +00 +b8 +00 +86 +00 +e1 +00 +70 +00 +b1 +00 +5d +00 +6d +00 +42 +00 +6c +00 +4b +00 +62 +00 +57 +00 +7a +00 +57 +00 +77 +00 +54 +00 +7c +00 +41 +00 +6e +00 +43 +00 +6f +00 +58 +00 +b5 +00 +63 +00 +b7 +00 +73 +00 +80 +00 +95 +00 +a3 +00 +83 +00 +76 +00 +70 +00 +77 +00 +47 +00 +5f +00 +38 +00 +6d +00 +3b +00 +5b +00 +37 +00 +52 +00 +3f +00 +5e +00 +45 +00 +61 +00 +3c +00 +61 +00 +59 +00 +6a +00 +59 +00 +73 +00 +e7 +00 +94 +20 +44 +10 +57 +10 +ac +00 +73 +00 +75 +00 +76 +00 +67 +00 +a8 +00 +86 +00 +8f +00 +4c +00 +60 +00 +33 +00 +6a +00 +37 +00 +61 +00 +33 +00 +64 +00 +3c +00 +5a +00 +33 +00 +49 +00 +24 +00 +50 +00 +31 +00 +4e +00 +27 +00 +43 +00 +2d +00 +53 +00 +48 +00 +9b +00 +e8 +00 +20 +30 +11 +10 +ea +00 +55 +00 +73 +00 +38 +00 +76 +00 +4e +00 +79 +00 +62 +00 +76 +00 +69 +00 +92 +00 +4c +00 +74 +00 +61 +00 +b1 +00 +ee +00 +99 +20 +00 +10 +8c +10 +a3 +00 +77 +00 +52 +00 +89 +00 +0d +10 +df +20 +42 +10 +3a +30 +8a +10 +4d +20 +78 +10 +39 +20 +f3 +00 +0e +10 +74 +00 +a5 +00 +72 +00 +f3 +00 +5e +00 +8a +00 +60 +00 +8e +00 +88 +00 +eb +00 +f1 +00 +f2 +10 +84 +20 +c8 +40 +cb +30 +2e +50 +75 +30 +b9 +40 +34 +30 +80 +50 +0d +20 +9f +10 +39 +20 +b7 +40 +24 +20 +92 +30 +75 +20 +e1 +30 +37 +20 +b7 +30 +eb +10 +f3 +20 +54 +20 +fc +30 +dd +30 +cf +70 +a3 +50 +dd +80 +80 +50 +a1 +60 +bd +50 +6d +90 +c8 +50 +27 +70 +64 +30 +fd +30 +55 +20 +b5 +20 +7c +10 +88 +10 +0c +10 +2f +10 +ac +10 +32 +40 +b5 +10 +2f +20 +43 +10 +e0 +10 +76 +30 +11 +70 +5a +40 +18 +70 +9b +40 +26 +70 +bc +30 +b4 +40 +78 +30 +f9 +30 +9f +30 +bc +50 +e3 +20 +66 +30 +02 +30 +5c +40 +76 +40 +83 +70 +6d +50 +f5 +80 +d3 +50 +0a +90 +af +60 +41 +a0 +e8 +60 +96 +a0 +e0 +60 +2f +a0 +73 +40 +d4 +30 +49 +20 +93 +10 +bf +10 +8c +20 +4d +40 +ec +70 +7d +50 +af +80 +04 +60 +c2 +80 +4d +60 +04 +90 +b8 +50 +b2 +80 +d3 +40 +94 +40 +b8 +30 +b2 +60 +e4 +40 +7e +80 +59 +50 +9b +70 +6e +40 +16 +50 +5c +20 +8b +20 +7f +10 +4c +10 +e2 +00 +dc +00 +14 +10 +c9 +10 +4c +30 +8c +50 +2a +20 +5e +10 +c8 +00 +aa +00 +9e +00 +d0 +00 +84 +00 +0d +10 +bc +00 +e7 +00 +e9 +00 +61 +10 +75 +30 +8a +60 +71 +20 +9f +10 +d7 +00 +9b +00 +53 +00 +6d +00 +42 +00 +7f +00 +54 +00 +7b +00 +5c +00 +74 +00 +58 +00 +7c +00 +40 +00 +84 +00 +4b +00 +83 +00 +41 +00 +5c +00 +39 +00 +52 +00 +32 +00 +98 +00 +39 +00 +5d +00 +3e +00 +6b +00 +3e +00 +6f +00 +34 +00 +5f +00 +38 +00 +61 +00 +3d +00 +72 +00 +41 +00 +6f +00 +42 +00 +5f +00 +39 +00 +5f +00 +3e +00 +55 +00 +26 +00 +53 +00 +31 +00 +57 +00 +52 +00 +60 +10 +92 +00 +ba +00 +38 +00 +59 +00 +4d +00 +90 +00 +50 +00 +ab +00 +58 +00 +61 +00 +3b +00 +44 +00 +26 +00 +37 +00 +24 +00 +3a +00 +2a +00 +3a +00 +2b +00 +48 +00 +71 +00 +db +00 +96 +00 +79 +00 +53 +00 +69 +00 +3c +00 +53 +00 +46 +00 +66 +00 +8f +00 +ef +00 +6c +10 +f6 +20 +d5 +00 +99 +00 +71 +00 +8c +00 +7f +00 +72 +10 +3f +20 +3f +50 +a1 +30 +51 +60 +b2 +30 +e2 +50 +bf +20 +25 +30 +9e +10 +cc +10 +ef +00 +0c +10 +8d +00 +95 +00 +5d +00 +b0 +00 +46 +00 +6e +00 +47 +00 +77 +00 +88 +00 +eb +00 +f7 +00 +7d +20 +4f +10 +7e +10 +e4 +00 +bc +00 +7e +00 +9d +00 +80 +00 +a5 +00 +d2 +00 +e8 +00 +13 +20 +d9 +30 +04 +30 +3c +50 +da +30 +fa +30 +7a +20 +a8 +10 +b3 +30 +ec +70 +7f +50 +5f +70 +f1 +30 +d8 +30 +92 +40 +3c +90 +43 +60 +29 +70 +5f +50 +30 +a0 +e7 +50 +2c +a0 +ef +50 +a5 +80 +cb +30 +9d +30 +c1 +20 +a4 +20 +08 +20 +37 +20 +70 +20 +77 +50 +aa +40 +58 +80 +94 +40 +83 +70 +c1 +40 +27 +50 +4c +20 +5b +10 +ce +00 +b3 +00 +9b +00 +a1 +00 +82 +00 +9f +00 +73 +00 +86 +00 +5a +00 +79 +00 +4f +00 +5c +00 +41 +00 +64 +00 +4c +00 +94 +00 +73 +00 +07 +10 +7b +00 +00 +00 +00 +00 +33 +00 +96 +00 +43 +00 +9c +00 +33 +00 +a6 +00 +41 +00 +a8 +00 +3a +00 +b8 +00 +41 +00 +b0 +00 +3e +00 +c2 +00 +68 +00 +bb +10 +4f +00 +1b +10 +62 +00 +24 +10 +5a +00 +29 +10 +61 +00 +85 +10 +69 +00 +0a +10 +3d +00 +b2 +00 +2d +00 +81 +00 +2d +00 +81 +00 +2d +00 +77 +00 +32 +00 +8b +00 +49 +00 +81 +10 +a5 +00 +2d +10 +32 +00 +9c +00 +30 +00 +b1 +00 +42 +00 +d8 +00 +96 +00 +21 +10 +6d +00 +d7 +20 +2e +20 +84 +60 +8d +20 +2f +80 +e6 +20 +1a +90 +13 +30 +d3 +70 +39 +10 +67 +20 +67 +00 +e3 +00 +40 +00 +a2 +00 +3e +00 +88 +00 +38 +00 +89 +00 +3d +00 +97 +00 +35 +00 +9f +00 +3b +00 +c3 +00 +2d +00 +7b +00 +2a +00 +78 +00 +24 +00 +6b +00 +27 +00 +6d +00 +28 +00 +7f +00 +2e +00 +7a +00 +27 +00 +67 +00 +2b +00 +6f +00 +23 +00 +79 +00 +32 +00 +86 +00 +3a +00 +82 +00 +79 +00 +3f +10 +50 +00 +b9 +00 +38 +00 +b4 +00 +2a +00 +77 +00 +20 +00 +60 +00 +1d +00 +59 +00 +22 +00 +54 +00 +1e +00 +66 +00 +2b +00 +65 +00 +27 +00 +67 +00 +27 +00 +77 +00 +34 +00 +8d +00 +34 +00 +06 +10 +19 +10 +47 +20 +59 +00 +de +00 +33 +00 +93 +00 +31 +00 +8a +00 +28 +00 +84 +00 +24 +00 +68 +00 +23 +00 +60 +00 +1e +00 +60 +00 +1e +00 +56 +00 +22 +00 +58 +00 +23 +00 +5d +00 +1c +00 +4c +00 +1f +00 +50 +00 +19 +00 +58 +00 +1d +00 +51 +00 +1b +00 +4d +00 +2f +00 +df +00 +c8 +00 +a4 +10 +45 +00 +89 +00 +2f +00 +72 +00 +34 +00 +8f +00 +3b +00 +8a +00 +2c +00 +8d +00 +4b +00 +97 +00 +25 +00 +7b +00 +38 +00 +f6 +00 +74 +00 +4a +10 +50 +00 +b5 +00 +2c +00 +7e +00 +2c +00 +f2 +00 +95 +00 +89 +10 +7b +00 +9f +10 +6b +00 +4a +10 +75 +00 +19 +10 +58 +00 +b9 +00 +34 +00 +9a +00 +32 +00 +7f +00 +34 +00 +72 +00 +2e +00 +be +00 +4b +00 +07 +10 +72 +00 +68 +20 +ad +10 +e8 +50 +b7 +20 +f7 +60 +e9 +10 +4f +40 +ff +00 +50 +20 +81 +00 +00 +30 +7b +10 +f5 +20 +da +00 +5d +30 +37 +10 +97 +20 +65 +10 +32 +30 +ba +00 +3c +30 +d6 +10 +16 +50 +dc +20 +2e +90 +76 +30 +e3 +80 +44 +20 +94 +70 +71 +30 +b3 +90 +87 +20 +8f +50 +0b +10 +23 +30 +b7 +00 +c9 +10 +64 +00 +10 +10 +57 +00 +80 +10 +e4 +00 +0d +20 +92 +00 +2b +10 +d4 +00 +f9 +40 +e0 +20 +3d +70 +04 +20 +28 +50 +6b +10 +1c +40 +c3 +10 +a1 +50 +52 +10 +f9 +40 +49 +20 +2d +50 +42 +10 +96 +40 +85 +20 +99 +70 +45 +30 +02 +90 +b2 +30 +4c +90 +a4 +30 +99 +a0 +cc +30 +a8 +a0 +01 +40 +73 +a0 +7f +30 +42 +70 +e3 +10 +91 +30 +b2 +00 +09 +20 +a0 +10 +f0 +50 +c9 +20 +a1 +80 +43 +30 +07 +a0 +a8 +30 +d2 +90 +8f +30 +c9 +90 +bb +30 +41 +90 +99 +20 +95 +60 +0f +30 +c4 +80 +6a +30 +09 +90 +2f +30 +c1 +60 +26 +10 +de +20 +91 +00 +62 +10 +58 +00 +e6 +00 +53 +00 +2a +10 +a4 +10 +5e +60 +e6 +20 +8f +50 +9d +00 +4e +10 +42 +00 +bb +00 +4a +00 +d9 +00 +4f +00 +92 +10 +7b +00 +4e +10 +4b +10 +58 +60 +27 +30 +9d +60 +b8 +00 +23 +10 +33 +00 +79 +00 +26 +00 +76 +00 +28 +00 +95 +00 +47 +00 +d8 +00 +2b +00 +7a +00 +28 +00 +7b +00 +2c +00 +94 +00 +61 +00 +81 +00 +27 +00 +5c +00 +24 +00 +72 +00 +3d +00 +7b +00 +1c +00 +63 +00 +2a +00 +52 +00 +1f +00 +4d +00 +1a +00 +5a +00 +25 +00 +5a +00 +21 +00 +6b +00 +21 +00 +71 +00 +1a +00 +5b +00 +2b +00 +5a +00 +25 +00 +46 +00 +20 +00 +5e +00 +20 +00 +74 +00 +91 +00 +f9 +00 +3e +00 +66 +00 +1d +00 +52 +00 +1e +00 +70 +00 +27 +00 +5f +00 +1d +00 +41 +00 +1c +00 +40 +00 +15 +00 +31 +00 +1e +00 +39 +00 +1c +00 +3e +00 +35 +00 +5c +10 +dc +00 +fc +10 +2e +00 +7f +00 +23 +00 +5d +00 +22 +00 +67 +00 +26 +00 +94 +00 +70 +00 +f4 +20 +ba +00 +37 +10 +37 +00 +80 +00 +31 +00 +a5 +00 +a7 +00 +07 +30 +b6 +20 +c0 +70 +92 +20 +5e +50 +8e +10 +c8 +20 +95 +00 +bd +10 +5f +00 +10 +10 +3e +00 +9a +00 +2c +00 +85 +00 +2e +00 +84 +00 +2f +00 +6d +00 +32 +00 +8c +00 +40 +00 +f4 +00 +75 +00 +9c +10 +65 +00 +e7 +00 +41 +00 +ad +00 +41 +00 +e3 +00 +75 +00 +f6 +10 +bc +00 +e4 +20 +33 +20 +ea +40 +9a +10 +06 +70 +0d +30 +c9 +40 +8d +10 +b5 +60 +95 +30 +ad +90 +a3 +10 +95 +30 +f9 +00 +1d +60 +ad +30 +67 +a0 +dd +20 +e0 +50 +78 +20 +ca +50 +a0 +10 +12 +60 +03 +20 +e6 +30 +c4 +00 +1f +20 +7e +00 +9a +10 +69 +00 +34 +20 +ad +10 +fe +60 +b7 +20 +13 +60 +d6 +20 +fb +80 +73 +30 +08 +70 +a1 +00 +20 +10 +48 +00 +e0 +00 +90 +00 +00 +10 +48 +00 +b7 +00 +36 +00 +24 +10 +47 +00 +7b +00 +20 +00 +63 +00 +26 +00 +75 +00 +31 +00 +bb +00 +3e +00 +b7 +00 +00 +00 +00 +00 +a0 +00 +6d +00 +a7 +00 +6a +00 +ba +00 +70 +00 +a0 +00 +72 +00 +ab +00 +75 +00 +a5 +00 +72 +00 +aa +00 +a2 +00 +f2 +00 +ba +10 +63 +20 +ed +00 +f5 +00 +be +00 +d3 +00 +db +00 +e7 +00 +6a +10 +63 +20 +fb +00 +b9 +00 +70 +00 +8d +00 +57 +00 +71 +00 +45 +00 +72 +00 +57 +00 +7e +00 +69 +00 +a0 +00 +91 +00 +fc +00 +b0 +00 +b3 +00 +a2 +00 +a7 +00 +a2 +00 +b8 +00 +d1 +00 +7b +10 +57 +10 +99 +10 +2d +30 +23 +50 +66 +40 +10 +70 +86 +50 +cb +80 +20 +60 +bc +80 +c0 +40 +43 +50 +20 +20 +58 +10 +f9 +00 +f9 +00 +a1 +00 +a0 +00 +67 +00 +a5 +00 +6a +00 +90 +00 +66 +00 +a0 +00 +69 +00 +b3 +00 +97 +00 +d9 +00 +53 +00 +7c +00 +4a +00 +7a +00 +41 +00 +6b +00 +4c +00 +7b +00 +df +00 +8c +10 +93 +00 +96 +00 +4e +00 +70 +00 +45 +00 +6a +00 +58 +00 +81 +00 +75 +00 +8c +00 +e4 +00 +09 +30 +f8 +10 +50 +20 +a8 +10 +3e +20 +1b +10 +cf +00 +65 +00 +6e +00 +3e +00 +59 +00 +37 +00 +55 +00 +42 +00 +5d +00 +47 +00 +74 +00 +4c +00 +6e +00 +8b +00 +c9 +00 +3a +10 +b3 +10 +95 +00 +86 +00 +76 +10 +50 +40 +e8 +20 +e5 +20 +93 +10 +81 +10 +0a +10 +bd +00 +8d +00 +81 +00 +64 +00 +65 +00 +47 +00 +6e +00 +3e +00 +4b +00 +3c +00 +49 +00 +3a +00 +5d +00 +3d +00 +5a +00 +2e +00 +55 +00 +36 +00 +55 +00 +2d +00 +56 +00 +3a +00 +56 +00 +2b +00 +51 +00 +41 +00 +5f +00 +6f +00 +e3 +00 +6b +00 +c3 +00 +65 +00 +6b +00 +47 +00 +77 +00 +0b +10 +aa +10 +80 +00 +79 +00 +43 +00 +7f +00 +54 +00 +7d +00 +cc +00 +be +00 +ad +00 +eb +00 +a5 +00 +d2 +00 +6b +00 +7a +00 +58 +00 +80 +00 +92 +00 +f8 +00 +f3 +00 +69 +10 +ef +00 +15 +10 +b9 +00 +d7 +00 +7b +00 +ca +00 +6f +00 +a3 +00 +66 +00 +73 +00 +4d +00 +76 +00 +73 +00 +92 +00 +c6 +00 +cc +00 +c9 +00 +11 +10 +83 +10 +c4 +20 +e2 +20 +36 +50 +de +30 +c1 +60 +71 +30 +7d +30 +b1 +10 +e3 +10 +63 +10 +78 +20 +43 +10 +ef +10 +e5 +10 +fa +30 +ce +10 +d6 +20 +be +10 +2e +30 +92 +10 +7f +20 +af +20 +a3 +50 +04 +50 +1c +90 +f6 +40 +bc +60 +2b +40 +f5 +70 +df +40 +9e +60 +04 +30 +e2 +20 +d0 +10 +b5 +10 +1d +10 +1f +10 +c6 +00 +01 +10 +0d +10 +7a +10 +12 +10 +4c +10 +03 +10 +5d +10 +32 +20 +c1 +40 +12 +30 +41 +40 +07 +30 +7c +30 +5c +30 +c7 +30 +e5 +20 +70 +40 +e3 +30 +25 +60 +52 +30 +3a +40 +b1 +40 +78 +80 +a3 +50 +48 +90 +35 +60 +d8 +90 +77 +60 +3c +a0 +e8 +60 +91 +a0 +28 +70 +b8 +a0 +d6 +60 +66 +a0 +a0 +50 +6a +70 +55 +40 +92 +40 +a0 +40 +d7 +60 +9f +50 +28 +90 +a6 +60 +38 +a0 +bd +60 +40 +a0 +c6 +60 +e5 +90 +8e +60 +63 +a0 +2f +60 +bc +90 +d4 +50 +2a +90 +80 +50 +aa +80 +34 +50 +8e +70 +7c +30 +4d +30 +05 +20 +8e +10 +90 +10 +2c +10 +5a +10 +46 +10 +cf +20 +d3 +50 +7e +30 +f5 +60 +13 +30 +f2 +30 +ab +20 +9b +10 +e0 +00 +d3 +00 +b8 +00 +0f +10 +26 +20 +ce +40 +55 +30 +6e +50 +ac +40 +4a +80 +c3 +20 +f3 +20 +25 +10 +c4 +00 +78 +00 +65 +00 +5f +00 +9a +00 +34 +10 +4e +20 +9a +00 +a9 +00 +6c +00 +6f +00 +4e +00 +6d +00 +4c +00 +88 +00 +46 +00 +62 +00 +36 +00 +59 +00 +3d +00 +65 +00 +33 +00 +5e +00 +2f +00 +53 +00 +25 +00 +5a +00 +30 +00 +63 +00 +3e +00 +60 +00 +3c +00 +62 +00 +30 +00 +60 +00 +34 +00 +4d +00 +2d +00 +56 +00 +33 +00 +49 +00 +28 +00 +4a +00 +2b +00 +5f +00 +41 +00 +7b +00 +3d +00 +7e +00 +3d +00 +50 +00 +35 +00 +48 +00 +3c +00 +5a +00 +3d +00 +46 +00 +27 +00 +37 +00 +26 +00 +41 +00 +23 +00 +41 +00 +22 +00 +3b +00 +33 +00 +6b +00 +c2 +00 +b8 +10 +9f +00 +de +00 +6e +00 +75 +00 +58 +00 +9e +00 +f4 +00 +cd +00 +ed +00 +c3 +10 +63 +10 +f5 +10 +ad +00 +a8 +00 +5d +00 +89 +00 +f5 +00 +c1 +20 +e5 +20 +8c +50 +91 +30 +57 +60 +e2 +20 +b3 +20 +a7 +10 +79 +10 +11 +10 +db +00 +b1 +00 +8f +00 +98 +00 +9b +00 +5f +00 +7f +00 +6c +00 +85 +00 +69 +00 +8d +00 +69 +00 +98 +00 +b0 +00 +ec +00 +fc +00 +99 +10 +63 +10 +16 +10 +bb +00 +c4 +00 +21 +20 +0c +50 +8d +30 +6c +50 +e2 +10 +13 +20 +b8 +10 +f1 +20 +d4 +30 +93 +80 +18 +50 +39 +70 +14 +60 +35 +a0 +f3 +50 +2c +60 +3f +40 +f8 +40 +fa +50 +0d +a0 +07 +60 +2f +a0 +d8 +40 +b6 +30 +16 +30 +50 +30 +dd +20 +55 +30 +3b +30 +35 +40 +52 +30 +d0 +20 +96 +10 +3b +10 +c3 +10 +f6 +20 +20 +40 +4e +80 +f4 +40 +02 +60 +46 +40 +96 +80 +4e +30 +49 +40 +0a +20 +3c +10 +44 +20 +c3 +40 +f6 +10 +1c +10 +2e +10 +51 +10 +d5 +00 +16 +10 +62 +00 +5c +00 +4c +00 +71 +00 +7f +00 +f2 +00 +6f +00 +e2 +00 +6f +00 +00 +00 +00 +00 +3c +00 +ad +00 +39 +00 +ae +00 +35 +00 +ad +00 +3d +00 +a0 +00 +35 +00 +aa +00 +42 +00 +c1 +00 +44 +00 +cb +00 +8d +00 +45 +30 +31 +10 +e6 +10 +42 +00 +af +00 +38 +00 +b5 +00 +47 +00 +1c +10 +bd +00 +80 +10 +39 +00 +9c +00 +2e +00 +76 +00 +2c +00 +7f +00 +34 +00 +89 +00 +26 +00 +7e +00 +35 +00 +eb +00 +53 +00 +e6 +00 +76 +00 +9b +10 +57 +00 +cd +00 +52 +00 +25 +10 +7e +00 +7b +10 +49 +10 +5d +50 +14 +20 +41 +50 +79 +20 +31 +80 +17 +30 +f7 +80 +12 +30 +3e +80 +88 +10 +a9 +20 +87 +00 +ce +10 +81 +00 +20 +10 +47 +00 +af +00 +35 +00 +a0 +00 +33 +00 +a5 +00 +38 +00 +92 +00 +38 +00 +aa +00 +41 +00 +a3 +00 +28 +00 +81 +00 +29 +00 +6e +00 +22 +00 +6a +00 +64 +00 +4f +20 +ff +00 +80 +10 +36 +00 +74 +00 +25 +00 +7e +00 +21 +00 +76 +00 +36 +00 +a9 +00 +43 +00 +35 +10 +ee +00 +eb +20 +cf +00 +39 +30 +9a +10 +24 +30 +55 +00 +8d +00 +28 +00 +61 +00 +1a +00 +54 +00 +1a +00 +55 +00 +27 +00 +68 +00 +2b +00 +7a +00 +3f +00 +38 +10 +c2 +00 +5a +20 +bf +00 +76 +10 +43 +00 +ad +10 +f6 +10 +56 +60 +19 +20 +ae +40 +f8 +00 +cc +20 +81 +00 +db +00 +31 +00 +72 +00 +26 +00 +66 +00 +20 +00 +5c +00 +27 +00 +5d +00 +1d +00 +58 +00 +22 +00 +5c +00 +1b +00 +56 +00 +23 +00 +5d +00 +20 +00 +5d +00 +20 +00 +55 +00 +20 +00 +59 +00 +27 +00 +5c +00 +21 +00 +92 +00 +39 +00 +a9 +00 +33 +00 +74 +00 +2a +00 +6b +00 +42 +00 +22 +20 +cc +00 +17 +10 +2a +00 +6f +00 +29 +00 +79 +00 +40 +00 +ab +10 +85 +00 +09 +10 +3e +00 +b5 +00 +35 +00 +70 +00 +2c +00 +66 +00 +22 +00 +a4 +00 +4a +00 +60 +10 +b0 +00 +56 +10 +40 +00 +b7 +00 +38 +00 +b4 +00 +4c +00 +ab +00 +35 +00 +8a +00 +2c +00 +71 +00 +2e +00 +6e +00 +91 +00 +50 +20 +65 +00 +e8 +00 +80 +00 +87 +20 +8f +10 +e5 +30 +04 +20 +22 +60 +ef +10 +e4 +40 +ee +00 +5d +20 +87 +00 +79 +10 +97 +00 +92 +10 +82 +00 +b2 +10 +6a +10 +f7 +20 +94 +00 +a5 +10 +ae +00 +ce +10 +c6 +00 +45 +40 +00 +20 +19 +70 +a5 +20 +f6 +50 +57 +10 +e1 +30 +93 +10 +69 +50 +81 +10 +57 +30 +ae +00 +b5 +10 +5a +00 +f5 +00 +54 +00 +dc +00 +94 +00 +d5 +10 +5b +00 +21 +10 +60 +00 +02 +10 +7d +00 +34 +20 +41 +10 +bb +40 +b8 +10 +fc +30 +b0 +10 +93 +50 +96 +10 +6b +40 +45 +10 +cb +30 +94 +10 +10 +50 +69 +20 +9f +60 +42 +30 +75 +90 +9e +30 +43 +a0 +9a +30 +81 +a0 +e3 +30 +a3 +a0 +bf +30 +a0 +a0 +8b +30 +3c +90 +22 +30 +96 +80 +ff +20 +26 +80 +fa +20 +44 +80 +67 +30 +4f +90 +b6 +30 +12 +a0 +c1 +30 +1f +a0 +8d +30 +63 +a0 +a7 +30 +46 +a0 +ad +30 +1d +a0 +63 +30 +7a +80 +ed +20 +1e +80 +3e +30 +1a +90 +c5 +20 +d2 +40 +dc +00 +27 +20 +e0 +00 +76 +30 +b6 +00 +5e +20 +da +10 +13 +60 +73 +20 +8e +40 +e7 +10 +39 +40 +58 +10 +d3 +40 +00 +10 +72 +10 +54 +00 +db +00 +df +00 +1f +40 +0f +20 +18 +70 +07 +30 +87 +80 +5f +20 +0d +40 +b3 +00 +78 +10 +39 +00 +86 +00 +2e +00 +97 +00 +9d +00 +5b +30 +ea +00 +47 +10 +36 +00 +90 +00 +2e +00 +6e +00 +27 +00 +6b +00 +2a +00 +5f +00 +23 +00 +64 +00 +1e +00 +53 +00 +21 +00 +5b +00 +25 +00 +4b +00 +27 +00 +58 +00 +22 +00 +49 +00 +3c +00 +cf +00 +46 +00 +78 +00 +23 +00 +60 +00 +1e +00 +50 +00 +19 +00 +41 +00 +1b +00 +46 +00 +1f +00 +4f +00 +1d +00 +51 +00 +21 +00 +60 +00 +25 +00 +65 +00 +23 +00 +4e +00 +18 +00 +43 +00 +1c +00 +43 +00 +1a +00 +43 +00 +18 +00 +35 +00 +1b +00 +37 +00 +18 +00 +34 +00 +11 +00 +35 +00 +15 +00 +35 +00 +21 +00 +0b +10 +5f +00 +e8 +00 +4d +00 +cb +00 +26 +00 +73 +00 +ad +00 +a3 +30 +ba +00 +fe +10 +cd +00 +e1 +10 +79 +00 +e2 +00 +31 +00 +75 +00 +43 +00 +fa +10 +90 +10 +a3 +40 +94 +20 +55 +60 +d6 +10 +1d +50 +07 +10 +9f +10 +48 +00 +d1 +00 +4b +00 +f3 +00 +46 +00 +73 +10 +8b +00 +a6 +00 +32 +00 +0d +10 +c0 +00 +ef +00 +37 +00 +89 +00 +33 +00 +9f +00 +43 +00 +40 +10 +9f +10 +f4 +20 +6b +00 +ee +00 +af +00 +ec +40 +08 +30 +d2 +70 +75 +10 +95 +20 +8f +00 +ed +10 +34 +10 +11 +70 +a6 +30 +d5 +90 +ee +20 +56 +80 +01 +40 +cc +a0 +92 +20 +2d +60 +47 +30 +88 +90 +55 +30 +76 +70 +98 +20 +0c +70 +0c +10 +bb +20 +b5 +00 +81 +20 +7a +10 +03 +50 +41 +20 +cb +50 +45 +10 +b6 +10 +5b +00 +3f +10 +06 +10 +e6 +50 +35 +30 +53 +80 +72 +10 +8a +40 +84 +10 +6e +30 +fc +10 +ad +50 +2f +10 +b2 +50 +f5 +20 +e5 +40 +12 +10 +6f +20 +c6 +00 +1d +10 +3d +00 +83 +00 +21 +00 +6d +00 +7b +00 +5e +10 +96 +00 +ed +00 +50 +00 +ae +00 +00 +00 +00 +00 +a3 +00 +6e +00 +ae +00 +74 +00 +a2 +00 +70 +00 +ad +00 +6f +00 +9a +00 +6b +00 +b6 +00 +73 +00 +b1 +00 +92 +00 +0b +10 +fd +00 +7e +20 +eb +00 +0e +10 +a2 +00 +bb +00 +34 +10 +27 +10 +db +10 +77 +20 +4b +10 +13 +10 +63 +00 +88 +00 +56 +00 +81 +00 +48 +00 +77 +00 +48 +00 +84 +00 +57 +00 +83 +00 +83 +00 +d9 +00 +3d +10 +1a +30 +c3 +10 +27 +20 +b1 +10 +9f +20 +96 +20 +26 +30 +f1 +20 +ca +40 +6e +40 +84 +70 +b3 +40 +e9 +50 +65 +50 +e5 +80 +04 +60 +2b +90 +3b +50 +25 +60 +6f +30 +f9 +30 +21 +30 +99 +40 +3b +10 +e2 +00 +74 +00 +ac +00 +5f +00 +a3 +00 +63 +00 +9a +00 +68 +00 +98 +00 +6a +00 +9f +00 +5c +00 +8c +00 +5d +00 +83 +00 +5c +00 +77 +00 +51 +00 +a5 +00 +91 +00 +ac +10 +a5 +00 +a8 +00 +55 +00 +81 +00 +54 +00 +7d +00 +fb +00 +7a +10 +ff +00 +d7 +00 +9c +00 +07 +10 +f0 +00 +25 +20 +14 +30 +b6 +50 +2e +20 +ba +10 +b7 +00 +87 +00 +45 +00 +5e +00 +3e +00 +54 +00 +54 +00 +a5 +00 +d9 +00 +bd +00 +61 +00 +8d +00 +83 +00 +20 +10 +a0 +00 +8a +10 +f8 +00 +22 +10 +f1 +10 +53 +40 +7f +20 +a5 +40 +ac +10 +26 +20 +1c +10 +dc +20 +45 +10 +e2 +00 +5c +00 +6f +00 +49 +00 +6e +00 +40 +00 +5f +00 +3f +00 +52 +00 +31 +00 +5a +00 +36 +00 +62 +00 +45 +00 +5d +00 +45 +00 +5e +00 +53 +00 +8d +00 +43 +00 +58 +00 +38 +00 +56 +00 +45 +00 +66 +00 +64 +00 +8c +00 +5f +00 +81 +00 +46 +00 +63 +00 +49 +00 +7c +00 +b2 +00 +23 +20 +9b +00 +8c +00 +5c +00 +70 +00 +5b +00 +a7 +00 +19 +10 +3f +20 +c6 +00 +c1 +00 +6d +00 +77 +00 +4f +00 +6b +00 +4f +00 +66 +00 +90 +00 +b5 +00 +3f +10 +c0 +10 +d2 +00 +da +00 +87 +00 +a0 +00 +67 +00 +9a +00 +5c +00 +94 +00 +3f +00 +73 +00 +47 +00 +6f +00 +81 +00 +79 +10 +c5 +10 +c9 +20 +ff +00 +11 +20 +2a +20 +75 +50 +0c +30 +c8 +50 +65 +40 +98 +60 +b0 +20 +31 +30 +f9 +10 +fa +10 +24 +10 +4c +10 +32 +10 +56 +10 +7a +10 +64 +20 +0d +20 +57 +20 +2b +10 +2a +10 +08 +10 +75 +10 +cc +10 +a2 +40 +8d +30 +3c +60 +22 +40 +c3 +50 +ae +30 +22 +40 +48 +30 +0d +40 +71 +20 +3a +20 +1f +10 +ef +00 +a5 +00 +d3 +00 +04 +10 +b5 +20 +bd +10 +af +10 +f5 +00 +fd +00 +6b +10 +7d +10 +1c +30 +e7 +50 +f7 +30 +3f +50 +be +20 +90 +40 +2e +30 +2e +50 +50 +30 +01 +50 +18 +30 +f4 +30 +a7 +40 +2c +80 +75 +40 +59 +80 +ba +50 +2d +a0 +46 +60 +45 +a0 +cf +50 +16 +90 +d5 +50 +c8 +80 +3e +60 +6c +90 +5b +60 +09 +a0 +c7 +50 +5d +90 +da +50 +27 +90 +01 +60 +8e +90 +7d +60 +48 +a0 +c2 +60 +70 +a0 +af +60 +40 +a0 +21 +60 +9f +90 +0c +50 +df +70 +81 +50 +b2 +80 +43 +50 +47 +90 +e2 +50 +f0 +90 +44 +60 +a1 +80 +26 +40 +60 +40 +7e +30 +26 +50 +06 +40 +14 +50 +9f +30 +55 +60 +54 +30 +8f +60 +9d +20 +37 +30 +25 +20 +50 +30 +c0 +20 +62 +40 +72 +10 +4f +10 +3e +10 +fa +10 +f8 +20 +b3 +60 +9c +30 +18 +60 +65 +30 +5e +40 +ca +20 +2d +30 +e7 +10 +32 +10 +da +00 +af +00 +26 +10 +1c +30 +a3 +10 +31 +20 +d5 +00 +d2 +00 +77 +00 +78 +00 +56 +00 +6a +00 +41 +00 +72 +00 +41 +00 +6f +00 +5d +00 +84 +00 +61 +00 +61 +00 +3a +00 +49 +00 +29 +00 +5a +00 +28 +00 +47 +00 +2d +00 +5c +00 +39 +00 +79 +00 +39 +00 +54 +00 +2b +00 +57 +00 +2b +00 +47 +00 +2c +00 +43 +00 +23 +00 +45 +00 +21 +00 +4b +00 +28 +00 +62 +00 +2e +00 +59 +00 +36 +00 +58 +00 +27 +00 +3d +00 +30 +00 +48 +00 +2a +00 +45 +00 +2e +00 +3c +00 +29 +00 +37 +00 +24 +00 +47 +00 +24 +00 +40 +00 +23 +00 +37 +00 +27 +00 +56 +00 +4e +00 +c8 +00 +b4 +00 +ec +10 +76 +00 +84 +00 +62 +00 +c0 +00 +c3 +00 +f0 +10 +6d +10 +83 +20 +c5 +00 +db +00 +7d +00 +80 +00 +69 +00 +a1 +00 +54 +10 +af +30 +5e +20 +32 +40 +51 +20 +53 +40 +cd +10 +2b +20 +1b +10 +e7 +00 +97 +00 +90 +00 +65 +00 +97 +00 +8c +00 +d5 +10 +75 +00 +c3 +00 +7c +00 +9d +10 +aa +00 +b6 +00 +82 +00 +94 +00 +8a +00 +ae +00 +61 +10 +a5 +40 +f1 +10 +8e +10 +bb +10 +e1 +10 +92 +30 +6a +80 +38 +30 +5d +30 +39 +20 +db +10 +f8 +20 +17 +50 +91 +50 +2a +a0 +b4 +60 +f8 +a0 +e6 +60 +46 +90 +8d +60 +05 +a0 +2d +60 +94 +90 +41 +60 +b7 +80 +16 +60 +20 +80 +c9 +50 +b4 +50 +bc +30 +92 +30 +49 +40 +a8 +60 +1a +40 +fe +50 +41 +30 +85 +30 +90 +10 +37 +10 +8f +10 +51 +20 +d5 +10 +24 +50 +bf +20 +b0 +30 +11 +20 +4b +20 +d7 +10 +3f +30 +67 +20 +fe +50 +c1 +30 +55 +80 +fb +30 +df +70 +cb +30 +ab +30 +13 +10 +c0 +00 +81 +00 +77 +00 +5d +00 +a0 +00 +71 +00 +ab +00 +7c +00 +b8 +00 +73 +00 +00 +00 +00 +00 +35 +00 +a2 +00 +44 +00 +a8 +00 +3a +00 +a9 +00 +39 +00 +ae +00 +39 +00 +a6 +00 +39 +00 +ae +00 +3e +00 +d4 +00 +4a +00 +0a +10 +6a +00 +ef +00 +3d +00 +ba +00 +ba +00 +0b +30 +28 +10 +24 +30 +ce +10 +bd +30 +70 +00 +c1 +00 +36 +00 +81 +00 +29 +00 +7b +00 +2e +00 +8b +00 +33 +00 +85 +00 +2e +00 +96 +00 +44 +00 +52 +10 +0e +10 +ee +30 +c8 +00 +69 +20 +d1 +10 +b1 +50 +29 +20 +ce +50 +69 +20 +7e +70 +9e +20 +9d +60 +2e +20 +9e +60 +c0 +20 +d7 +80 +3e +30 +bb +80 +7f +20 +13 +60 +6e +20 +1a +60 +64 +10 +1d +20 +52 +00 +b1 +00 +3e +00 +92 +00 +34 +00 +8c +00 +35 +00 +89 +00 +33 +00 +97 +00 +33 +00 +89 +00 +35 +00 +8b +00 +2e +00 +78 +00 +3c +00 +83 +00 +3a +00 +b1 +00 +43 +00 +bf +00 +2e +00 +7b +00 +33 +00 +7c +00 +64 +00 +3a +20 +48 +10 +36 +20 +62 +00 +c7 +00 +53 +00 +1a +10 +12 +10 +0c +50 +41 +20 +66 +40 +83 +00 +eb +00 +28 +00 +71 +00 +20 +00 +74 +00 +25 +00 +6c +00 +4e +00 +7d +10 +5d +00 +c4 +00 +35 +00 +9a +00 +41 +00 +dc +00 +7a +00 +38 +10 +99 +00 +01 +30 +a1 +10 +b7 +40 +d0 +00 +9b +10 +5f +00 +07 +10 +9f +00 +b2 +20 +77 +00 +9b +00 +25 +00 +66 +00 +23 +00 +62 +00 +26 +00 +54 +00 +19 +00 +4b +00 +20 +00 +62 +00 +28 +00 +60 +00 +25 +00 +69 +00 +20 +00 +69 +00 +37 +00 +90 +00 +1f +00 +4e +00 +1f +00 +51 +00 +36 +00 +92 +00 +2b +00 +7b +00 +28 +00 +50 +00 +27 +00 +5a +00 +2b +00 +c0 +00 +4c +00 +c6 +00 +2c +00 +8d +00 +2f +00 +7e +00 +37 +00 +44 +10 +5f +00 +eb +00 +35 +00 +7a +00 +2f +00 +62 +00 +2e +00 +6d +00 +31 +00 +b6 +00 +68 +00 +b7 +10 +7f +00 +19 +10 +3d +00 +92 +00 +2f +00 +8f +00 +31 +00 +81 +00 +2c +00 +71 +00 +24 +00 +66 +00 +25 +00 +80 +00 +69 +00 +d5 +10 +95 +00 +4e +10 +69 +00 +7d +10 +dd +00 +01 +30 +bc +10 +f5 +60 +44 +20 +de +30 +c5 +00 +21 +20 +93 +00 +86 +10 +8d +00 +8b +10 +f3 +00 +a1 +20 +c5 +00 +5f +20 +c5 +00 +85 +10 +59 +00 +f4 +00 +64 +00 +81 +10 +80 +10 +fe +40 +3b +20 +37 +60 +a3 +20 +02 +60 +b1 +10 +60 +50 +7d +10 +b1 +20 +99 +00 +37 +10 +4d +00 +bd +00 +53 +00 +6d +10 +b6 +10 +86 +40 +cb +00 +89 +10 +b0 +00 +1a +30 +d4 +10 +25 +60 +92 +20 +9b +60 +8b +10 +4e +30 +48 +10 +8b +40 +c9 +10 +5d +50 +c3 +10 +8d +40 +71 +20 +1e +80 +2b +30 +a0 +70 +ac +20 +8a +80 +64 +30 +81 +90 +ae +20 +9d +60 +41 +20 +de +60 +2e +30 +d6 +90 +a1 +30 +d6 +90 +f0 +20 +37 +70 +bb +20 +d1 +80 +a5 +30 +f0 +90 +cb +30 +67 +a0 +cd +30 +62 +a0 +8a +30 +9c +90 +14 +30 +f5 +70 +fa +10 +fd +40 +5c +20 +e3 +80 +c5 +20 +19 +60 +ef +20 +78 +90 +7c +30 +ce +90 +71 +30 +85 +70 +55 +10 +07 +40 +ba +20 +ea +70 +e0 +20 +e9 +50 +3e +10 +81 +30 +56 +20 +72 +40 +da +00 +a7 +20 +7a +10 +3a +30 +f7 +00 +7f +20 +2a +10 +0f +20 +8f +10 +63 +40 +ef +10 +1d +60 +9a +10 +d9 +30 +bb +10 +fc +50 +b5 +10 +1a +30 +48 +10 +dc +10 +61 +00 +27 +20 +35 +10 +29 +20 +92 +00 +8e +10 +4b +00 +9c +00 +2f +00 +90 +00 +37 +00 +7c +00 +25 +00 +70 +00 +2d +00 +b2 +00 +f0 +00 +1a +10 +2a +00 +5a +00 +1a +00 +50 +00 +1d +00 +41 +00 +19 +00 +56 +00 +25 +00 +4e +00 +2e +00 +6e +00 +23 +00 +62 +00 +1b +00 +51 +00 +21 +00 +4e +00 +17 +00 +42 +00 +22 +00 +4c +00 +1d +00 +4a +00 +1f +00 +4c +00 +1e +00 +4f +00 +1a +00 +46 +00 +1c +00 +4a +00 +16 +00 +49 +00 +1a +00 +3f +00 +1b +00 +48 +00 +14 +00 +38 +00 +11 +00 +32 +00 +1c +00 +3f +00 +17 +00 +3c +00 +1c +00 +61 +00 +2e +00 +c9 +00 +3d +00 +aa +00 +26 +00 +62 +00 +2a +00 +ac +00 +55 +00 +b3 +10 +b4 +00 +2e +10 +3b +00 +95 +00 +24 +00 +70 +00 +3e +00 +2a +10 +c7 +00 +61 +20 +be +00 +3f +20 +b3 +00 +17 +20 +72 +00 +1c +10 +3d +00 +8f +00 +2d +00 +78 +00 +34 +00 +a8 +00 +43 +00 +c3 +00 +38 +00 +9c +00 +4e +00 +e5 +00 +6c +00 +d2 +00 +3f +00 +bc +00 +43 +00 +82 +10 +4c +20 +cb +30 +71 +10 +8b +30 +e0 +00 +5b +60 +d8 +20 +32 +50 +4f +10 +ef +20 +2c +10 +d7 +50 +44 +30 +ad +90 +0a +40 +3f +b0 +3d +40 +4a +b0 +7e +30 +3f +90 +b6 +30 +ed +80 +82 +30 +1a +a0 +e8 +30 +b7 +a0 +f2 +30 +ed +90 +f6 +20 +a8 +40 +ad +20 +42 +80 +52 +30 +f3 +70 +4c +20 +e3 +40 +23 +10 +bd +20 +71 +00 +76 +10 +86 +00 +f1 +10 +d0 +00 +95 +20 +9c +00 +ec +10 +74 +00 +ab +10 +7c +00 +fd +10 +82 +10 +ec +50 +94 +20 +8a +60 +d5 +20 +58 +60 +0e +10 +77 +10 +42 +00 +8b +00 +57 +00 +b5 +00 +38 +00 +99 +00 +47 +00 +b8 +00 +3d +00 +91 +00 +00 +00 +00 +00 +94 +00 +72 +00 +a3 +00 +76 +00 +9c +00 +69 +00 +a5 +00 +65 +00 +a5 +00 +70 +00 +9e +00 +79 +00 +af +00 +76 +00 +a0 +00 +87 +00 +f5 +00 +7c +00 +9f +00 +d6 +00 +63 +20 +c3 +20 +40 +50 +85 +20 +19 +40 +96 +10 +8b +10 +98 +00 +99 +00 +6e +00 +83 +00 +5f +00 +7a +00 +4f +00 +74 +00 +57 +00 +7c +00 +66 +00 +9a +00 +a3 +00 +42 +10 +01 +10 +f2 +10 +2f +10 +93 +20 +5f +30 +de +60 +c8 +40 +92 +70 +16 +50 +e0 +70 +22 +50 +b0 +70 +65 +50 +a9 +60 +ee +40 +3c +80 +47 +50 +0c +80 +10 +40 +f6 +50 +9e +20 +1c +30 +1b +10 +1d +10 +84 +00 +ae +00 +68 +00 +9a +00 +62 +00 +8e +00 +5f +00 +8f +00 +67 +00 +a9 +00 +7a +00 +a0 +00 +a1 +00 +93 +00 +86 +00 +89 +00 +64 +00 +7c +00 +5d +00 +bf +00 +5b +00 +84 +00 +4a +00 +87 +00 +db +00 +cc +10 +a9 +20 +c1 +40 +8f +10 +32 +10 +91 +00 +e3 +00 +21 +10 +74 +20 +53 +30 +ed +50 +10 +30 +30 +30 +e3 +00 +83 +00 +4d +00 +7a +00 +49 +00 +70 +00 +5b +00 +82 +00 +63 +00 +ba +00 +a1 +00 +a8 +00 +63 +00 +a5 +00 +6a +00 +c8 +00 +8d +00 +53 +10 +3f +10 +0f +20 +2c +10 +03 +20 +ff +00 +01 +10 +95 +00 +d9 +00 +80 +00 +f1 +00 +6d +00 +69 +00 +40 +00 +68 +00 +34 +00 +5f +00 +3a +00 +63 +00 +66 +00 +af +00 +68 +00 +81 +00 +9e +00 +9b +00 +aa +00 +90 +00 +70 +00 +6d +00 +44 +00 +6c +00 +3c +00 +5d +00 +3a +00 +60 +00 +40 +00 +76 +00 +4d +00 +64 +00 +43 +00 +5d +00 +3f +00 +61 +00 +59 +00 +97 +00 +71 +00 +c4 +00 +7f +00 +99 +00 +67 +00 +71 +00 +75 +00 +aa +00 +92 +00 +b5 +00 +5d +00 +79 +00 +46 +00 +69 +00 +65 +00 +da +00 +d5 +10 +17 +30 +77 +10 +c6 +10 +be +00 +cb +00 +80 +00 +ca +00 +b6 +00 +97 +00 +67 +00 +81 +00 +54 +00 +6e +00 +4e +00 +6f +00 +5f +00 +a8 +00 +79 +00 +d6 +00 +a7 +00 +e9 +00 +fb +00 +48 +10 +ab +10 +22 +20 +01 +20 +87 +30 +0f +20 +cb +20 +78 +10 +60 +10 +e2 +10 +8d +30 +ad +10 +39 +40 +39 +30 +0f +40 +d7 +10 +0c +30 +2b +10 +09 +10 +b7 +00 +e0 +00 +3d +10 +33 +20 +77 +20 +50 +40 +4e +30 +79 +50 +9c +30 +12 +50 +1d +30 +7e +30 +84 +10 +6b +10 +c9 +00 +cf +00 +75 +00 +c7 +00 +eb +00 +6d +20 +ff +00 +db +10 +1e +10 +f7 +10 +6b +20 +74 +60 +16 +40 +51 +70 +9d +30 +4c +40 +5f +20 +fa +20 +a6 +20 +06 +40 +e3 +20 +d4 +40 +06 +40 +da +60 +c6 +30 +d6 +50 +5a +30 +4a +40 +73 +30 +e2 +50 +28 +40 +8c +50 +f2 +30 +cc +40 +cd +30 +b2 +60 +c3 +40 +13 +80 +21 +40 +f7 +40 +a7 +30 +33 +50 +13 +50 +41 +90 +b8 +50 +4b +a0 +1e +60 +5f +90 +2b +60 +ca +80 +f6 +50 +b3 +70 +c2 +30 +17 +40 +58 +30 +7f +50 +2d +40 +6b +50 +0a +30 +9c +40 +71 +40 +55 +90 +f9 +50 +a3 +90 +2b +40 +5b +30 +93 +20 +a2 +40 +4d +40 +9e +70 +bb +20 +f8 +20 +73 +20 +8d +50 +85 +30 +d8 +30 +84 +20 +c2 +30 +97 +10 +53 +20 +5f +10 +3f +30 +ce +10 +14 +50 +b2 +20 +fb +30 +1e +20 +f3 +20 +37 +30 +fe +50 +28 +30 +b9 +30 +d3 +20 +c8 +40 +2c +20 +fc +10 +51 +20 +47 +30 +4c +10 +31 +20 +40 +10 +0b +10 +78 +00 +84 +00 +6b +00 +35 +10 +59 +00 +73 +00 +84 +00 +ad +00 +a8 +00 +1d +20 +81 +00 +aa +00 +42 +00 +56 +00 +31 +00 +51 +00 +2b +00 +4e +00 +28 +00 +52 +00 +28 +00 +60 +00 +2c +00 +6a +00 +2c +00 +4b +00 +29 +00 +52 +00 +26 +00 +4b +00 +27 +00 +4f +00 +2d +00 +45 +00 +27 +00 +4c +00 +47 +00 +66 +00 +4e +00 +50 +00 +31 +00 +55 +00 +2f +00 +52 +00 +28 +00 +41 +00 +26 +00 +51 +00 +3a +00 +40 +00 +23 +00 +34 +00 +27 +00 +34 +00 +25 +00 +35 +00 +31 +00 +44 +00 +3f +00 +62 +00 +58 +00 +7b +00 +4c +00 +6f +00 +44 +00 +6f +00 +5b +00 +a8 +00 +8c +00 +dc +00 +78 +00 +a5 +00 +63 +00 +80 +00 +4f +00 +7b +00 +b5 +00 +22 +10 +f1 +00 +88 +10 +2b +10 +7a +10 +c4 +10 +1b +30 +03 +10 +ca +00 +69 +00 +73 +00 +3b +00 +80 +00 +52 +00 +90 +00 +59 +00 +b3 +00 +63 +00 +aa +00 +fe +00 +ff +30 +b0 +10 +40 +10 +ab +10 +d3 +10 +70 +20 +ee +40 +e9 +30 +51 +70 +d2 +20 +73 +20 +f9 +20 +5c +70 +06 +50 +ad +80 +9e +40 +9b +30 +d3 +40 +32 +90 +89 +60 +0d +b0 +39 +70 +c0 +b0 +b9 +70 +76 +b0 +b5 +60 +91 +80 +32 +50 +f3 +70 +66 +50 +07 +a0 +ff +50 +a8 +a0 +74 +60 +13 +a0 +47 +50 +4f +50 +db +40 +f6 +70 +6a +30 +45 +40 +f9 +10 +9b +20 +02 +20 +e8 +10 +0e +10 +17 +10 +34 +10 +ac +10 +5f +10 +9c +10 +62 +10 +48 +10 +33 +10 +43 +10 +46 +10 +08 +20 +04 +20 +90 +50 +bc +30 +39 +60 +20 +20 +fe +10 +22 +10 +d0 +00 +4c +10 +d0 +20 +f9 +00 +ba +00 +e9 +00 +40 +10 +5e +10 +e5 +00 +9a +00 +00 +00 +00 +00 +37 +00 +95 +00 +3e +00 +b0 +00 +39 +00 +ae +00 +36 +00 +9e +00 +38 +00 +af +00 +3a +00 +aa +00 +3d +00 +9b +00 +3e +00 +c5 +00 +41 +00 +af +00 +39 +00 +c0 +00 +e9 +00 +9e +40 +0c +20 +46 +40 +f3 +00 +1f +20 +63 +00 +d1 +00 +53 +00 +ba +00 +36 +00 +88 +00 +2c +00 +7f +00 +39 +00 +8a +00 +2c +00 +84 +00 +2f +00 +a1 +00 +56 +00 +36 +10 +5d +00 +2f +10 +9d +00 +4b +30 +6b +20 +a8 +70 +fb +20 +63 +80 +c9 +20 +e9 +60 +e2 +20 +53 +80 +f3 +20 +16 +70 +30 +20 +bc +60 +37 +20 +51 +50 +64 +10 +52 +40 +e7 +00 +95 +10 +48 +00 +c5 +00 +40 +00 +b2 +00 +42 +00 +a8 +00 +2f +00 +8d +00 +33 +00 +9b +00 +43 +00 +ce +00 +5b +00 +0c +10 +46 +00 +bc +00 +34 +00 +80 +00 +32 +00 +8f +00 +32 +00 +9e +00 +23 +00 +6c +00 +28 +00 +d6 +00 +2f +10 +64 +50 +a0 +10 +86 +20 +4d +00 +d9 +00 +4c +00 +1f +10 +70 +10 +44 +50 +40 +20 +20 +60 +2e +10 +80 +10 +2b +00 +7e +00 +2b +00 +6c +00 +28 +00 +82 +00 +47 +00 +94 +00 +35 +00 +cb +00 +3a +00 +96 +00 +30 +00 +89 +00 +25 +00 +98 +00 +4c +00 +fd +00 +5b +00 +53 +10 +54 +00 +05 +10 +40 +00 +b7 +00 +39 +00 +ba +00 +31 +00 +8e +00 +29 +00 +6a +00 +2b +00 +5c +00 +1a +00 +54 +00 +1f +00 +91 +00 +7d +00 +0e +10 +68 +00 +61 +10 +d0 +00 +19 +20 +48 +00 +9a +00 +2a +00 +70 +00 +20 +00 +57 +00 +20 +00 +49 +00 +20 +00 +6e +00 +2d +00 +63 +00 +2a +00 +68 +00 +26 +00 +62 +00 +24 +00 +85 +00 +2b +00 +97 +00 +9b +00 +9f +10 +3f +00 +8d +00 +34 +00 +c1 +00 +7a +00 +55 +10 +42 +00 +8d +00 +30 +00 +6d +00 +26 +00 +66 +00 +4c +00 +af +10 +d1 +10 +c0 +30 +8d +00 +22 +10 +3c +00 +b1 +00 +60 +00 +03 +10 +45 +00 +8e +00 +31 +00 +87 +00 +30 +00 +8c +00 +27 +00 +78 +00 +36 +00 +b0 +00 +47 +00 +bd +00 +45 +00 +e2 +00 +d9 +00 +a5 +30 +ba +00 +11 +20 +98 +00 +52 +20 +ee +00 +f1 +10 +80 +00 +73 +20 +f1 +10 +99 +30 +28 +10 +57 +40 +99 +10 +1b +30 +ca +00 +c7 +10 +51 +00 +d0 +00 +3e +00 +09 +10 +96 +00 +98 +20 +25 +10 +f5 +30 +31 +20 +98 +60 +de +10 +96 +40 +c9 +00 +dc +10 +62 +00 +ff +00 +46 +00 +c7 +00 +45 +00 +12 +10 +a0 +00 +61 +10 +64 +00 +34 +10 +b1 +00 +1c +30 +82 +10 +53 +50 +90 +20 +1b +50 +2e +10 +f4 +20 +11 +10 +82 +30 +6d +10 +a9 +40 +cb +10 +a1 +40 +6f +10 +dd +30 +60 +10 +db +30 +3a +10 +7c +30 +59 +10 +23 +50 +d3 +10 +23 +60 +a5 +10 +d2 +30 +25 +10 +54 +40 +80 +10 +86 +40 +ab +10 +79 +50 +3b +20 +84 +60 +43 +20 +d0 +60 +ac +20 +94 +80 +3f +30 +9f +90 +8d +30 +03 +90 +ee +10 +1c +40 +f4 +00 +d6 +20 +2a +20 +f5 +50 +42 +10 +0b +30 +e8 +00 +54 +30 +d3 +20 +2d +90 +45 +30 +26 +60 +fc +00 +90 +20 +08 +20 +6c +70 +fc +10 +ea +30 +02 +10 +25 +40 +c5 +10 +9f +50 +20 +10 +59 +20 +8b +00 +0e +20 +e6 +00 +9c +10 +75 +00 +6a +10 +ce +00 +7a +20 +3a +10 +76 +30 +40 +10 +b7 +40 +bb +10 +d6 +30 +b9 +10 +e5 +50 +81 +10 +4e +40 +69 +10 +05 +40 +70 +10 +38 +30 +df +00 +d0 +10 +54 +00 +ab +00 +2b +00 +86 +00 +36 +00 +84 +00 +3e +00 +b2 +10 +c1 +00 +0b +10 +44 +00 +98 +00 +30 +00 +78 +00 +1d +00 +58 +00 +1b +00 +52 +00 +24 +00 +49 +00 +1d +00 +53 +00 +29 +00 +62 +00 +28 +00 +59 +00 +1d +00 +4f +00 +17 +00 +42 +00 +1c +00 +44 +00 +21 +00 +44 +00 +16 +00 +43 +00 +25 +00 +cd +00 +41 +00 +93 +00 +20 +00 +53 +00 +28 +00 +54 +00 +1d +00 +49 +00 +24 +00 +49 +00 +23 +00 +4b +00 +1b +00 +3a +00 +15 +00 +42 +00 +16 +00 +3b +00 +16 +00 +39 +00 +1b +00 +47 +00 +25 +00 +6d +00 +24 +00 +67 +00 +22 +00 +48 +00 +20 +00 +72 +00 +28 +00 +a6 +00 +3d +00 +a7 +00 +29 +00 +75 +00 +32 +00 +78 +00 +3f +00 +da +00 +48 +00 +f9 +00 +43 +00 +0c +10 +6f +00 +96 +20 +43 +10 +8f +10 +48 +00 +91 +00 +34 +00 +7e +00 +2c +00 +7d +00 +3a +00 +b0 +00 +36 +00 +87 +00 +3b +00 +ef +00 +0f +10 +0a +50 +83 +10 +24 +40 +f2 +10 +78 +60 +f8 +10 +8c +60 +bc +20 +e0 +30 +3d +10 +65 +30 +a8 +20 +52 +90 +de +30 +9a +80 +52 +10 +d1 +60 +a2 +30 +7c +a0 +40 +40 +c3 +b0 +5e +40 +bb +b0 +23 +40 +ad +a0 +bc +20 +70 +70 +8a +20 +25 +60 +d4 +10 +0c +60 +8c +30 +dd +a0 +34 +40 +07 +a0 +ac +10 +57 +60 +c2 +20 +d1 +40 +cc +00 +2e +20 +b3 +00 +c5 +20 +8c +00 +36 +10 +56 +00 +2a +10 +6e +00 +5c +10 +ce +00 +d0 +10 +65 +00 +ff +00 +5d +00 +1e +10 +78 +00 +f3 +10 +27 +10 +57 +50 +1c +20 +47 +30 +7d +00 +12 +10 +5d +00 +f2 +20 +b1 +10 +cf +10 +56 +00 +10 +10 +65 +10 +73 +40 +7b +00 +db +00 +00 +00 +00 +00 +a4 +00 +5d +00 +a8 +00 +73 +00 +9f +00 +70 +00 +a2 +00 +74 +00 +ac +00 +5f +00 +a6 +00 +69 +00 +b4 +00 +77 +00 +87 +00 +7a +00 +9e +00 +72 +00 +8d +00 +d9 +00 +5a +10 +40 +20 +cb +40 +b2 +20 +54 +30 +7b +10 +64 +10 +88 +00 +e9 +00 +a9 +00 +af +00 +46 +00 +67 +00 +58 +00 +d8 +00 +62 +00 +89 +00 +50 +00 +8b +00 +7b +00 +d4 +00 +c4 +00 +fe +00 +d8 +00 +52 +10 +29 +20 +a2 +50 +97 +40 +ef +70 +3a +50 +0d +80 +23 +50 +fd +70 +fe +40 +6a +80 +de +40 +e2 +70 +77 +40 +8d +60 +0b +30 +60 +40 +48 +20 +b4 +20 +16 +10 +eb +00 +7b +00 +b0 +00 +6d +00 +c3 +00 +bb +00 +d7 +00 +64 +00 +9a +00 +72 +00 +cb +00 +bb +10 +c1 +30 +ae +20 +22 +30 +70 +10 +01 +10 +71 +00 +7f +00 +5e +00 +7c +00 +4f +00 +85 +00 +4c +00 +8c +00 +d7 +00 +f4 +10 +2e +30 +f5 +50 +fb +10 +90 +10 +bf +00 +ca +00 +81 +10 +4a +30 +8e +30 +db +60 +87 +20 +c5 +20 +e4 +00 +9d +00 +58 +00 +73 +00 +49 +00 +85 +00 +57 +00 +bb +00 +5a +00 +81 +00 +51 +00 +80 +00 +58 +00 +76 +00 +58 +00 +78 +00 +5e +00 +9a +00 +8d +00 +d6 +00 +c0 +00 +de +00 +90 +00 +97 +00 +73 +00 +a2 +00 +75 +00 +9a +00 +71 +00 +7e +00 +40 +00 +66 +00 +35 +00 +58 +00 +34 +00 +50 +00 +57 +00 +e2 +00 +84 +00 +66 +10 +fb +10 +14 +50 +1e +20 +b2 +20 +24 +10 +ce +00 +67 +00 +6d +00 +3e +00 +53 +00 +33 +00 +52 +00 +2d +00 +64 +00 +36 +00 +60 +00 +3b +00 +6e +00 +3d +00 +5e +00 +50 +00 +72 +00 +6c +00 +17 +10 +f4 +00 +42 +10 +76 +00 +6f +00 +ca +00 +42 +30 +8b +10 +5b +10 +95 +00 +87 +00 +af +00 +c9 +00 +76 +00 +85 +00 +c8 +00 +c4 +10 +0e +10 +94 +20 +20 +10 +fa +00 +61 +10 +1d +20 +da +00 +c7 +00 +75 +00 +82 +00 +4c +00 +97 +00 +86 +00 +91 +00 +5e +00 +70 +00 +5c +00 +a8 +00 +76 +00 +a6 +00 +21 +10 +bd +30 +bd +20 +53 +40 +e3 +10 +17 +20 +da +10 +3b +20 +25 +10 +6b +10 +5e +10 +7b +30 +c3 +10 +7e +20 +97 +20 +f4 +50 +fd +10 +e6 +10 +e7 +00 +f5 +00 +b5 +00 +d4 +00 +0f +10 +c4 +20 +a9 +10 +d0 +20 +c6 +10 +c5 +20 +0b +20 +30 +40 +bf +10 +12 +20 +0d +10 +14 +10 +a1 +00 +c0 +00 +71 +00 +b5 +00 +ab +00 +90 +10 +1c +10 +7a +10 +08 +10 +36 +10 +85 +10 +bf +20 +90 +20 +0d +40 +2c +30 +6c +40 +5c +20 +95 +30 +b1 +20 +0f +40 +73 +30 +20 +40 +13 +40 +00 +50 +69 +40 +2b +60 +12 +50 +36 +70 +7d +40 +fe +50 +59 +40 +c4 +60 +0c +30 +57 +30 +d1 +20 +5e +40 +07 +40 +6b +50 +06 +40 +69 +60 +0f +30 +03 +40 +86 +20 +6c +30 +d3 +30 +41 +70 +1a +60 +d3 +90 +19 +60 +ea +90 +eb +40 +02 +50 +89 +20 +63 +20 +49 +30 +37 +60 +c5 +30 +51 +30 +58 +20 +34 +20 +88 +20 +80 +30 +4c +40 +af +80 +59 +40 +66 +40 +bc +20 +30 +50 +83 +30 +b7 +40 +58 +20 +b0 +20 +42 +20 +9e +40 +a6 +10 +24 +20 +4a +10 +52 +10 +05 +10 +73 +10 +0e +10 +2c +10 +00 +10 +55 +10 +3f +10 +20 +30 +70 +20 +7c +30 +f6 +10 +76 +20 +ce +10 +3b +40 +d8 +20 +bd +40 +a3 +30 +46 +60 +a4 +20 +38 +30 +8b +10 +01 +20 +d6 +00 +df +00 +73 +00 +9c +00 +50 +00 +7e +00 +55 +00 +94 +00 +a4 +00 +2e +20 +8d +00 +d4 +00 +55 +00 +7e +00 +41 +00 +4d +00 +3b +00 +5c +00 +31 +00 +45 +00 +25 +00 +54 +00 +2a +00 +4e +00 +31 +00 +5c +00 +2b +00 +4d +00 +1f +00 +44 +00 +23 +00 +49 +00 +27 +00 +48 +00 +1f +00 +4a +00 +2a +00 +5a +00 +79 +00 +19 +10 +66 +00 +6d +00 +39 +00 +5b +00 +31 +00 +43 +00 +2b +00 +40 +00 +2b +00 +50 +00 +24 +00 +42 +00 +26 +00 +36 +00 +21 +00 +36 +00 +22 +00 +40 +00 +23 +00 +3d +00 +31 +00 +4f +00 +3f +00 +5b +00 +50 +00 +58 +00 +49 +00 +55 +00 +51 +00 +6b +00 +60 +00 +78 +00 +57 +00 +73 +00 +48 +00 +81 +00 +d0 +00 +6f +20 +ac +00 +a0 +00 +9b +00 +a9 +00 +be +00 +ef +00 +57 +10 +df +10 +ab +00 +d1 +00 +68 +00 +5f +00 +4d +00 +73 +00 +4a +00 +86 +00 +4a +00 +87 +00 +5a +00 +87 +00 +85 +00 +27 +10 +62 +10 +d1 +50 +66 +30 +c6 +60 +f6 +20 +07 +40 +24 +30 +9e +60 +aa +20 +fc +50 +62 +40 +6f +40 +63 +40 +ae +90 +30 +50 +b3 +50 +72 +40 +39 +90 +55 +60 +42 +b0 +30 +70 +d8 +b0 +c6 +60 +a0 +a0 +1c +50 +bb +60 +8b +30 +5c +50 +ff +30 +28 +60 +b0 +30 +bb +40 +b5 +40 +d4 +90 +7d +50 +8a +70 +a7 +30 +5b +40 +34 +20 +43 +20 +92 +10 +9a +10 +97 +10 +8e +10 +53 +10 +1f +10 +57 +10 +49 +20 +76 +20 +9f +40 +8d +30 +ad +20 +46 +20 +55 +10 +a7 +10 +35 +10 +9d +10 +ba +10 +a8 +10 +d8 +20 +55 +10 +69 +10 +01 +10 +fc +00 +f2 +10 +ad +50 +79 +20 +b7 +20 +84 +20 +bb +40 +97 +20 +a1 +30 +09 +10 +00 +00 +00 +00 +34 +00 +98 +00 +45 +00 +ab +00 +43 +00 +aa +00 +3e +00 +9a +00 +39 +00 +a8 +00 +36 +00 +a8 +00 +41 +00 +b8 +00 +38 +00 +81 +00 +2a +00 +90 +00 +2f +00 +c1 +00 +da +00 +9b +30 +7d +10 +5c +40 +30 +10 +1a +20 +4f +00 +d8 +00 +6c +00 +33 +10 +43 +00 +8b +00 +28 +00 +7a +00 +3b +00 +c6 +00 +34 +00 +85 +00 +2b +00 +a1 +00 +5b +00 +25 +10 +4a +00 +cb +00 +5b +00 +4f +20 +b6 +10 +46 +60 +b9 +20 +1c +80 +8a +20 +02 +70 +cb +20 +55 +70 +fb +10 +e6 +40 +f3 +10 +78 +60 +ce +10 +a2 +40 +78 +10 +3a +30 +a8 +00 +65 +10 +4f +00 +ba +00 +40 +00 +a4 +00 +60 +00 +cc +10 +6d +00 +c6 +00 +3d +00 +a5 +00 +67 +00 +ea +20 +2b +20 +ac +60 +2e +20 +ca +40 +73 +00 +a0 +00 +2c +00 +78 +00 +26 +00 +7c +00 +2c +00 +75 +00 +41 +00 +be +00 +09 +10 +85 +50 +8a +20 +aa +40 +81 +00 +0d +10 +59 +00 +6b +10 +90 +10 +21 +60 +20 +20 +f6 +30 +87 +00 +e3 +00 +2c +00 +79 +00 +25 +00 +68 +00 +32 +00 +98 +00 +29 +00 +7c +00 +26 +00 +7d +00 +24 +00 +6c +00 +21 +00 +63 +00 +2e +00 +75 +00 +32 +00 +9b +00 +3d +00 +b1 +00 +2d +00 +86 +00 +30 +00 +84 +00 +3d +00 +d9 +00 +5d +00 +cc +00 +33 +00 +68 +00 +23 +00 +5c +00 +1d +00 +4b +00 +28 +00 +6a +00 +2f +00 +9e +00 +58 +00 +d0 +10 +ab +10 +d0 +30 +b3 +00 +5d +20 +aa +00 +e1 +00 +2f +00 +5d +00 +1f +00 +60 +00 +1f +00 +61 +00 +21 +00 +5f +00 +27 +00 +6b +00 +2a +00 +67 +00 +22 +00 +54 +00 +27 +00 +6b +00 +47 +00 +06 +10 +5c +00 +b3 +00 +31 +00 +b2 +00 +9a +00 +fe +10 +71 +00 +de +00 +38 +00 +29 +10 +91 +00 +de +00 +27 +00 +e6 +00 +b0 +00 +58 +10 +9f +00 +b5 +10 +2f +10 +95 +30 +56 +10 +ed +10 +4f +00 +9c +00 +2c +00 +78 +00 +35 +00 +aa +00 +2f +00 +80 +00 +22 +00 +75 +00 +2d +00 +89 +00 +41 +00 +df +00 +ec +00 +2f +30 +7f +10 +07 +30 +ef +00 +a5 +20 +ff +00 +d8 +10 +6b +00 +6e +10 +a4 +00 +22 +20 +01 +10 +db +20 +94 +10 +f4 +20 +78 +00 +33 +10 +8c +00 +b9 +10 +86 +00 +50 +10 +ba +00 +f6 +10 +ac +00 +ca +10 +89 +00 +e1 +10 +9b +00 +e8 +10 +69 +00 +3a +10 +5a +00 +bc +00 +46 +00 +a2 +00 +4f +00 +c4 +00 +79 +00 +af +10 +3f +10 +f0 +10 +7c +00 +a3 +20 +0d +10 +06 +30 +62 +10 +88 +50 +dd +10 +8c +30 +4c +10 +e2 +30 +f0 +10 +b9 +60 +ba +20 +e1 +70 +86 +20 +3b +70 +0c +30 +dc +80 +36 +30 +81 +70 +b1 +20 +bb +60 +5d +10 +45 +30 +ae +00 +8f +20 +1e +20 +5c +60 +09 +20 +1f +50 +55 +10 +ed +20 +d6 +00 +5e +20 +dd +00 +71 +40 +fe +20 +1d +90 +30 +30 +8b +80 +e9 +20 +0f +70 +41 +10 +cd +20 +b5 +00 +f0 +30 +e2 +20 +b6 +60 +02 +10 +6e +20 +9a +00 +3e +20 +f3 +00 +d7 +40 +cd +20 +6f +70 +ab +10 +01 +30 +9e +10 +e4 +40 +cc +10 +9a +40 +f4 +00 +bb +20 +c1 +00 +1a +20 +75 +00 +54 +10 +57 +00 +09 +10 +93 +00 +94 +10 +5a +00 +38 +10 +5c +00 +4c +10 +ad +00 +41 +30 +72 +10 +49 +20 +79 +00 +ac +10 +d9 +00 +12 +30 +2a +20 +58 +70 +6b +20 +14 +40 +fb +00 +15 +20 +7d +00 +2a +10 +74 +00 +b8 +00 +32 +00 +83 +00 +29 +00 +7a +00 +2c +00 +a2 +00 +45 +00 +cf +00 +2f +00 +99 +00 +30 +00 +60 +00 +28 +00 +dd +00 +24 +00 +54 +00 +22 +00 +4d +00 +24 +00 +54 +00 +24 +00 +56 +00 +1c +00 +59 +00 +1d +00 +42 +00 +1a +00 +36 +00 +15 +00 +3e +00 +20 +00 +51 +00 +1c +00 +47 +00 +28 +00 +ac +00 +95 +00 +e7 +00 +29 +00 +63 +00 +19 +00 +47 +00 +1a +00 +3f +00 +18 +00 +41 +00 +1d +00 +3d +00 +14 +00 +3c +00 +14 +00 +32 +00 +19 +00 +43 +00 +16 +00 +44 +00 +17 +00 +3e +00 +24 +00 +64 +00 +2b +00 +7c +00 +25 +00 +56 +00 +1c +00 +56 +00 +23 +00 +63 +00 +25 +00 +7a +00 +2a +00 +60 +00 +37 +00 +fc +00 +85 +00 +ed +00 +2c +00 +79 +00 +3b +00 +d7 +00 +a5 +00 +93 +20 +7d +00 +e2 +00 +37 +00 +7b +00 +2f +00 +73 +00 +28 +00 +73 +00 +2d +00 +6a +00 +29 +00 +6d +00 +23 +00 +8d +00 +57 +00 +5e +10 +82 +10 +8c +50 +a8 +10 +29 +40 +da +10 +dc +50 +3d +10 +2f +30 +34 +20 +a0 +70 +3d +20 +d6 +40 +32 +30 +2e +90 +f5 +10 +d8 +40 +e3 +20 +6d +80 +6b +30 +43 +90 +6a +30 +11 +90 +c9 +20 +6f +60 +45 +10 +3b +30 +d2 +00 +54 +30 +37 +20 +1c +60 +81 +10 +a6 +40 +24 +30 +04 +a0 +36 +30 +87 +50 +1f +10 +bd +20 +7c +00 +b8 +10 +f2 +00 +b5 +20 +32 +10 +a1 +20 +7d +00 +7e +10 +9d +10 +4d +50 +0d +30 +6e +80 +06 +30 +0e +60 +a5 +10 +c1 +20 +9e +00 +b3 +10 +71 +00 +8f +10 +77 +00 +56 +10 +55 +00 +f6 +00 +5e +00 +ed +10 +40 +20 +ac +60 +71 +10 +c5 +40 +ae +10 +4b +40 +ff +00 +f2 +10 +00 +00 +00 +00 +a8 +00 +73 +00 +b3 +00 +78 +00 +9f +00 +69 +00 +b8 +00 +66 +00 +9a +00 +67 +00 +a7 +00 +8f +00 +c1 +00 +83 +00 +96 +00 +65 +00 +7f +00 +62 +00 +9d +00 +c7 +00 +0a +20 +69 +20 +42 +50 +b8 +20 +7d +30 +54 +10 +fa +00 +8d +00 +d4 +00 +6e +00 +9b +00 +55 +00 +6e +00 +41 +00 +8b +00 +54 +00 +85 +00 +57 +00 +86 +00 +d9 +00 +64 +20 +13 +10 +e4 +00 +cb +00 +e9 +00 +e6 +10 +f8 +20 +6e +20 +12 +50 +2e +40 +20 +70 +5b +40 +7b +60 +df +20 +7a +30 +31 +20 +e3 +20 +c6 +20 +78 +40 +9c +30 +6c +40 +6c +20 +58 +20 +d6 +00 +cc +00 +82 +00 +c3 +00 +7c +00 +ae +00 +77 +00 +e7 +00 +76 +00 +89 +00 +72 +00 +c4 +00 +b6 +10 +0c +50 +4a +30 +d7 +50 +c6 +10 +f9 +10 +8b +00 +91 +00 +58 +00 +64 +00 +4c +00 +6e +00 +79 +00 +ac +00 +f0 +00 +01 +20 +fa +10 +9e +50 +48 +30 +f4 +20 +46 +10 +fa +00 +05 +20 +73 +40 +39 +20 +88 +30 +65 +10 +8f +10 +94 +00 +88 +00 +46 +00 +5c +00 +50 +00 +6f +00 +51 +00 +79 +00 +46 +00 +69 +00 +4c +00 +69 +00 +47 +00 +61 +00 +3c +00 +5e +00 +43 +00 +62 +00 +6a +00 +98 +00 +70 +00 +71 +00 +5b +00 +72 +00 +67 +00 +af +00 +c6 +00 +ce +10 +8c +00 +a3 +00 +45 +00 +6a +00 +33 +00 +62 +00 +33 +00 +5b +00 +39 +00 +7a +00 +64 +00 +95 +00 +ad +00 +86 +10 +e2 +00 +89 +10 +a9 +00 +16 +10 +84 +00 +a3 +00 +40 +00 +67 +00 +39 +00 +6a +00 +3b +00 +56 +00 +32 +00 +5a +00 +28 +00 +5a +00 +31 +00 +53 +00 +33 +00 +5f +00 +4f +00 +7c +00 +52 +00 +91 +00 +65 +00 +7e +00 +9e +00 +dc +00 +e7 +00 +26 +10 +12 +10 +ec +00 +01 +10 +e4 +00 +bb +00 +96 +00 +ad +00 +ea +00 +8d +00 +04 +10 +e5 +00 +aa +20 +6b +20 +fc +30 +46 +10 +39 +10 +8b +00 +8f +00 +52 +00 +83 +00 +55 +00 +70 +00 +4e +00 +6d +00 +4f +00 +76 +00 +59 +00 +85 +00 +96 +00 +f7 +00 +28 +10 +5a +20 +29 +20 +e4 +20 +ef +10 +62 +30 +32 +10 +72 +10 +f3 +10 +4c +20 +73 +10 +cd +10 +83 +10 +3b +20 +3c +10 +97 +10 +e9 +00 +0c +10 +c3 +00 +fc +10 +00 +10 +38 +10 +df +00 +53 +10 +10 +10 +58 +10 +0c +10 +58 +10 +f2 +00 +3a +10 +b6 +00 +dd +00 +79 +00 +b1 +00 +6a +00 +b0 +00 +a9 +00 +fa +00 +76 +10 +0d +40 +ab +20 +96 +20 +c5 +10 +eb +20 +21 +20 +20 +30 +38 +20 +1a +30 +68 +30 +e1 +50 +2d +50 +c7 +60 +ce +40 +41 +70 +6c +50 +18 +80 +cb +50 +d7 +80 +a9 +50 +1b +90 +be +40 +5c +60 +05 +30 +73 +30 +1c +20 +20 +20 +d4 +10 +af +20 +30 +20 +15 +30 +dd +10 +45 +20 +80 +10 +cf +10 +e2 +10 +8a +20 +60 +40 +de +70 +c7 +40 +53 +60 +43 +30 +5e +40 +36 +30 +4d +30 +69 +20 +4c +20 +36 +40 +fc +70 +f9 +40 +d7 +50 +81 +30 +94 +30 +fb +30 +02 +60 +bc +30 +c1 +40 +43 +20 +12 +30 +e5 +10 +0f +20 +a4 +10 +0e +30 +d4 +10 +a5 +20 +91 +10 +e8 +10 +17 +10 +54 +10 +d3 +00 +df +00 +e0 +00 +21 +30 +52 +10 +a6 +10 +0e +20 +11 +20 +15 +10 +22 +10 +37 +10 +2e +20 +16 +10 +5c +10 +f1 +00 +4c +10 +44 +10 +67 +20 +0b +20 +d9 +40 +d7 +10 +45 +20 +17 +10 +53 +10 +a8 +00 +f7 +00 +71 +00 +90 +00 +58 +00 +7d +00 +42 +00 +75 +00 +4c +00 +8c +00 +46 +00 +86 +00 +4f +00 +66 +00 +36 +00 +56 +00 +3c +00 +65 +00 +30 +00 +4a +00 +28 +00 +4a +00 +2b +00 +5a +00 +2b +00 +4a +00 +2b +00 +43 +00 +26 +00 +48 +00 +22 +00 +40 +00 +1d +00 +41 +00 +20 +00 +45 +00 +2f +00 +55 +00 +80 +00 +e4 +10 +6a +00 +90 +00 +4c +00 +68 +00 +36 +00 +46 +00 +2b +00 +49 +00 +29 +00 +56 +00 +23 +00 +45 +00 +22 +00 +3a +00 +1d +00 +30 +00 +22 +00 +39 +00 +26 +00 +3f +00 +32 +00 +6d +00 +f5 +00 +f6 +00 +c9 +00 +8f +00 +77 +00 +73 +00 +44 +00 +60 +00 +46 +00 +5c +00 +64 +00 +af +00 +65 +00 +76 +00 +62 +00 +98 +00 +65 +00 +95 +00 +55 +00 +72 +00 +9d +00 +cb +10 +9b +00 +ed +00 +7b +00 +9e +00 +57 +00 +91 +00 +5b +00 +68 +00 +38 +00 +69 +00 +3e +00 +68 +00 +58 +00 +6f +00 +88 +00 +d3 +00 +e7 +00 +a5 +10 +4a +10 +9d +20 +dd +10 +44 +40 +ef +20 +8a +40 +18 +20 +8f +20 +1f +30 +ea +70 +58 +40 +70 +50 +25 +40 +3c +70 +91 +30 +eb +40 +f8 +30 +d9 +60 +3a +40 +5d +50 +fb +30 +08 +60 +9c +30 +17 +30 +44 +20 +1b +20 +e1 +10 +d0 +20 +b5 +20 +51 +50 +4e +30 +c1 +50 +e6 +40 +68 +90 +8c +40 +2b +40 +3c +30 +97 +20 +30 +30 +0b +60 +0b +30 +96 +60 +10 +40 +46 +40 +4e +20 +52 +30 +21 +40 +3b +90 +e4 +50 +fb +90 +00 +60 +c8 +80 +bc +40 +da +50 +51 +30 +1b +20 +2a +10 +06 +10 +ec +00 +1f +10 +e8 +10 +3f +20 +42 +10 +e5 +20 +b4 +10 +cc +30 +7e +20 +44 +40 +ac +10 +16 +20 +58 +10 +00 +00 +00 +00 +37 +00 +ad +00 +30 +00 +9c +00 +3e +00 +9c +00 +3d +00 +aa +00 +3d +00 +9c +00 +42 +00 +b0 +00 +63 +00 +e8 +00 +3a +00 +88 +00 +33 +00 +a4 +00 +43 +00 +c5 +00 +9c +00 +6b +20 +61 +10 +b1 +30 +ec +00 +d3 +10 +4b +00 +b7 +00 +40 +00 +8a +00 +28 +00 +78 +00 +27 +00 +78 +00 +2c +00 +7b +00 +2c +00 +7e +00 +32 +00 +fc +00 +54 +10 +3c +30 +5b +00 +c6 +00 +70 +00 +70 +20 +ba +00 +51 +20 +05 +10 +2b +40 +e5 +10 +bf +50 +57 +10 +32 +30 +b7 +00 +0a +20 +c0 +00 +70 +30 +f4 +10 +73 +60 +b8 +10 +ea +30 +ec +00 +6e +10 +3e +00 +c0 +00 +42 +00 +ab +00 +44 +00 +b9 +00 +3a +00 +9b +00 +32 +00 +9a +00 +3f +00 +61 +10 +3d +10 +5e +40 +1d +10 +2e +20 +5d +00 +bf +00 +2c +00 +83 +00 +2c +00 +72 +00 +3d +00 +f8 +00 +94 +00 +13 +10 +76 +00 +c6 +10 +0d +10 +32 +40 +37 +10 +2e +20 +97 +00 +d9 +20 +0f +10 +9f +20 +90 +00 +85 +10 +4f +00 +a6 +00 +2a +00 +73 +00 +22 +00 +66 +00 +21 +00 +6e +00 +25 +00 +70 +00 +25 +00 +6a +00 +1d +00 +67 +00 +27 +00 +53 +00 +21 +00 +56 +00 +23 +00 +5f +00 +24 +00 +72 +00 +26 +00 +6f +00 +46 +00 +25 +10 +4b +00 +c6 +00 +54 +00 +c3 +00 +2e +00 +6d +00 +28 +00 +63 +00 +25 +00 +5f +00 +22 +00 +61 +00 +26 +00 +81 +00 +32 +00 +b9 +00 +53 +00 +f6 +00 +4d +00 +b4 +00 +34 +00 +92 +00 +4a +00 +a9 +00 +2f +00 +66 +00 +2b +00 +5f +00 +25 +00 +58 +00 +23 +00 +4e +00 +23 +00 +5b +00 +1f +00 +56 +00 +25 +00 +56 +00 +28 +00 +8e +00 +34 +00 +86 +00 +36 +00 +a9 +00 +73 +00 +5e +20 +b9 +00 +be +10 +61 +10 +66 +30 +78 +00 +ee +00 +3a +00 +a5 +00 +3e +00 +e0 +00 +5b +00 +f8 +00 +c5 +00 +4b +40 +6a +10 +48 +20 +4d +00 +a7 +00 +34 +00 +94 +00 +2d +00 +85 +00 +27 +00 +70 +00 +24 +00 +6d +00 +26 +00 +7d +00 +32 +00 +a6 +00 +4c +00 +43 +10 +e3 +00 +8d +30 +e4 +00 +24 +20 +a1 +00 +a8 +10 +de +00 +24 +40 +f1 +00 +55 +20 +a4 +00 +ab +10 +7b +00 +a6 +10 +6b +00 +08 +10 +53 +00 +ef +00 +80 +00 +8f +10 +76 +00 +3e +10 +58 +00 +25 +10 +5a +00 +14 +10 +5b +00 +12 +10 +5b +00 +d3 +00 +43 +00 +b2 +00 +40 +00 +a1 +00 +48 +00 +d2 +00 +a6 +00 +21 +20 +83 +10 +0a +50 +3b +10 +6e +20 +b8 +00 +8c +20 +11 +10 +dd +20 +23 +10 +92 +50 +0e +30 +97 +80 +5b +30 +45 +90 +5e +30 +15 +90 +5b +30 +8b +80 +3a +30 +85 +80 +84 +20 +eb +50 +93 +10 +f5 +30 +ef +00 +62 +20 +88 +00 +07 +20 +e4 +00 +35 +20 +aa +00 +fc +10 +81 +00 +82 +10 +84 +00 +01 +20 +da +10 +f5 +60 +bb +20 +49 +60 +59 +10 +a8 +30 +03 +10 +97 +40 +f6 +00 +5c +20 +67 +10 +e3 +60 +65 +30 +36 +90 +01 +30 +77 +60 +99 +20 +a2 +60 +35 +20 +b4 +50 +24 +10 +9d +20 +91 +00 +ad +10 +71 +00 +89 +10 +8d +00 +99 +10 +9b +00 +ab +10 +ab +00 +c7 +10 +57 +00 +cd +00 +45 +00 +ba +00 +6b +00 +50 +10 +59 +10 +3f +50 +e2 +00 +72 +10 +50 +00 +05 +10 +68 +00 +29 +10 +5a +00 +fd +00 +48 +00 +2c +10 +86 +00 +d9 +20 +e2 +00 +18 +20 +79 +00 +1b +10 +55 +00 +c7 +00 +3c +00 +8d +00 +38 +00 +c6 +00 +31 +00 +6c +00 +26 +00 +6d +00 +27 +00 +80 +00 +21 +00 +60 +00 +1f +00 +57 +00 +23 +00 +56 +00 +25 +00 +50 +00 +18 +00 +3e +00 +18 +00 +4c +00 +20 +00 +54 +00 +16 +00 +44 +00 +20 +00 +5c +00 +1f +00 +45 +00 +1f +00 +41 +00 +1b +00 +40 +00 +1d +00 +4a +00 +22 +00 +7d +00 +53 +00 +ca +00 +31 +00 +7b +00 +43 +00 +6b +00 +1a +00 +3c +00 +21 +00 +4b +00 +22 +00 +49 +00 +14 +00 +3f +00 +1b +00 +36 +00 +0f +00 +38 +00 +16 +00 +39 +00 +17 +00 +3f +00 +33 +00 +7e +20 +4b +10 +cb +10 +5b +00 +ba +00 +24 +00 +68 +00 +2a +00 +63 +00 +28 +00 +6e +00 +5b +00 +e5 +00 +30 +00 +80 +00 +2e +00 +92 +00 +31 +00 +72 +00 +25 +00 +9d +00 +3e +00 +c1 +00 +3d +00 +9d +00 +29 +00 +6c +00 +38 +00 +a5 +00 +27 +00 +61 +00 +2c +00 +61 +00 +30 +00 +7b +00 +3a +00 +ba +00 +40 +00 +f2 +00 +66 +00 +74 +10 +7d +00 +d5 +10 +c0 +00 +a4 +40 +2d +10 +45 +20 +9d +00 +c8 +30 +56 +30 +1e +80 +67 +10 +fd +30 +80 +10 +c8 +30 +40 +20 +c0 +60 +90 +10 +ac +30 +fc +00 +6c +40 +e3 +20 +2c +60 +be +00 +ea +10 +76 +00 +a0 +10 +a9 +00 +21 +20 +e4 +00 +ae +20 +00 +10 +f9 +40 +1b +30 +e4 +70 +72 +10 +cb +50 +23 +20 +68 +50 +c0 +10 +5b +40 +28 +30 +66 +80 +82 +20 +5e +30 +5b +10 +51 +70 +e0 +30 +c9 +a0 +22 +40 +bc +a0 +02 +40 +a0 +90 +35 +30 +96 +50 +9e +00 +23 +10 +41 +00 +c6 +00 +22 +10 +ed +30 +bf +00 +80 +10 +7d +00 +be +10 +fc +00 +08 +40 +81 +10 +95 +20 +92 +00 +a9 +10 +00 +00 +00 +00 +9f +00 +6e +00 +a6 +00 +72 +00 +b4 +00 +76 +00 +9c +00 +73 +00 +98 +00 +68 +00 +ad +00 +ae +00 +6c +10 +12 +10 +f7 +00 +75 +00 +8b +00 +52 +00 +8f +00 +ad +00 +f1 +00 +0c +20 +a3 +30 +ad +20 +ca +30 +0e +10 +de +00 +6a +00 +94 +00 +58 +00 +82 +00 +4b +00 +73 +00 +44 +00 +88 +00 +53 +00 +81 +00 +50 +00 +76 +00 +99 +00 +bf +10 +c6 +00 +69 +10 +f0 +00 +df +10 +89 +10 +f0 +10 +6b +10 +d8 +10 +ae +10 +7e +20 +e6 +10 +bc +20 +aa +10 +e2 +10 +8a +10 +b8 +10 +59 +30 +25 +60 +b7 +30 +88 +40 +ab +10 +88 +10 +c2 +00 +c1 +00 +8f +00 +b7 +00 +8a +00 +bd +00 +6a +00 +ba +00 +68 +00 +8f +00 +8d +00 +cf +00 +02 +20 +e8 +30 +1d +20 +91 +20 +2a +10 +13 +10 +75 +00 +9b +00 +42 +00 +72 +00 +4f +00 +8e +00 +e5 +00 +45 +30 +0f +10 +08 +10 +30 +10 +d8 +10 +37 +20 +9a +40 +c7 +20 +94 +30 +c7 +10 +4f +20 +27 +10 +68 +10 +dc +00 +c6 +00 +66 +00 +75 +00 +4e +00 +63 +00 +37 +00 +66 +00 +3e +00 +67 +00 +3d +00 +5e +00 +40 +00 +59 +00 +34 +00 +59 +00 +3d +00 +5b +00 +5a +00 +6f +00 +62 +00 +87 +00 +47 +00 +66 +00 +54 +00 +9f +00 +71 +00 +dc +00 +67 +00 +88 +00 +4c +00 +73 +00 +35 +00 +59 +00 +33 +00 +59 +00 +38 +00 +59 +00 +42 +00 +67 +00 +4b +00 +77 +00 +79 +00 +c1 +00 +82 +00 +c1 +00 +6e +00 +7f +00 +4b +00 +7c +00 +3e +00 +6d +00 +3b +00 +6d +00 +35 +00 +58 +00 +2d +00 +58 +00 +2b +00 +53 +00 +32 +00 +56 +00 +41 +00 +60 +00 +47 +00 +7c +00 +68 +00 +8d +00 +71 +00 +87 +00 +e3 +00 +ce +10 +cc +10 +4b +20 +8a +20 +a4 +50 +30 +30 +f9 +30 +b7 +10 +f4 +00 +f0 +00 +a6 +00 +a8 +00 +cb +00 +b4 +00 +50 +10 +2e +10 +1c +30 +26 +10 +53 +10 +8b +00 +82 +00 +4c +00 +92 +00 +57 +00 +7b +00 +47 +00 +5d +00 +42 +00 +74 +00 +63 +00 +84 +00 +8c +00 +d0 +00 +d0 +00 +64 +10 +01 +10 +ee +10 +46 +10 +6b +10 +ac +10 +de +30 +46 +30 +3b +40 +a9 +20 +5a +30 +3d +20 +53 +20 +56 +10 +ab +10 +d1 +00 +d7 +00 +e2 +00 +13 +20 +4c +20 +5e +20 +f2 +00 +04 +10 +ce +00 +08 +10 +c0 +00 +e7 +00 +93 +00 +25 +10 +90 +00 +ae +00 +74 +00 +b2 +00 +99 +00 +d3 +00 +84 +10 +80 +20 +94 +10 +6b +20 +9f +10 +8a +20 +e4 +10 +ca +20 +7e +20 +91 +30 +0f +30 +06 +50 +0f +50 +92 +80 +10 +60 +c1 +90 +6e +60 +2a +a0 +13 +60 +2a +90 +1b +40 +82 +50 +d1 +20 +1d +40 +d8 +20 +d3 +40 +73 +40 +73 +50 +e2 +20 +2a +30 +5a +20 +23 +40 +a3 +10 +cd +10 +33 +10 +51 +10 +ef +00 +2a +10 +0d +20 +c7 +50 +25 +40 +29 +60 +9c +20 +33 +30 +df +20 +95 +30 +38 +40 +7f +50 +67 +30 +4e +50 +27 +50 +02 +90 +4d +50 +82 +80 +8a +40 +93 +60 +3a +30 +98 +30 +11 +20 +96 +20 +93 +10 +b2 +10 +30 +10 +29 +10 +f7 +00 +2f +10 +38 +10 +ed +20 +30 +10 +39 +10 +cf +00 +cb +00 +89 +00 +ab +00 +92 +00 +c5 +00 +ac +00 +bb +20 +47 +10 +0b +20 +d4 +00 +f9 +00 +a2 +00 +e2 +00 +9b +00 +cb +00 +c6 +00 +bb +00 +e8 +00 +30 +10 +fa +00 +8f +10 +dd +00 +3f +10 +e8 +00 +d3 +00 +78 +00 +a9 +00 +5c +00 +9d +00 +83 +00 +82 +00 +55 +00 +62 +00 +40 +00 +63 +00 +3b +00 +51 +00 +37 +00 +4f +00 +2e +00 +5e +00 +2d +00 +59 +00 +2a +00 +3c +00 +25 +00 +45 +00 +26 +00 +54 +00 +30 +00 +57 +00 +2c +00 +51 +00 +27 +00 +54 +00 +22 +00 +47 +00 +23 +00 +47 +00 +27 +00 +46 +00 +34 +00 +45 +00 +3c +00 +79 +00 +4b +00 +82 +00 +45 +00 +6c +00 +2f +00 +51 +00 +2b +00 +44 +00 +27 +00 +54 +00 +2c +00 +40 +00 +20 +00 +30 +00 +1d +00 +32 +00 +1e +00 +32 +00 +25 +00 +3e +00 +3f +00 +82 +00 +76 +10 +f2 +50 +5b +30 +0f +40 +35 +10 +98 +00 +64 +00 +6b +00 +3c +00 +62 +00 +5d +00 +f7 +00 +62 +00 +89 +00 +47 +00 +66 +00 +4d +00 +76 +00 +3e +00 +61 +00 +51 +00 +79 +00 +53 +00 +83 +00 +55 +00 +69 +00 +42 +00 +62 +00 +3b +00 +60 +00 +42 +00 +65 +00 +40 +00 +88 +00 +e4 +00 +fd +10 +46 +20 +71 +20 +21 +10 +52 +10 +36 +10 +33 +10 +1b +10 +64 +10 +2b +10 +db +10 +51 +10 +b3 +10 +66 +20 +4c +50 +74 +20 +33 +30 +00 +20 +24 +20 +23 +20 +ba +30 +a8 +30 +84 +40 +7c +20 +9e +20 +de +30 +d9 +70 +70 +30 +fe +20 +f1 +10 +5e +10 +6a +10 +5b +10 +79 +10 +9c +10 +d8 +10 +4b +20 +6b +30 +8a +80 +b2 +50 +6c +60 +2e +30 +d5 +30 +eb +10 +4c +20 +23 +20 +60 +40 +38 +30 +69 +60 +a1 +40 +47 +60 +7f +40 +dd +90 +6d +60 +49 +b0 +6d +60 +7a +a0 +20 +50 +83 +70 +64 +20 +f5 +10 +11 +10 +b1 +00 +37 +10 +aa +30 +7e +10 +cb +10 +0d +10 +1d +10 +1d +10 +81 +10 +67 +10 +ea +10 +67 +10 +5c +10 +08 +10 +00 +00 +00 +00 +41 +00 +a4 +00 +37 +00 +b3 +00 +36 +00 +ac +00 +3d +00 +9e +00 +3f +00 +a0 +00 +3f +00 +c9 +00 +80 +00 +54 +20 +7d +00 +cb +00 +2e +00 +85 +00 +32 +00 +8e +00 +76 +00 +52 +30 +ce +10 +5e +50 +ff +00 +b0 +10 +4d +00 +a3 +00 +32 +00 +90 +00 +2f +00 +6f +00 +28 +00 +7c +00 +2d +00 +76 +00 +31 +00 +81 +00 +2b +00 +97 +00 +55 +00 +f3 +00 +4a +00 +e1 +00 +02 +10 +93 +20 +82 +00 +45 +10 +7a +00 +90 +10 +82 +00 +ad +10 +99 +00 +18 +20 +6d +00 +28 +10 +e4 +00 +d7 +40 +7c +20 +86 +60 +28 +10 +22 +20 +62 +00 +d8 +00 +4f +00 +cb +00 +55 +00 +cb +00 +3d +00 +b9 +00 +44 +00 +93 +00 +3e +00 +c1 +00 +86 +00 +48 +30 +1f +20 +0c +50 +a9 +00 +69 +10 +45 +00 +a7 +00 +34 +00 +72 +00 +26 +00 +70 +00 +2a +00 +b0 +00 +8f +00 +73 +10 +5a +00 +26 +10 +e7 +00 +8f +40 +f5 +10 +32 +40 +ab +10 +63 +30 +87 +00 +5e +10 +4b +00 +c5 +00 +31 +00 +7c +00 +1a +00 +67 +00 +28 +00 +62 +00 +22 +00 +60 +00 +2b +00 +5d +00 +1c +00 +64 +00 +1d +00 +55 +00 +22 +00 +59 +00 +29 +00 +c0 +00 +50 +00 +92 +00 +37 +00 +71 +00 +22 +00 +73 +00 +2b +00 +80 +00 +2c +00 +9d +00 +27 +00 +75 +00 +25 +00 +5c +00 +22 +00 +57 +00 +1d +00 +52 +00 +1b +00 +6c +00 +26 +00 +5d +00 +22 +00 +75 +00 +30 +00 +8f +00 +27 +00 +7c +00 +2a +00 +6d +00 +1c +00 +5e +00 +1a +00 +61 +00 +23 +00 +51 +00 +1e +00 +52 +00 +20 +00 +4f +00 +1a +00 +50 +00 +1d +00 +56 +00 +23 +00 +61 +00 +32 +00 +94 +00 +5f +00 +c6 +00 +4f +00 +81 +10 +ee +00 +54 +20 +cd +00 +f9 +30 +78 +20 +a7 +60 +bb +10 +5a +40 +fa +00 +59 +10 +36 +00 +a8 +00 +41 +00 +e9 +00 +5d +00 +44 +10 +98 +00 +76 +10 +4a +00 +9f +00 +25 +00 +90 +00 +3b +00 +97 +00 +2b +00 +6d +00 +22 +00 +6a +00 +29 +00 +ad +00 +59 +00 +e3 +00 +52 +00 +e5 +00 +61 +00 +36 +10 +6e +00 +b2 +10 +e2 +00 +71 +20 +75 +10 +0d +50 +a2 +10 +f8 +30 +2d +20 +ef +40 +cb +10 +b2 +20 +74 +00 +1f +10 +43 +00 +ef +00 +5e +10 +7f +40 +d2 +00 +8d +10 +4d +00 +00 +10 +60 +00 +e8 +00 +54 +00 +b6 +00 +62 +00 +ec +00 +45 +00 +b5 +00 +41 +00 +eb +00 +14 +10 +3b +40 +b9 +10 +98 +20 +c4 +00 +c3 +20 +ff +00 +f6 +20 +58 +10 +1b +30 +67 +10 +d3 +50 +e9 +20 +a1 +80 +7c +30 +07 +a0 +b8 +30 +16 +a0 +5f +30 +a4 +80 +2d +20 +bf +40 +25 +10 +de +20 +de +00 +f4 +20 +69 +20 +91 +70 +8b +20 +93 +60 +d8 +10 +80 +30 +70 +10 +2f +30 +94 +00 +57 +10 +67 +00 +18 +10 +6c +00 +cb +10 +58 +20 +cd +60 +86 +10 +37 +30 +d6 +00 +df +20 +5b +20 +66 +70 +e3 +20 +3d +60 +f3 +20 +99 +80 +98 +30 +57 +90 +31 +20 +f7 +50 +b7 +10 +89 +40 +00 +10 +52 +20 +9d +00 +1c +20 +71 +00 +13 +10 +4c +00 +db +00 +4f +00 +7b +10 +f2 +00 +c3 +10 +5f +00 +d7 +00 +4d +00 +a6 +00 +31 +00 +93 +00 +42 +00 +d2 +00 +65 +00 +4a +10 +7e +00 +1b +10 +41 +00 +b1 +00 +40 +00 +b4 +00 +3a +00 +dd +00 +50 +00 +c9 +00 +41 +00 +01 +10 +45 +00 +ec +00 +3e +00 +d2 +00 +44 +00 +84 +00 +31 +00 +8d +00 +86 +00 +c6 +10 +4b +00 +7c +00 +20 +00 +5a +00 +23 +00 +57 +00 +17 +00 +52 +00 +1b +00 +49 +00 +1f +00 +52 +00 +1b +00 +49 +00 +1d +00 +46 +00 +16 +00 +4b +00 +1d +00 +4a +00 +1f +00 +58 +00 +26 +00 +58 +00 +20 +00 +4b +00 +19 +00 +42 +00 +19 +00 +3a +00 +1e +00 +4d +00 +1f +00 +60 +00 +2a +00 +74 +00 +21 +00 +5e +00 +20 +00 +58 +00 +1d +00 +4b +00 +1d +00 +4b +00 +1e +00 +4d +00 +1e +00 +39 +00 +13 +00 +2b +00 +14 +00 +2b +00 +12 +00 +3f +00 +17 +00 +45 +00 +35 +00 +d1 +10 +59 +20 +6c +70 +e1 +10 +33 +20 +32 +00 +7b +00 +2a +00 +5e +00 +27 +00 +8b +00 +56 +00 +ad +00 +25 +00 +64 +00 +26 +00 +62 +00 +28 +00 +62 +00 +26 +00 +61 +00 +26 +00 +7c +00 +21 +00 +72 +00 +27 +00 +67 +00 +28 +00 +6b +00 +2b +00 +73 +00 +2a +00 +6c +00 +39 +00 +22 +10 +4e +20 +a4 +60 +56 +10 +8c +10 +6f +00 +2c +10 +4a +00 +f7 +00 +54 +00 +2b +10 +58 +00 +1d +10 +6b +00 +4c +30 +37 +10 +9a +20 +93 +00 +d9 +10 +71 +00 +96 +10 +e5 +00 +ce +30 +f5 +00 +65 +20 +e9 +00 +a8 +40 +3b +20 +3f +40 +ce +00 +d5 +10 +08 +10 +c9 +10 +6a +00 +52 +10 +79 +00 +57 +20 +ee +00 +1a +40 +75 +30 +f5 +90 +86 +20 +e3 +30 +de +00 +10 +20 +c0 +00 +7d +30 +8b +10 +a8 +40 +70 +30 +ed +80 +eb +10 +b1 +40 +51 +30 +cd +90 +ae +30 +6f +90 +24 +30 +ba +70 +aa +10 +c5 +20 +6d +00 +ed +00 +6e +00 +be +20 +c2 +00 +95 +10 +77 +00 +12 +10 +50 +00 +ef +00 +f1 +00 +3c +30 +7b +00 +8f +10 +ad +00 +a7 +10 +00 +00 +00 +00 +a6 +00 +67 +00 +a9 +00 +7c +00 +a7 +00 +6e +00 +a0 +00 +66 +00 +a5 +00 +75 +00 +b0 +00 +c7 +00 +d1 +10 +68 +10 +b7 +20 +b1 +00 +ad +00 +66 +00 +96 +00 +c7 +00 +50 +10 +56 +20 +2f +50 +25 +20 +4c +20 +e0 +00 +b5 +00 +66 +00 +8d +00 +4b +00 +72 +00 +3f +00 +7a +00 +48 +00 +78 +00 +3d +00 +7e +00 +49 +00 +7b +00 +68 +00 +c3 +00 +77 +00 +a1 +00 +a9 +00 +9b +10 +fb +00 +8f +10 +f9 +00 +00 +10 +09 +10 +33 +10 +13 +10 +62 +10 +f5 +00 +18 +10 +9f +10 +33 +30 +64 +30 +23 +60 +28 +30 +fb +30 +55 +10 +2a +10 +ff +00 +76 +10 +8e +10 +84 +10 +03 +10 +de +00 +83 +00 +a5 +00 +93 +00 +d6 +00 +97 +10 +a6 +20 +2a +30 +a6 +50 +64 +20 +8b +20 +fe +00 +cd +00 +63 +00 +84 +00 +4a +00 +69 +00 +52 +00 +77 +00 +5d +00 +c3 +00 +92 +00 +e3 +00 +e5 +00 +d9 +10 +cf +10 +91 +30 +7e +10 +35 +20 +01 +10 +97 +10 +c8 +00 +b5 +00 +74 +00 +8d +00 +4b +00 +6c +00 +3a +00 +6c +00 +3b +00 +5a +00 +3e +00 +58 +00 +36 +00 +53 +00 +32 +00 +54 +00 +33 +00 +66 +00 +3a +00 +56 +00 +5c +00 +8e +00 +90 +00 +90 +00 +7e +00 +6f +00 +4d +00 +79 +00 +4c +00 +89 +00 +48 +00 +83 +00 +41 +00 +67 +00 +2f +00 +4b +00 +37 +00 +68 +00 +36 +00 +57 +00 +2f +00 +72 +00 +38 +00 +5a +00 +4e +00 +6f +00 +46 +00 +68 +00 +4e +00 +58 +00 +3b +00 +51 +00 +38 +00 +54 +00 +39 +00 +5f +00 +38 +00 +50 +00 +2f +00 +58 +00 +33 +00 +59 +00 +32 +00 +4d +00 +2f +00 +5f +00 +41 +00 +6c +00 +4a +00 +84 +00 +98 +00 +64 +10 +fd +10 +66 +30 +1c +10 +3a +10 +49 +10 +11 +30 +c2 +20 +4c +70 +5b +40 +8f +60 +55 +20 +6a +10 +f2 +00 +b5 +00 +55 +10 +98 +10 +d8 +00 +1e +10 +a9 +00 +bf +00 +79 +00 +8e +00 +ba +00 +62 +10 +95 +00 +ab +00 +60 +00 +67 +00 +45 +00 +71 +00 +9c +00 +9e +20 +2c +10 +23 +10 +b1 +00 +ee +00 +c8 +00 +ce +10 +d9 +10 +21 +40 +9e +20 +63 +40 +c3 +20 +41 +30 +a1 +20 +b2 +50 +d4 +30 +01 +70 +68 +20 +07 +20 +ca +00 +be +00 +d4 +00 +e6 +10 +21 +10 +aa +10 +d9 +00 +07 +10 +d0 +00 +f6 +00 +7b +00 +ad +00 +77 +00 +be +00 +a4 +00 +76 +10 +99 +00 +b7 +00 +e0 +00 +8f +20 +20 +20 +d5 +40 +ab +10 +3b +20 +e4 +10 +3a +30 +8c +20 +c5 +30 +fd +20 +06 +50 +76 +40 +54 +80 +19 +50 +a6 +80 +52 +50 +74 +80 +83 +50 +e9 +70 +59 +50 +c3 +60 +09 +30 +e8 +20 +fc +10 +11 +20 +e7 +20 +5f +60 +ce +30 +52 +50 +68 +20 +3a +30 +95 +10 +4e +20 +cf +10 +64 +20 +20 +10 +2b +10 +de +00 +67 +10 +91 +20 +e8 +50 +d0 +20 +b1 +30 +b6 +20 +bc +20 +5d +30 +81 +60 +fe +30 +35 +70 +2d +30 +46 +60 +21 +40 +39 +80 +ba +40 +c8 +70 +61 +40 +46 +50 +d1 +20 +ce +20 +c8 +10 +e1 +20 +5b +20 +22 +20 +12 +10 +e8 +00 +ab +00 +c1 +00 +b8 +00 +12 +10 +9b +00 +f7 +00 +a1 +00 +c4 +00 +81 +00 +90 +00 +62 +00 +a5 +00 +84 +00 +c6 +00 +88 +00 +ff +00 +93 +00 +ba +00 +7f +00 +92 +00 +ab +00 +32 +10 +1d +20 +ad +20 +08 +10 +be +00 +ad +00 +b4 +00 +88 +00 +89 +00 +65 +00 +8d +00 +4b +00 +85 +00 +90 +00 +da +10 +0d +10 +94 +10 +6a +00 +6d +00 +3d +00 +56 +00 +2d +00 +55 +00 +2c +00 +52 +00 +24 +00 +55 +00 +2c +00 +47 +00 +27 +00 +43 +00 +28 +00 +41 +00 +2b +00 +49 +00 +35 +00 +50 +00 +46 +00 +52 +00 +33 +00 +53 +00 +2b +00 +47 +00 +26 +00 +39 +00 +26 +00 +3e +00 +27 +00 +51 +00 +2d +00 +5d +00 +3f +00 +61 +00 +31 +00 +4a +00 +2c +00 +43 +00 +31 +00 +48 +00 +26 +00 +59 +00 +2c +00 +48 +00 +20 +00 +33 +00 +1d +00 +37 +00 +24 +00 +33 +00 +22 +00 +43 +00 +45 +00 +7e +00 +9e +10 +f3 +40 +6e +20 +63 +30 +50 +10 +f4 +00 +91 +00 +6e +00 +4b +00 +66 +00 +3e +00 +6b +00 +4e +00 +83 +00 +41 +00 +56 +00 +3a +00 +5a +00 +34 +00 +51 +00 +33 +00 +5a +00 +39 +00 +66 +00 +3d +00 +66 +00 +68 +00 +71 +00 +43 +00 +6b +00 +40 +00 +72 +00 +49 +00 +75 +00 +a5 +00 +7a +20 +68 +10 +84 +20 +ea +00 +fc +00 +b0 +00 +b3 +00 +a3 +00 +c7 +00 +c6 +00 +ef +00 +d4 +00 +04 +10 +3b +10 +8f +20 +5f +10 +a5 +10 +3c +10 +23 +10 +59 +10 +98 +10 +e9 +10 +b3 +20 +b8 +30 +62 +50 +ee +40 +9c +60 +be +30 +79 +30 +b4 +30 +1c +40 +e9 +20 +d0 +10 +e9 +10 +b8 +10 +76 +30 +57 +70 +7c +50 +65 +90 +c7 +50 +84 +70 +e6 +30 +e8 +20 +09 +30 +11 +40 +7e +30 +1c +60 +aa +20 +c6 +50 +23 +30 +f4 +30 +2b +30 +12 +60 +ca +50 +91 +a0 +51 +60 +6d +90 +ea +30 +3b +40 +b1 +10 +4f +10 +23 +10 +1d +10 +60 +10 +fe +10 +0e +10 +34 +10 +e3 +00 +a1 +00 +de +00 +ae +20 +f7 +10 +70 +20 +b4 +10 +c1 +30 +2d +10 +00 +00 +00 +00 +3d +00 +ab +00 +44 +00 +af +00 +39 +00 +a8 +00 +3f +00 +b2 +00 +3c +00 +ab +00 +36 +00 +e0 +00 +b9 +00 +0b +20 +7e +00 +07 +10 +39 +00 +a0 +00 +2b +00 +ab +00 +cf +00 +f2 +20 +ea +00 +56 +20 +6c +00 +ff +00 +37 +00 +91 +00 +2d +00 +85 +00 +31 +00 +67 +00 +2a +00 +6e +00 +29 +00 +69 +00 +27 +00 +7c +00 +25 +00 +80 +00 +47 +00 +b1 +00 +3d +00 +a4 +00 +59 +00 +2a +10 +5e +00 +c4 +00 +4a +00 +f4 +00 +52 +00 +0b +10 +5d +00 +f2 +00 +63 +00 +0f +20 +84 +10 +d4 +40 +c0 +10 +a3 +40 +e5 +00 +a9 +10 +59 +00 +fe +00 +54 +10 +9f +40 +3e +10 +77 +20 +61 +00 +c7 +00 +40 +00 +d2 +00 +ec +00 +8c +30 +ee +10 +66 +50 +81 +10 +74 +30 +7b +00 +20 +10 +40 +00 +9d +00 +31 +00 +82 +00 +30 +00 +7d +00 +34 +00 +85 +00 +44 +00 +2d +10 +52 +00 +c1 +00 +7e +00 +a5 +10 +8e +00 +7c +10 +74 +00 +13 +10 +4a +00 +c2 +00 +34 +00 +76 +00 +2a +00 +73 +00 +23 +00 +58 +00 +2a +00 +66 +00 +20 +00 +66 +00 +21 +00 +57 +00 +1f +00 +5e +00 +21 +00 +5d +00 +25 +00 +66 +00 +2e +00 +7f +00 +59 +00 +f3 +00 +38 +00 +9e +00 +27 +00 +76 +00 +2e +00 +71 +00 +28 +00 +70 +00 +24 +00 +65 +00 +21 +00 +57 +00 +23 +00 +5e +00 +2a +00 +63 +00 +1f +00 +63 +00 +20 +00 +61 +00 +21 +00 +59 +00 +20 +00 +4d +00 +1f +00 +54 +00 +22 +00 +50 +00 +24 +00 +69 +00 +25 +00 +5f +00 +1d +00 +5d +00 +1c +00 +58 +00 +1f +00 +57 +00 +1d +00 +53 +00 +1b +00 +4d +00 +28 +00 +69 +00 +29 +00 +70 +00 +2f +00 +af +00 +33 +10 +70 +40 +ff +00 +d3 +10 +61 +00 +2e +10 +7f +00 +12 +20 +19 +20 +82 +70 +c3 +20 +a7 +50 +96 +00 +04 +10 +63 +00 +84 +20 +10 +10 +7e +10 +43 +00 +c0 +00 +37 +00 +a1 +00 +58 +00 +59 +10 +23 +10 +ef +10 +44 +00 +81 +00 +26 +00 +58 +00 +23 +00 +8e +00 +c2 +00 +ec +10 +70 +00 +f0 +00 +4e +00 +c6 +00 +c8 +00 +72 +30 +0d +10 +b3 +20 +c7 +10 +24 +60 +cb +10 +aa +30 +55 +10 +3c +40 +37 +20 +1f +40 +b3 +00 +1b +10 +46 +00 +ff +00 +8f +00 +19 +20 +8b +00 +26 +10 +5a +00 +a7 +10 +67 +00 +d6 +00 +40 +00 +a6 +00 +3c +00 +d8 +00 +78 +00 +57 +10 +66 +00 +21 +10 +cf +00 +f4 +10 +e3 +00 +7b +20 +f5 +00 +e8 +20 +7a +10 +7d +30 +00 +20 +69 +50 +1b +20 +c7 +50 +42 +20 +64 +60 +62 +20 +9a +60 +21 +30 +23 +90 +4b +30 +23 +90 +bf +10 +8b +30 +b9 +00 +f1 +10 +dd +00 +82 +40 +90 +20 +9a +50 +42 +10 +d0 +20 +b1 +00 +cd +10 +90 +00 +e9 +10 +aa +00 +7f +10 +63 +00 +f3 +00 +99 +00 +1c +30 +26 +20 +43 +40 +10 +10 +64 +30 +0b +20 +27 +60 +f3 +10 +b6 +40 +76 +10 +55 +30 +14 +10 +68 +30 +2b +20 +22 +80 +3c +30 +0e +70 +1c +20 +21 +40 +c0 +00 +ca +10 +f9 +00 +78 +30 +27 +10 +24 +20 +4e +00 +ba +00 +3d +00 +c7 +00 +4e +00 +d2 +00 +45 +00 +bf +00 +aa +00 +39 +10 +35 +00 +9a +00 +3b +00 +a4 +00 +36 +00 +ca +00 +40 +00 +ac +00 +2e +00 +8e +00 +2f +00 +af +00 +72 +10 +87 +50 +90 +10 +28 +20 +50 +00 +c3 +00 +32 +00 +81 +00 +2d +00 +6d +00 +2e +00 +7a +00 +30 +00 +bd +00 +e9 +00 +87 +10 +4e +00 +b0 +00 +2a +00 +68 +00 +24 +00 +5c +00 +29 +00 +6c +00 +22 +00 +5b +00 +1c +00 +4b +00 +1d +00 +40 +00 +1a +00 +4b +00 +20 +00 +48 +00 +1b +00 +66 +00 +7e +00 +fa +00 +23 +00 +58 +00 +24 +00 +4c +00 +1d +00 +42 +00 +1a +00 +34 +00 +1a +00 +46 +00 +1c +00 +58 +00 +1e +00 +56 +00 +1f +00 +4b +00 +1a +00 +3f +00 +21 +00 +4c +00 +1e +00 +49 +00 +20 +00 +4c +00 +16 +00 +39 +00 +15 +00 +34 +00 +10 +00 +38 +00 +16 +00 +34 +00 +1b +00 +4d +00 +3a +00 +d0 +10 +3e +20 +6b +40 +df +00 +b7 +10 +4b +00 +c3 +00 +2d +00 +75 +00 +2c +00 +79 +00 +27 +00 +6a +00 +25 +00 +57 +00 +22 +00 +5c +00 +25 +00 +54 +00 +25 +00 +54 +00 +26 +00 +68 +00 +22 +00 +60 +00 +2b +00 +0a +10 +7b +00 +9b +00 +2b +00 +6f +00 +27 +00 +66 +00 +30 +00 +bb +00 +7f +00 +76 +10 +87 +00 +32 +10 +4a +00 +b3 +00 +41 +00 +ab +00 +3e +00 +10 +10 +58 +00 +d1 +00 +45 +00 +24 +10 +65 +00 +61 +10 +62 +00 +12 +10 +55 +00 +27 +10 +90 +00 +15 +20 +33 +20 +e2 +70 +67 +30 +47 +90 +4a +30 +79 +80 +d9 +20 +4e +80 +18 +30 +fe +60 +d3 +10 +f1 +30 +17 +10 +df +40 +07 +30 +41 +90 +cf +30 +51 +a0 +8c +30 +68 +70 +35 +10 +12 +40 +ad +10 +ee +40 +71 +10 +1e +30 +fb +00 +ff +20 +ce +00 +cf +20 +b2 +20 +67 +90 +89 +30 +87 +90 +0f +20 +db +30 +a6 +00 +96 +10 +4b +00 +ff +00 +11 +10 +92 +20 +7f +10 +07 +20 +53 +00 +c6 +00 +40 +00 +d4 +00 +ce +00 +ab +30 +ea +00 +02 +20 +99 +00 +5a +10 +00 +00 +00 +00 +92 +00 +72 +00 +aa +00 +70 +00 +ae +00 +6c +00 +9e +00 +70 +00 +ac +00 +74 +00 +a1 +00 +97 +00 +fb +00 +9e +00 +00 +10 +a2 +00 +d5 +00 +ac +00 +c7 +00 +9b +00 +06 +10 +ff +00 +99 +10 +f2 +00 +0a +10 +90 +00 +9d +00 +5d +00 +87 +00 +4b +00 +7b +00 +49 +00 +66 +00 +50 +00 +74 +00 +46 +00 +68 +00 +4a +00 +69 +00 +59 +00 +92 +00 +5d +00 +82 +00 +74 +00 +bb +00 +9e +00 +cc +00 +c9 +00 +c3 +00 +4e +10 +4e +10 +03 +10 +f9 +00 +ba +00 +ec +00 +e2 +00 +af +10 +6f +10 +3b +30 +a5 +10 +c2 +10 +e3 +00 +eb +00 +e9 +00 +38 +20 +bb +20 +f9 +40 +b1 +10 +4a +10 +ac +00 +c0 +00 +d5 +00 +a4 +20 +c1 +20 +5a +50 +a1 +30 +5e +50 +8c +20 +44 +20 +bb +00 +93 +00 +5b +00 +7f +00 +5a +00 +e4 +00 +63 +00 +8f +00 +4f +00 +a0 +00 +73 +00 +c7 +00 +90 +00 +cb +00 +af +00 +3d +10 +b6 +00 +10 +10 +a0 +00 +be +00 +75 +00 +75 +00 +47 +00 +6c +00 +45 +00 +67 +00 +3c +00 +67 +00 +3a +00 +5c +00 +3c +00 +50 +00 +3c +00 +5a +00 +32 +00 +59 +00 +35 +00 +65 +00 +35 +00 +74 +00 +eb +00 +4c +20 +96 +20 +c3 +20 +24 +10 +b3 +00 +6a +00 +78 +00 +4e +00 +77 +00 +40 +00 +4e +00 +3f +00 +5c +00 +3f +00 +5c +00 +39 +00 +67 +00 +37 +00 +63 +00 +39 +00 +55 +00 +30 +00 +56 +00 +38 +00 +4f +00 +36 +00 +52 +00 +3a +00 +58 +00 +39 +00 +4d +00 +30 +00 +50 +00 +5c +00 +7d +00 +45 +00 +67 +00 +2e +00 +4a +00 +31 +00 +54 +00 +30 +00 +44 +00 +38 +00 +63 +00 +34 +00 +64 +00 +45 +00 +6f +00 +89 +00 +b0 +10 +71 +10 +87 +20 +fe +00 +00 +10 +e7 +00 +35 +10 +62 +10 +e0 +20 +18 +40 +19 +80 +35 +40 +90 +30 +6c +10 +5a +10 +06 +10 +5c +20 +1f +10 +20 +10 +a4 +00 +a0 +00 +81 +00 +a9 +00 +e7 +00 +29 +30 +03 +10 +4f +10 +81 +00 +66 +00 +39 +00 +75 +00 +56 +00 +c2 +00 +6a +00 +04 +10 +85 +00 +c7 +00 +8a +00 +1b +10 +9d +10 +31 +20 +84 +10 +a7 +20 +6f +20 +d7 +50 +a1 +30 +54 +60 +ff +20 +3d +30 +69 +10 +b9 +10 +d8 +00 +c6 +00 +06 +10 +44 +20 +62 +10 +e7 +10 +d2 +00 +34 +10 +e5 +00 +ae +10 +9a +00 +b4 +00 +6d +00 +b7 +00 +6b +00 +ba +00 +df +00 +7f +10 +c3 +00 +41 +10 +1b +10 +b4 +10 +50 +10 +6a +30 +c4 +10 +42 +20 +49 +20 +23 +40 +21 +30 +77 +40 +70 +40 +32 +70 +73 +50 +34 +80 +1f +50 +8d +80 +a3 +60 +18 +a0 +6c +50 +1a +50 +f4 +20 +2b +20 +d0 +20 +12 +40 +f3 +30 +bb +60 +6f +20 +11 +30 +b0 +10 +c4 +10 +1f +10 +79 +10 +20 +10 +32 +10 +d8 +00 +f1 +00 +cd +00 +27 +10 +11 +20 +57 +50 +ab +20 +9e +40 +2e +40 +23 +70 +65 +30 +f5 +40 +22 +20 +d6 +20 +05 +20 +4f +20 +4b +20 +19 +30 +05 +40 +27 +80 +e7 +40 +ea +50 +b6 +20 +37 +20 +9c +10 +0b +20 +b9 +10 +58 +30 +43 +10 +54 +10 +a4 +00 +b2 +00 +87 +00 +ba +00 +9b +00 +f1 +00 +d7 +00 +fd +00 +b9 +00 +d7 +00 +74 +00 +8d +00 +5d +00 +9c +00 +68 +00 +b0 +00 +68 +00 +84 +00 +57 +00 +79 +00 +c5 +00 +06 +30 +08 +20 +2c +40 +05 +20 +82 +20 +b2 +00 +94 +00 +7d +00 +86 +00 +60 +00 +78 +00 +58 +00 +b0 +00 +be +00 +78 +10 +70 +00 +c2 +00 +51 +00 +78 +00 +3a +00 +4d +00 +31 +00 +5a +00 +31 +00 +49 +00 +2c +00 +57 +00 +24 +00 +3e +00 +27 +00 +4c +00 +2e +00 +4a +00 +2c +00 +4c +00 +41 +00 +1c +10 +6b +00 +a3 +00 +38 +00 +4b +00 +27 +00 +4c +00 +22 +00 +3b +00 +25 +00 +4d +00 +26 +00 +4e +00 +2e +00 +58 +00 +2e +00 +56 +00 +28 +00 +3b +00 +27 +00 +4f +00 +29 +00 +52 +00 +29 +00 +48 +00 +27 +00 +48 +00 +22 +00 +37 +00 +1c +00 +35 +00 +1e +00 +34 +00 +27 +00 +43 +00 +80 +00 +ed +00 +94 +10 +b8 +20 +7e +10 +4f +20 +56 +10 +7d +20 +8e +10 +23 +10 +60 +00 +73 +00 +3e +00 +63 +00 +41 +00 +66 +00 +3c +00 +5a +00 +2b +00 +58 +00 +29 +00 +59 +00 +2b +00 +57 +00 +37 +00 +67 +00 +3c +00 +79 +00 +8d +00 +01 +20 +84 +00 +85 +00 +4f +00 +63 +00 +45 +00 +77 +00 +72 +00 +f7 +00 +97 +00 +1d +10 +b4 +00 +ca +00 +8c +00 +a0 +00 +8c +00 +11 +10 +e3 +00 +e1 +10 +f4 +00 +f0 +00 +9d +10 +44 +20 +e3 +10 +c0 +10 +5a +20 +40 +20 +50 +20 +59 +40 +b5 +30 +71 +40 +37 +40 +69 +90 +2a +50 +7c +90 +07 +60 +30 +a0 +7e +60 +06 +a0 +e6 +50 +4c +90 +be +50 +78 +80 +49 +50 +07 +70 +02 +50 +7b +70 +ce +40 +b0 +70 +0b +40 +83 +50 +df +20 +17 +30 +16 +20 +5d +20 +ad +10 +dc +10 +72 +10 +c2 +10 +0f +20 +6e +40 +e9 +20 +bb +40 +d7 +20 +dc +40 +15 +20 +b9 +10 +37 +10 +30 +10 +6f +20 +1f +50 +cb +20 +63 +60 +bf +20 +fa +10 +ea +00 +99 +00 +c3 +00 +c3 +10 +7c +10 +01 +20 +05 +10 +17 +10 +be +00 +00 +00 +00 +00 +3e +00 +a3 +00 +38 +00 +a6 +00 +44 +00 +9d +00 +3b +00 +a7 +00 +3c +00 +b4 +00 +3d +00 +af +00 +52 +00 +d4 +00 +42 +00 +ac +00 +ae +00 +6b +20 +4e +00 +a5 +00 +40 +00 +df +00 +63 +00 +26 +10 +3d +00 +a2 +00 +39 +00 +90 +00 +27 +00 +7e +00 +33 +00 +69 +00 +2f +00 +66 +00 +2d +00 +6b +00 +2f +00 +5d +00 +22 +00 +75 +00 +2b +00 +85 +00 +32 +00 +8c +00 +43 +00 +ca +00 +4b +00 +d0 +00 +76 +00 +8e +20 +bc +00 +9e +10 +98 +00 +2e +10 +49 +00 +dd +00 +5d +00 +8b +10 +87 +00 +97 +10 +61 +00 +ec +00 +41 +00 +cc +00 +e6 +00 +e3 +30 +d5 +10 +e8 +30 +7c +00 +fa +00 +3f +00 +dd +00 +a2 +00 +8b +20 +b0 +10 +7d +60 +3e +20 +11 +40 +85 +00 +fd +00 +2e +00 +8f +00 +33 +00 +8b +00 +5a +00 +ee +00 +34 +00 +8f +00 +2c +00 +91 +00 +3c +00 +9e +00 +36 +00 +d1 +00 +49 +00 +f7 +00 +3c +00 +bd +00 +36 +00 +79 +00 +2f +00 +72 +00 +25 +00 +6e +00 +1f +00 +63 +00 +27 +00 +5b +00 +25 +00 +5f +00 +1f +00 +61 +00 +22 +00 +5f +00 +20 +00 +6c +00 +27 +00 +64 +00 +3b +00 +16 +10 +bc +10 +07 +60 +10 +20 +71 +30 +55 +00 +99 +00 +2e +00 +6e +00 +2c +00 +64 +00 +1f +00 +59 +00 +21 +00 +5d +00 +21 +00 +4c +00 +24 +00 +60 +00 +25 +00 +63 +00 +1f +00 +5a +00 +1b +00 +5d +00 +26 +00 +55 +00 +25 +00 +5e +00 +21 +00 +57 +00 +23 +00 +61 +00 +25 +00 +9d +00 +8d +00 +cb +00 +26 +00 +5e +00 +21 +00 +4b +00 +1c +00 +53 +00 +23 +00 +5d +00 +23 +00 +54 +00 +1e +00 +63 +00 +26 +00 +81 +00 +69 +00 +b6 +10 +83 +00 +5e +10 +4e +00 +c5 +00 +5b +00 +5a +10 +f0 +00 +96 +50 +31 +30 +23 +80 +a2 +10 +50 +20 +53 +00 +0c +10 +ac +00 +f5 +10 +60 +00 +c7 +00 +6f +00 +fc +00 +46 +00 +ee +00 +b3 +00 +82 +10 +57 +00 +aa +00 +27 +00 +70 +00 +2e +00 +7f +00 +3b +00 +aa +00 +44 +00 +bd +00 +42 +00 +a9 +00 +87 +00 +86 +30 +84 +10 +85 +20 +bb +00 +bb +20 +af +10 +3f +50 +6d +20 +c6 +50 +fa +00 +fd +10 +63 +00 +e3 +00 +65 +00 +17 +20 +dd +00 +6c +20 +7c +00 +2c +10 +67 +00 +29 +10 +63 +00 +00 +10 +45 +00 +a7 +00 +40 +00 +a7 +00 +53 +00 +4c +10 +88 +00 +48 +10 +81 +00 +02 +20 +9f +00 +bc +10 +bd +00 +fe +10 +f6 +00 +ff +30 +a5 +10 +e2 +40 +a9 +20 +30 +80 +3e +30 +90 +90 +89 +20 +be +60 +4a +30 +98 +90 +c6 +30 +be +80 +bc +10 +f3 +30 +2e +10 +d2 +40 +87 +20 +49 +70 +21 +20 +a4 +30 +d7 +00 +f8 +10 +7b +00 +6c +10 +aa +00 +7e +10 +6b +00 +04 +10 +5c +00 +f2 +00 +a4 +00 +32 +30 +2d +10 +6e +30 +7f +20 +ff +60 +3d +20 +44 +40 +ef +00 +9d +20 +e5 +00 +3c +20 +95 +00 +ea +10 +b0 +00 +14 +40 +15 +30 +52 +80 +d7 +10 +67 +30 +a1 +00 +d4 +10 +42 +10 +99 +30 +43 +10 +82 +20 +79 +00 +0e +10 +49 +00 +af +00 +48 +00 +b2 +00 +da +00 +c7 +20 +84 +00 +ea +10 +6d +00 +ad +00 +39 +00 +93 +00 +2d +00 +81 +00 +36 +00 +90 +00 +31 +00 +77 +00 +2e +00 +bd +00 +00 +10 +20 +30 +31 +10 +8b +20 +ad +00 +2b +10 +3e +00 +1d +10 +9d +00 +ba +00 +2e +00 +78 +00 +3a +00 +df +00 +4a +00 +d1 +00 +34 +00 +8c +00 +2c +00 +56 +00 +20 +00 +58 +00 +20 +00 +58 +00 +1a +00 +52 +00 +23 +00 +45 +00 +1f +00 +39 +00 +20 +00 +49 +00 +20 +00 +4f +00 +1f +00 +51 +00 +2f +00 +76 +00 +31 +00 +68 +00 +19 +00 +44 +00 +1f +00 +3f +00 +1b +00 +43 +00 +19 +00 +53 +00 +25 +00 +4f +00 +1c +00 +44 +00 +1d +00 +42 +00 +14 +00 +4b +00 +21 +00 +59 +00 +26 +00 +4d +00 +1c +00 +4c +00 +1a +00 +30 +00 +13 +00 +2a +00 +14 +00 +31 +00 +15 +00 +33 +00 +15 +00 +82 +00 +25 +10 +dc +30 +8f +00 +a4 +10 +d2 +00 +98 +10 +33 +10 +6c +20 +5a +00 +a8 +00 +25 +00 +62 +00 +21 +00 +62 +00 +27 +00 +60 +00 +27 +00 +5f +00 +2c +00 +5f +00 +25 +00 +4e +00 +24 +00 +5d +00 +20 +00 +5a +00 +2a +00 +9b +00 +61 +00 +c4 +00 +31 +00 +68 +00 +2b +00 +6c +00 +2b +00 +74 +00 +31 +00 +e1 +00 +49 +00 +bd +00 +42 +00 +ab +00 +35 +00 +bd +00 +cf +00 +d3 +10 +9b +00 +ed +10 +cd +00 +c4 +20 +73 +20 +83 +50 +b0 +10 +95 +50 +c5 +20 +7a +50 +a4 +10 +58 +60 +a2 +20 +d5 +40 +75 +20 +71 +70 +1b +30 +21 +a0 +36 +40 +02 +b0 +19 +40 +da +a0 +c1 +30 +bb +90 +70 +30 +d4 +80 +08 +30 +33 +80 +cf +20 +b3 +60 +79 +10 +9f +40 +a2 +20 +80 +50 +e6 +00 +89 +20 +91 +00 +79 +10 +5f +00 +3c +10 +5b +00 +4e +10 +96 +00 +44 +20 +d4 +00 +c4 +20 +d5 +00 +e5 +10 +51 +00 +d5 +00 +ee +00 +fb +50 +8f +20 +02 +60 +ce +10 +4d +40 +6c +10 +67 +10 +36 +00 +b0 +00 +05 +10 +03 +30 +7d +00 +38 +10 +53 +00 +78 +10 +00 +00 +00 +00 +b4 +00 +6d +00 +a4 +00 +6e +00 +a9 +00 +77 +00 +ad +00 +6f +00 +a9 +00 +76 +00 +b3 +00 +81 +00 +a9 +00 +80 +00 +bd +00 +79 +00 +9e +10 +23 +10 +9c +10 +93 +00 +ae +00 +9d +00 +e7 +00 +9c +00 +a8 +00 +6b +00 +b6 +00 +86 +00 +91 +00 +5c +00 +6e +00 +50 +00 +68 +00 +41 +00 +73 +00 +3d +00 +76 +00 +44 +00 +72 +00 +4c +00 +72 +00 +4f +00 +77 +00 +69 +00 +95 +00 +bc +00 +d6 +00 +d0 +10 +bf +20 +fa +10 +c0 +20 +4d +20 +2d +30 +34 +10 +e9 +00 +ae +00 +09 +10 +ec +00 +44 +10 +e0 +00 +ff +00 +8d +00 +a7 +00 +b0 +00 +8b +10 +44 +20 +98 +40 +49 +20 +bb +20 +31 +10 +f2 +00 +f7 +00 +2c +10 +41 +20 +26 +50 +76 +30 +64 +60 +f8 +10 +ab +10 +a2 +00 +92 +00 +5c +00 +80 +00 +54 +00 +98 +00 +5b +00 +92 +00 +56 +00 +74 +00 +55 +00 +a1 +00 +68 +00 +97 +00 +89 +00 +bc +00 +95 +00 +97 +00 +73 +00 +75 +00 +58 +00 +75 +00 +41 +00 +6a +00 +36 +00 +64 +00 +34 +00 +6d +00 +5f +00 +7d +00 +64 +00 +78 +00 +33 +00 +53 +00 +2c +00 +51 +00 +2f +00 +69 +00 +3e +00 +72 +00 +d7 +00 +22 +30 +e0 +20 +9e +60 +0c +20 +c3 +10 +9f +00 +9a +00 +63 +00 +73 +00 +4f +00 +55 +00 +36 +00 +56 +00 +38 +00 +5a +00 +3b +00 +50 +00 +40 +00 +64 +00 +3f +00 +72 +00 +3f +00 +58 +00 +39 +00 +64 +00 +66 +00 +6b +00 +4d +00 +70 +00 +42 +00 +5f +00 +3b +00 +57 +00 +40 +00 +9e +00 +4e +00 +80 +00 +3c +00 +66 +00 +2f +00 +4f +00 +37 +00 +58 +00 +3c +00 +6c +00 +3c +00 +63 +00 +3f +00 +7a +00 +6b +00 +e4 +00 +93 +00 +f9 +00 +dc +00 +cf +00 +46 +10 +b2 +10 +1a +20 +87 +20 +70 +30 +64 +70 +3e +40 +71 +60 +02 +20 +2e +10 +bb +00 +10 +10 +b6 +00 +27 +10 +68 +10 +5c +10 +cb +00 +dc +00 +9a +00 +9c +10 +9f +00 +f2 +00 +7a +00 +69 +00 +42 +00 +71 +00 +4a +00 +85 +00 +58 +00 +9c +00 +67 +00 +a2 +00 +7b +00 +f3 +00 +bb +10 +83 +40 +db +10 +45 +20 +49 +20 +db +40 +b8 +20 +06 +50 +3a +20 +b8 +20 +35 +10 +07 +10 +b0 +00 +d5 +00 +cb +00 +a4 +10 +d6 +00 +3c +10 +d9 +00 +2e +10 +8d +00 +10 +10 +96 +00 +d0 +00 +64 +00 +98 +00 +7f +00 +b2 +00 +a0 +00 +26 +10 +bc +00 +0e +10 +dd +00 +c7 +10 +b6 +10 +f4 +10 +ed +20 +b6 +50 +c4 +30 +94 +50 +62 +40 +25 +80 +53 +50 +a4 +90 +ba +50 +9c +70 +63 +60 +94 +90 +af +60 +55 +a0 +96 +60 +bb +80 +82 +50 +8b +70 +dd +30 +a5 +50 +7e +20 +18 +40 +e3 +10 +49 +20 +49 +10 +57 +10 +82 +10 +56 +20 +ca +10 +87 +10 +2d +10 +10 +10 +b8 +00 +22 +10 +05 +10 +c9 +10 +64 +20 +f4 +50 +fe +20 +a3 +30 +e5 +10 +5f +20 +d6 +10 +d3 +30 +4e +30 +b7 +20 +81 +20 +15 +20 +ea +30 +9c +60 +b4 +40 +d0 +50 +4e +20 +d5 +10 +34 +10 +92 +10 +41 +10 +45 +30 +2f +10 +7b +10 +d8 +00 +f7 +00 +8b +00 +c2 +00 +78 +00 +40 +10 +9f +00 +64 +10 +b7 +00 +2d +10 +73 +00 +8a +00 +53 +00 +79 +00 +4b +00 +7c +00 +50 +00 +7e +00 +5d +00 +75 +00 +7a +00 +f5 +00 +b0 +00 +92 +10 +c2 +00 +3b +10 +9a +00 +c4 +00 +a7 +00 +e2 +10 +6d +00 +8c +00 +51 +00 +81 +00 +63 +00 +91 +00 +53 +00 +80 +00 +4c +00 +55 +00 +31 +00 +53 +00 +28 +00 +5a +00 +22 +00 +59 +00 +24 +00 +4f +00 +29 +00 +3e +00 +2b +00 +50 +00 +2c +00 +53 +00 +2b +00 +4f +00 +2a +00 +5a +00 +3a +00 +66 +00 +2a +00 +53 +00 +25 +00 +3f +00 +25 +00 +39 +00 +21 +00 +44 +00 +25 +00 +4d +00 +2b +00 +50 +00 +27 +00 +50 +00 +27 +00 +3f +00 +27 +00 +43 +00 +29 +00 +61 +00 +2d +00 +5d +00 +30 +00 +3f +00 +22 +00 +2c +00 +21 +00 +35 +00 +20 +00 +3c +00 +2c +00 +36 +00 +c0 +00 +c8 +30 +d3 +10 +4f +20 +14 +10 +ff +10 +2c +10 +1d +10 +95 +00 +df +00 +6a +00 +68 +00 +40 +00 +51 +00 +30 +00 +6b +00 +2a +00 +56 +00 +31 +00 +75 +00 +32 +00 +55 +00 +2e +00 +59 +00 +29 +00 +55 +00 +3a +00 +6e +00 +45 +00 +80 +00 +3f +00 +82 +00 +52 +00 +67 +00 +48 +00 +71 +00 +55 +00 +83 +00 +72 +00 +a2 +00 +e4 +00 +ff +00 +ab +00 +ae +00 +bf +00 +12 +10 +f8 +00 +46 +20 +70 +20 +c9 +60 +8f +30 +7a +60 +5e +40 +42 +60 +7c +40 +83 +80 +8d +30 +71 +30 +71 +20 +78 +60 +21 +30 +9a +40 +e9 +30 +ff +60 +0d +60 +a5 +a0 +56 +60 +3b +a0 +f4 +50 +fd +80 +d0 +50 +3a +90 +1c +60 +14 +a0 +0c +60 +d9 +80 +40 +40 +53 +60 +36 +30 +17 +40 +d9 +10 +48 +20 +31 +10 +58 +10 +04 +10 +e4 +00 +fd +00 +e1 +10 +0d +10 +3e +10 +2e +10 +a8 +10 +4b +10 +58 +10 +ff +00 +d5 +00 +e2 +00 +87 +10 +a6 +10 +78 +40 +19 +20 +fc +20 +57 +10 +a8 +20 +fd +00 +b5 +00 +a1 +00 +35 +10 +c7 +00 +42 +10 +e5 +00 +dd +00 +19 +10 +00 +00 +00 +00 +3c +00 +b6 +00 +38 +00 +a8 +00 +46 +00 +a1 +00 +40 +00 +9a +00 +3f +00 +a3 +00 +49 +00 +a2 +00 +38 +00 +ae +00 +2d +00 +93 +00 +8c +00 +a6 +10 +5a +00 +c7 +00 +34 +00 +a3 +00 +37 +00 +9c +00 +3c +00 +99 +00 +44 +00 +e1 +00 +4f +00 +8e +00 +25 +00 +76 +00 +2a +00 +6e +00 +2b +00 +80 +00 +1f +00 +72 +00 +2e +00 +7b +00 +2d +00 +7c +00 +35 +00 +a1 +00 +39 +00 +e2 +00 +66 +00 +c0 +20 +81 +10 +51 +30 +4c +10 +8b +40 +28 +10 +05 +20 +73 +00 +e5 +00 +4b +00 +ed +00 +53 +00 +03 +10 +43 +00 +9e +00 +40 +00 +ac +00 +6a +00 +8b +20 +69 +10 +3c +30 +f6 +00 +25 +30 +c7 +00 +81 +10 +7e +00 +0a +30 +be +10 +65 +40 +3b +10 +74 +20 +71 +00 +bf +00 +37 +00 +8e +00 +2d +00 +77 +00 +34 +00 +9f +00 +36 +00 +a5 +00 +30 +00 +83 +00 +32 +00 +84 +00 +33 +00 +8c +00 +2f +00 +ab +00 +4d +00 +7e +00 +29 +00 +ad +00 +28 +00 +6a +00 +28 +00 +60 +00 +24 +00 +61 +00 +21 +00 +84 +00 +ab +00 +96 +10 +35 +00 +68 +00 +1c +00 +63 +00 +2b +00 +5e +00 +29 +00 +66 +00 +34 +00 +9f +00 +a7 +00 +48 +30 +b4 +10 +61 +30 +aa +00 +17 +10 +49 +00 +2c +10 +49 +00 +64 +00 +23 +00 +55 +00 +23 +00 +6e +00 +23 +00 +5d +00 +28 +00 +68 +00 +24 +00 +5b +00 +26 +00 +68 +00 +27 +00 +60 +00 +28 +00 +d1 +00 +6b +00 +ae +00 +1e +00 +5e +00 +21 +00 +62 +00 +28 +00 +6a +00 +25 +00 +5c +00 +24 +00 +67 +00 +21 +00 +54 +00 +17 +00 +52 +00 +25 +00 +64 +00 +1f +00 +64 +00 +29 +00 +69 +00 +3d +00 +c7 +00 +34 +00 +c8 +00 +3f +00 +df +00 +bf +00 +6f +20 +68 +10 +c9 +40 +91 +10 +0f +50 +9a +20 +66 +70 +8b +10 +a5 +20 +52 +00 +bf +00 +44 +00 +ea +00 +fc +00 +5f +40 +1a +10 +61 +10 +3d +00 +f3 +00 +57 +00 +d6 +00 +33 +00 +89 +00 +25 +00 +61 +00 +26 +00 +60 +00 +29 +00 +72 +00 +34 +00 +85 +00 +3c +00 +9f +00 +87 +00 +7f +20 +24 +10 +05 +20 +9c +00 +14 +20 +ea +00 +18 +30 +00 +10 +af +20 +9b +00 +46 +10 +44 +00 +a2 +00 +4f +00 +06 +10 +68 +00 +64 +10 +51 +00 +21 +10 +6b +00 +0c +10 +55 +00 +e7 +00 +4d +00 +bc +00 +49 +00 +d1 +00 +56 +00 +ff +00 +83 +00 +12 +10 +52 +00 +ef +00 +9f +00 +67 +20 +a7 +10 +63 +60 +ae +20 +fb +50 +5c +10 +75 +40 +3b +20 +eb +70 +58 +30 +ff +80 +d0 +20 +20 +90 +9b +30 +48 +a0 +d4 +30 +c4 +a0 +9c +30 +e0 +90 +c2 +20 +2d +50 +3d +10 +08 +30 +d4 +00 +39 +20 +8e +00 +55 +10 +89 +00 +64 +20 +d9 +10 +c2 +40 +56 +10 +b2 +20 +77 +00 +16 +10 +64 +00 +23 +10 +8a +00 +55 +30 +4d +20 +34 +40 +ec +00 +5c +20 +97 +00 +95 +10 +51 +10 +03 +60 +59 +20 +4f +50 +d2 +10 +ae +60 +28 +30 +0c +80 +cd +10 +52 +30 +90 +00 +3f +10 +68 +00 +3f +10 +9a +00 +91 +10 +75 +00 +43 +10 +5f +00 +c4 +00 +40 +00 +a9 +00 +42 +00 +c5 +00 +48 +00 +d1 +00 +3f +00 +b4 +00 +2e +00 +77 +00 +30 +00 +77 +00 +30 +00 +74 +00 +2d +00 +81 +00 +33 +00 +97 +00 +43 +00 +ec +00 +52 +00 +fd +00 +4b +00 +aa +00 +39 +00 +9a +00 +3e +00 +ab +00 +2e +00 +7a +00 +2a +00 +7f +00 +30 +00 +75 +00 +28 +00 +59 +00 +29 +00 +52 +00 +28 +00 +53 +00 +23 +00 +39 +00 +1f +00 +36 +00 +19 +00 +42 +00 +1f +00 +4b +00 +1e +00 +53 +00 +17 +00 +4a +00 +23 +00 +5b +00 +1c +00 +57 +00 +1e +00 +54 +00 +1d +00 +49 +00 +18 +00 +4b +00 +22 +00 +48 +00 +17 +00 +4b +00 +1f +00 +59 +00 +1e +00 +40 +00 +1e +00 +3e +00 +1a +00 +47 +00 +26 +00 +53 +00 +21 +00 +87 +00 +2b +00 +4a +00 +17 +00 +3b +00 +12 +00 +35 +00 +17 +00 +2f +00 +14 +00 +35 +00 +13 +00 +86 +00 +b4 +00 +be +10 +7c +00 +28 +10 +57 +00 +f3 +00 +47 +00 +c5 +00 +45 +00 +98 +00 +29 +00 +69 +00 +25 +00 +55 +00 +26 +00 +4c +00 +2f +00 +55 +00 +2c +00 +54 +00 +1f +00 +5c +00 +23 +00 +55 +00 +20 +00 +59 +00 +20 +00 +68 +00 +28 +00 +73 +00 +21 +00 +6c +00 +2a +00 +6f +00 +2c +00 +68 +00 +29 +00 +7d +00 +4d +00 +23 +20 +ff +00 +3a +10 +5e +00 +4c +10 +79 +00 +20 +10 +73 +00 +6d +20 +71 +20 +7f +50 +8c +20 +87 +60 +5f +20 +47 +80 +45 +30 +89 +50 +cd +00 +8b +20 +32 +10 +03 +30 +f2 +00 +a6 +40 +da +20 +c1 +80 +66 +30 +97 +90 +a8 +30 +38 +a0 +9d +30 +2a +90 +b6 +30 +65 +a0 +f2 +30 +9a +90 +61 +20 +25 +60 +ee +10 +76 +30 +c8 +00 +d3 +10 +8f +00 +4a +10 +50 +00 +1f +10 +6a +00 +09 +10 +70 +00 +20 +10 +47 +00 +03 +10 +4f +00 +f7 +00 +39 +00 +b5 +00 +3c +00 +d5 +00 +61 +00 +80 +10 +bf +00 +2d +20 +9d +00 +8e +10 +62 +00 +0f +10 +3e +00 +bf +00 +4f +00 +19 +10 +61 +00 +f7 +00 +4b +00 +5e +10 +00 +00 +00 +00 +ac +00 +6a +00 +b1 +00 +68 +00 +c1 +00 +71 +00 +ac +00 +6d +00 +a8 +00 +7f +00 +a9 +00 +86 +00 +a1 +00 +70 +00 +8d +00 +72 +00 +ca +00 +7d +00 +d7 +00 +74 +00 +93 +00 +7b +00 +95 +00 +63 +00 +93 +00 +60 +00 +96 +00 +4d +00 +8c +00 +55 +00 +82 +00 +45 +00 +72 +00 +4b +00 +79 +00 +3c +00 +75 +00 +41 +00 +73 +00 +4c +00 +77 +00 +55 +00 +8c +00 +15 +10 +e8 +10 +b7 +10 +39 +20 +b0 +10 +a8 +20 +70 +20 +3b +40 +56 +20 +59 +30 +81 +10 +41 +20 +d3 +00 +e5 +00 +0a +10 +3e +10 +f3 +00 +d7 +00 +65 +00 +a2 +00 +96 +00 +dc +00 +f5 +00 +d7 +10 +10 +10 +73 +10 +1e +10 +cf +20 +ce +20 +91 +40 +8d +20 +39 +30 +89 +10 +14 +20 +03 +10 +04 +10 +7a +00 +8f +00 +48 +00 +88 +00 +48 +00 +81 +00 +5e +00 +ab +00 +58 +00 +8a +00 +57 +00 +89 +00 +48 +00 +73 +00 +56 +00 +76 +00 +55 +00 +76 +00 +64 +00 +86 +00 +6f +00 +ca +00 +44 +00 +68 +00 +35 +00 +5e +00 +3c +00 +67 +00 +6c +00 +9f +10 +8e +00 +ca +00 +45 +00 +54 +00 +3f +00 +b3 +00 +3c +00 +58 +00 +30 +00 +67 +00 +84 +00 +c8 +00 +e6 +00 +1d +20 +af +10 +e4 +30 +05 +10 +b0 +00 +73 +00 +f1 +00 +4e +00 +5b +00 +3d +00 +61 +00 +39 +00 +5e +00 +31 +00 +5e +00 +33 +00 +5e +00 +42 +00 +69 +00 +3d +00 +5d +00 +3f +00 +69 +00 +ec +00 +9e +20 +be +00 +99 +00 +48 +00 +64 +00 +37 +00 +68 +00 +3b +00 +62 +00 +3f +00 +6b +00 +35 +00 +4f +00 +30 +00 +57 +00 +42 +00 +5b +00 +3e +00 +61 +00 +43 +00 +68 +00 +3b +00 +71 +00 +5f +00 +94 +00 +67 +00 +ad +00 +e7 +00 +dc +20 +d5 +10 +7f +40 +aa +30 +48 +70 +13 +40 +5d +50 +6d +20 +32 +30 +62 +10 +12 +10 +a0 +00 +a6 +00 +c9 +00 +c5 +10 +d4 +10 +53 +30 +06 +10 +ec +00 +8a +00 +b1 +00 +6b +00 +8f +00 +59 +00 +5b +00 +3d +00 +57 +00 +3b +00 +63 +00 +43 +00 +73 +00 +4b +00 +7d +00 +73 +00 +f9 +00 +ea +00 +1e +20 +10 +10 +65 +10 +2e +10 +9f +10 +50 +10 +1a +20 +16 +10 +60 +10 +c3 +00 +c3 +00 +6f +00 +a4 +00 +7a +00 +f7 +00 +86 +00 +df +00 +80 +00 +d6 +00 +7d +00 +ee +00 +75 +00 +f1 +00 +92 +00 +37 +10 +cd +00 +8a +10 +bf +00 +62 +10 +a7 +00 +03 +10 +bc +00 +55 +10 +ca +10 +b5 +50 +00 +40 +57 +60 +6e +30 +86 +30 +f1 +20 +21 +30 +9d +30 +a7 +70 +df +50 +3e +80 +89 +60 +1e +a0 +e4 +60 +e7 +a0 +d5 +60 +64 +a0 +84 +50 +e1 +50 +a7 +20 +db +20 +c1 +10 +17 +20 +3d +10 +66 +10 +00 +10 +54 +10 +48 +20 +de +50 +4c +30 +fa +40 +72 +10 +7b +10 +eb +00 +0c +10 +2b +10 +75 +10 +c3 +20 +d1 +50 +09 +20 +a6 +20 +8f +10 +70 +10 +2e +10 +b4 +10 +e1 +20 +ff +60 +6d +40 +34 +70 +e4 +40 +15 +70 +47 +40 +3b +50 +45 +20 +cf +10 +0a +10 +0e +10 +d7 +00 +22 +10 +ba +00 +6b +10 +be +00 +f9 +00 +70 +00 +b3 +00 +78 +00 +bb +00 +69 +00 +b6 +00 +69 +00 +a9 +00 +62 +00 +90 +00 +51 +00 +7d +00 +58 +00 +8c +00 +d8 +00 +0c +10 +77 +00 +85 +00 +69 +00 +9e +00 +83 +00 +b6 +00 +74 +00 +a6 +00 +60 +00 +86 +00 +5c +00 +98 +00 +42 +00 +7f +00 +50 +00 +58 +00 +48 +00 +6f +00 +42 +00 +61 +00 +35 +00 +59 +00 +2d +00 +53 +00 +29 +00 +58 +00 +27 +00 +43 +00 +1f +00 +49 +00 +2a +00 +48 +00 +28 +00 +53 +00 +2a +00 +54 +00 +2a +00 +41 +00 +2b +00 +54 +00 +34 +00 +5b +00 +2c +00 +4a +00 +2b +00 +50 +00 +2e +00 +51 +00 +2a +00 +40 +00 +25 +00 +4a +00 +2b +00 +54 +00 +2c +00 +3f +00 +2e +00 +49 +00 +2a +00 +59 +00 +32 +00 +4f +00 +2c +00 +4b +00 +24 +00 +3b +00 +24 +00 +32 +00 +1d +00 +33 +00 +1e +00 +33 +00 +2a +00 +44 +00 +5e +00 +bd +00 +94 +00 +1d +10 +b6 +00 +cc +00 +80 +00 +bd +00 +a2 +00 +d9 +00 +9f +00 +92 +00 +45 +00 +59 +00 +34 +00 +5d +00 +33 +00 +5d +00 +23 +00 +64 +00 +2d +00 +50 +00 +33 +00 +63 +00 +2d +00 +4d +00 +30 +00 +5f +00 +41 +00 +61 +00 +4e +00 +6a +00 +5f +00 +6f +00 +42 +00 +6e +00 +40 +00 +5d +00 +58 +00 +97 +00 +86 +00 +a5 +10 +4e +10 +cf +30 +7d +20 +12 +20 +10 +10 +06 +10 +44 +10 +60 +30 +69 +30 +25 +70 +8b +40 +08 +70 +b4 +40 +34 +80 +70 +30 +00 +30 +58 +20 +07 +20 +cb +20 +55 +40 +40 +40 +8e +50 +df +50 +af +a0 +11 +70 +c4 +a0 +11 +50 +ed +50 +7d +30 +e3 +40 +dd +30 +42 +80 +a0 +40 +9a +60 +81 +30 +67 +30 +e9 +10 +dc +10 +40 +10 +45 +10 +c8 +00 +c9 +00 +92 +00 +29 +10 +89 +00 +bd +00 +86 +00 +b8 +00 +a1 +00 +a4 +00 +88 +00 +92 +00 +b0 +00 +b8 +10 +35 +10 +60 +10 +ea +00 +62 +10 +ee +00 +72 +10 +fe +00 +ea +00 +c1 +00 +bb +00 +d2 +00 +12 +10 +ca +10 +3e +20 +d5 +00 +b4 +00 +7b +00 +00 +00 +00 +00 +41 +00 +b0 +00 +3d +00 +a9 +00 +3d +00 +ab +00 +49 +00 +b8 +00 +3d +00 +b1 +00 +46 +00 +d9 +00 +42 +00 +9c +00 +38 +00 +87 +00 +32 +00 +d7 +00 +39 +00 +8f +00 +2d +00 +74 +00 +2f +00 +aa +00 +3e +00 +8a +00 +2c +00 +87 +00 +2c +00 +83 +00 +34 +00 +73 +00 +25 +00 +7e +00 +2c +00 +7c +00 +2d +00 +69 +00 +24 +00 +6e +00 +23 +00 +6b +00 +4e +00 +da +10 +3a +10 +17 +30 +af +00 +e5 +10 +00 +10 +8b +30 +6e +10 +74 +30 +17 +10 +1f +20 +71 +00 +f6 +00 +4f +00 +33 +10 +15 +10 +ba +20 +5c +00 +b1 +00 +35 +00 +a1 +00 +3d +00 +04 +10 +6c +00 +46 +10 +61 +00 +29 +10 +08 +10 +e1 +40 +29 +20 +8a +40 +ba +00 +cc +10 +75 +00 +4d +10 +40 +00 +a4 +00 +36 +00 +82 +00 +27 +00 +75 +00 +29 +00 +7a +00 +34 +00 +a6 +00 +27 +00 +84 +00 +32 +00 +7f +00 +2c +00 +7f +00 +2a +00 +7a +00 +28 +00 +64 +00 +30 +00 +a7 +00 +31 +00 +92 +00 +26 +00 +6d +00 +21 +00 +58 +00 +2b +00 +82 +00 +44 +00 +a0 +00 +28 +00 +6c +00 +1e +00 +62 +00 +2b +00 +66 +00 +25 +00 +5c +00 +25 +00 +70 +00 +31 +00 +f7 +00 +65 +00 +9b +10 +b7 +00 +62 +10 +3d +00 +7e +00 +2f +00 +7e +00 +22 +00 +62 +00 +21 +00 +5b +00 +29 +00 +88 +00 +22 +00 +6d +00 +2d +00 +6f +00 +1d +00 +69 +00 +29 +00 +6d +00 +44 +00 +79 +10 +e1 +00 +a2 +10 +33 +00 +6f +00 +24 +00 +63 +00 +26 +00 +62 +00 +1d +00 +62 +00 +2b +00 +5f +00 +23 +00 +52 +00 +1b +00 +70 +00 +25 +00 +5e +00 +2d +00 +6f +00 +28 +00 +81 +00 +29 +00 +6e +00 +26 +00 +8a +00 +47 +00 +0a +10 +86 +00 +c2 +10 +64 +10 +51 +50 +9c +20 +30 +60 +6f +10 +0e +30 +9b +00 +70 +10 +3c +00 +90 +00 +32 +00 +bc +00 +b4 +00 +62 +30 +ed +00 +a2 +10 +51 +00 +d7 +00 +30 +00 +96 +00 +2b +00 +64 +00 +1f +00 +56 +00 +24 +00 +60 +00 +29 +00 +55 +00 +2a +00 +76 +00 +33 +00 +90 +00 +4d +00 +ff +00 +65 +00 +2d +10 +57 +00 +1d +10 +6c +00 +90 +10 +80 +00 +62 +10 +59 +00 +dc +00 +3a +00 +aa +00 +33 +00 +aa +00 +3c +00 +9d +00 +41 +00 +c6 +00 +40 +00 +c6 +00 +4c +00 +a6 +00 +3b +00 +ad +00 +56 +00 +2d +10 +7b +00 +0a +10 +59 +00 +d9 +00 +59 +00 +ee +00 +67 +00 +86 +10 +bd +10 +8c +60 +73 +20 +c2 +70 +08 +20 +95 +40 +51 +10 +51 +40 +95 +20 +5b +80 +37 +30 +ca +90 +bf +30 +ab +a0 +07 +40 +bf +a0 +ae +30 +78 +80 +6d +10 +52 +30 +b3 +00 +fa +10 +80 +00 +59 +10 +60 +00 +2d +10 +85 +00 +7c +20 +6d +20 +30 +60 +1a +10 +4c +20 +79 +00 +33 +10 +64 +00 +56 +10 +4e +10 +86 +40 +90 +10 +5a +30 +a3 +00 +9c +10 +5e +00 +27 +10 +86 +00 +0f +30 +46 +20 +88 +60 +77 +20 +79 +70 +e1 +20 +6c +80 +ad +10 +e2 +20 +9d +00 +29 +10 +65 +00 +fb +00 +58 +00 +f1 +00 +58 +00 +e0 +00 +53 +00 +bb +00 +51 +00 +a0 +00 +3f +00 +b9 +00 +31 +00 +92 +00 +32 +00 +84 +00 +30 +00 +7b +00 +37 +00 +89 +00 +5a +00 +7b +20 +ad +00 +03 +10 +29 +00 +8c +00 +39 +00 +7f +00 +39 +00 +87 +00 +38 +00 +7e +00 +35 +00 +82 +00 +36 +00 +86 +00 +28 +00 +62 +00 +2d +00 +5d +00 +1f +00 +5c +00 +25 +00 +56 +00 +23 +00 +53 +00 +1d +00 +47 +00 +1d +00 +53 +00 +1d +00 +43 +00 +1a +00 +3f +00 +1f +00 +4e +00 +21 +00 +52 +00 +1f +00 +57 +00 +1d +00 +4a +00 +1a +00 +52 +00 +24 +00 +4b +00 +1e +00 +4e +00 +21 +00 +54 +00 +1c +00 +47 +00 +21 +00 +43 +00 +1d +00 +42 +00 +1f +00 +41 +00 +19 +00 +40 +00 +18 +00 +45 +00 +1c +00 +4e +00 +20 +00 +4c +00 +1c +00 +3d +00 +17 +00 +33 +00 +13 +00 +30 +00 +19 +00 +34 +00 +12 +00 +39 +00 +18 +00 +66 +00 +3a +00 +c0 +00 +44 +00 +04 +10 +38 +00 +8f +00 +52 +00 +05 +20 +eb +00 +12 +20 +43 +00 +7a +00 +26 +00 +61 +00 +23 +00 +50 +00 +29 +00 +57 +00 +27 +00 +57 +00 +1d +00 +62 +00 +1f +00 +5f +00 +26 +00 +61 +00 +22 +00 +65 +00 +27 +00 +77 +00 +3c +00 +8b +00 +27 +00 +6e +00 +28 +00 +64 +00 +2b +00 +6d +00 +34 +00 +cf +00 +55 +00 +b6 +10 +48 +20 +c2 +50 +e4 +00 +6c +10 +4b +00 +30 +10 +4e +10 +f7 +60 +5d +30 +f9 +60 +cc +20 +8c +70 +b0 +20 +05 +50 +34 +10 +5a +40 +cc +00 +8d +40 +26 +30 +b3 +80 +2d +20 +a9 +60 +f6 +30 +d1 +a0 +a4 +20 +eb +40 +08 +10 +35 +30 +d8 +00 +59 +30 +77 +20 +75 +70 +56 +10 +69 +30 +ae +00 +f0 +10 +6a +00 +33 +10 +4d +00 +be +00 +37 +00 +a5 +00 +43 +00 +c7 +00 +3d +00 +c3 +00 +37 +00 +a3 +00 +2d +00 +83 +00 +2b +00 +c7 +00 +c2 +00 +90 +10 +58 +00 +03 +10 +41 +00 +d8 +00 +64 +00 +29 +10 +52 +00 +21 +10 +eb +00 +0c +20 +df +00 +cd +30 +dc +00 +29 +10 +3f +00 +b4 +00 +00 +00 +00 +00 +a6 +00 +6e +00 +ab +00 +6f +00 +a6 +00 +6a +00 +af +00 +6c +00 +b1 +00 +9f +00 +0a +10 +4e +10 +48 +10 +8e +00 +a0 +00 +7c +00 +ae +00 +94 +00 +a6 +00 +6e +00 +96 +00 +65 +00 +91 +00 +73 +00 +3b +10 +70 +00 +86 +00 +63 +00 +86 +00 +65 +00 +7f +00 +49 +00 +79 +00 +48 +00 +6f +00 +56 +00 +6a +00 +46 +00 +62 +00 +48 +00 +6e +00 +54 +00 +8e +00 +da +00 +fa +20 +92 +10 +de +10 +19 +10 +18 +20 +1b +20 +69 +30 +aa +10 +2a +20 +ec +00 +11 +10 +a8 +00 +df +00 +c8 +10 +5b +40 +41 +10 +5f +10 +80 +00 +a0 +00 +88 +00 +b2 +00 +bf +00 +f9 +00 +b8 +00 +e6 +00 +02 +10 +3c +20 +5a +30 +8c +60 +4a +20 +17 +20 +11 +10 +2d +10 +c4 +00 +c6 +00 +7b +00 +7e +00 +50 +00 +7e +00 +43 +00 +77 +00 +4e +00 +89 +00 +54 +00 +89 +00 +44 +00 +75 +00 +47 +00 +70 +00 +51 +00 +7a +00 +3f +00 +7a +00 +41 +00 +77 +00 +44 +00 +74 +00 +4a +00 +68 +00 +41 +00 +6c +00 +36 +00 +6e +00 +55 +00 +89 +00 +5f +00 +87 +00 +4b +00 +5e +00 +36 +00 +58 +00 +33 +00 +52 +00 +2d +00 +59 +00 +53 +00 +7b +00 +90 +00 +e4 +00 +a3 +00 +e9 +00 +83 +00 +98 +00 +48 +00 +72 +00 +3d +00 +61 +00 +3a +00 +5f +00 +41 +00 +8f +00 +60 +00 +8b +00 +4b +00 +6b +00 +5f +00 +99 +00 +41 +00 +6e +00 +78 +00 +21 +10 +3c +20 +e1 +40 +1e +10 +ec +00 +5a +00 +59 +00 +45 +00 +68 +00 +3c +00 +67 +00 +43 +00 +6a +00 +41 +00 +5e +00 +48 +00 +5f +00 +5a +00 +6a +00 +4e +00 +73 +00 +56 +00 +66 +00 +4b +00 +70 +00 +3f +00 +74 +00 +6a +00 +a6 +00 +82 +00 +cc +00 +c4 +00 +76 +10 +6b +10 +22 +30 +fe +10 +e6 +20 +a5 +10 +ad +10 +d7 +00 +c6 +00 +6f +00 +71 +00 +8e +00 +e4 +00 +f4 +00 +fc +10 +cc +00 +e3 +00 +88 +00 +ad +00 +52 +00 +7b +00 +3d +00 +53 +00 +3f +00 +53 +00 +38 +00 +6f +00 +4c +00 +65 +00 +50 +00 +88 +00 +56 +00 +9a +00 +7c +00 +dd +00 +9c +00 +ce +00 +a1 +00 +02 +10 +b9 +00 +33 +10 +da +00 +01 +10 +91 +00 +99 +00 +64 +00 +99 +00 +62 +00 +ae +00 +6d +00 +a7 +00 +75 +00 +ac +00 +59 +00 +a7 +00 +58 +00 +9e +00 +62 +00 +d5 +00 +78 +00 +e4 +00 +7c +00 +d3 +00 +c3 +00 +f4 +00 +e8 +00 +1b +10 +ff +10 +d6 +30 +24 +30 +3a +70 +4c +50 +fd +70 +9e +50 +a4 +70 +db +50 +81 +80 +a4 +50 +09 +90 +e2 +60 +80 +a0 +39 +70 +22 +b0 +22 +70 +a7 +a0 +cf +40 +5b +40 +ab +20 +ec +10 +b1 +10 +82 +10 +06 +10 +2b +10 +e8 +00 +33 +10 +ce +10 +c9 +40 +fd +10 +e0 +20 +8d +10 +8b +10 +51 +10 +30 +10 +66 +20 +90 +50 +5e +30 +99 +60 +ff +10 +08 +20 +22 +10 +0a +10 +e6 +00 +66 +10 +fd +20 +20 +70 +55 +50 +d2 +80 +03 +60 +14 +90 +4c +40 +48 +40 +23 +20 +24 +20 +52 +10 +e6 +00 +da +00 +cd +00 +98 +00 +be +00 +77 +00 +bd +00 +76 +00 +ae +00 +63 +00 +af +00 +61 +00 +8f +00 +65 +00 +85 +00 +53 +00 +77 +00 +48 +00 +6f +00 +a4 +00 +5f +10 +1f +10 +c7 +10 +8a +00 +b9 +00 +66 +00 +83 +00 +83 +00 +96 +00 +69 +00 +9e +00 +69 +00 +7b +00 +4e +00 +7c +00 +3f +00 +64 +00 +34 +00 +5b +00 +2e +00 +54 +00 +2b +00 +6a +00 +30 +00 +56 +00 +2d +00 +4c +00 +2d +00 +4a +00 +2f +00 +42 +00 +27 +00 +3b +00 +27 +00 +4a +00 +24 +00 +50 +00 +30 +00 +5d +00 +2f +00 +4b +00 +2e +00 +4f +00 +2a +00 +4d +00 +2e +00 +48 +00 +28 +00 +50 +00 +28 +00 +4a +00 +26 +00 +3e +00 +27 +00 +3f +00 +28 +00 +4c +00 +29 +00 +35 +00 +2b +00 +47 +00 +28 +00 +43 +00 +27 +00 +42 +00 +20 +00 +3c +00 +28 +00 +3c +00 +17 +00 +2b +00 +21 +00 +32 +00 +23 +00 +39 +00 +29 +00 +3c +00 +6a +00 +94 +00 +61 +00 +94 +00 +73 +00 +81 +00 +5b +00 +86 +00 +7e +00 +7c +10 +9a +00 +cf +00 +4b +00 +68 +00 +29 +00 +66 +00 +33 +00 +53 +00 +31 +00 +54 +00 +33 +00 +5d +00 +38 +00 +6a +00 +5f +00 +7b +00 +73 +00 +77 +00 +61 +00 +76 +00 +f7 +00 +80 +30 +1d +10 +b3 +00 +69 +00 +67 +00 +5f +00 +7e +00 +63 +00 +8f +00 +9e +00 +06 +10 +72 +10 +d7 +40 +c9 +20 +f6 +20 +78 +10 +fa +00 +2d +10 +a9 +10 +e7 +10 +c4 +40 +b1 +20 +76 +50 +50 +30 +94 +70 +3e +40 +f0 +50 +ac +40 +c1 +50 +83 +30 +cf +70 +a5 +50 +bb +90 +b3 +50 +83 +60 +bd +50 +ba +80 +eb +30 +de +20 +8e +20 +2a +20 +bc +20 +a6 +30 +9a +30 +0c +50 +d6 +30 +62 +40 +56 +20 +fe +10 +1c +10 +be +00 +81 +00 +ad +00 +60 +00 +a0 +00 +7b +00 +f6 +00 +f4 +00 +05 +10 +6c +00 +7b +00 +4f +00 +6f +00 +ac +00 +84 +30 +4f +10 +60 +10 +ba +00 +9c +00 +8b +00 +95 +00 +72 +00 +a4 +00 +8f +00 +71 +10 +e1 +00 +90 +20 +39 +10 +67 +10 +c9 +00 +be +00 +70 +00 +00 +00 +00 +00 +33 +00 +9f +00 +3f +00 +b8 +00 +3b +00 +b7 +00 +46 +00 +bc +00 +3e +00 +b4 +00 +a1 +00 +eb +20 +7a +00 +dc +00 +38 +00 +bf +00 +3e +00 +a1 +00 +3c +00 +8b +00 +2b +00 +7e +00 +33 +00 +8e +00 +37 +00 +a1 +00 +38 +00 +84 +00 +60 +00 +5f +10 +41 +00 +77 +00 +39 +00 +6f +00 +35 +00 +7c +00 +21 +00 +6c +00 +24 +00 +7f +00 +2e +00 +74 +00 +30 +00 +cf +00 +ce +00 +4d +20 +8d +00 +1c +10 +bb +00 +5d +30 +1f +10 +95 +20 +7a +00 +25 +10 +53 +00 +c9 +00 +a1 +00 +a4 +20 +40 +10 +86 +20 +5f +00 +d7 +00 +4a +00 +22 +10 +56 +00 +d1 +00 +4a +00 +da +00 +49 +00 +e6 +00 +2e +10 +76 +50 +42 +20 +bb +30 +86 +00 +23 +10 +55 +00 +12 +10 +57 +00 +ce +00 +2f +00 +7f +00 +32 +00 +93 +00 +2f +00 +71 +00 +37 +00 +7e +00 +30 +00 +8c +00 +28 +00 +78 +00 +28 +00 +77 +00 +28 +00 +62 +00 +2c +00 +6a +00 +24 +00 +73 +00 +2a +00 +6d +00 +20 +00 +61 +00 +1d +00 +64 +00 +24 +00 +77 +00 +2d +00 +98 +00 +2c +00 +67 +00 +1a +00 +55 +00 +20 +00 +61 +00 +1d +00 +58 +00 +1e +00 +5b +00 +22 +00 +82 +00 +2b +00 +be +00 +38 +00 +a1 +00 +26 +00 +77 +00 +27 +00 +71 +00 +23 +00 +5e +00 +21 +00 +5e +00 +45 +00 +a7 +00 +36 +00 +6c +00 +23 +00 +c4 +00 +44 +00 +9d +00 +2e +00 +73 +00 +7d +00 +92 +30 +9e +10 +5a +20 +3f +00 +79 +00 +1f +00 +70 +00 +2b +00 +5a +00 +29 +00 +69 +00 +2c +00 +5b +00 +1d +00 +5b +00 +2c +00 +83 +00 +26 +00 +7c +00 +2e +00 +85 +00 +37 +00 +82 +00 +2e +00 +75 +00 +33 +00 +86 +00 +40 +00 +ad +00 +3e +00 +d4 +00 +64 +00 +82 +10 +95 +00 +c1 +10 +81 +00 +88 +10 +4f +00 +d3 +00 +2a +00 +89 +00 +21 +00 +76 +00 +3c +00 +07 +10 +5c +00 +0f +10 +3e +00 +cc +00 +31 +00 +77 +00 +28 +00 +64 +00 +24 +00 +49 +00 +1b +00 +61 +00 +2a +00 +ba +00 +33 +00 +78 +00 +29 +00 +86 +00 +3a +00 +a6 +00 +45 +00 +d1 +00 +47 +00 +b2 +00 +45 +00 +d0 +00 +61 +00 +e7 +00 +45 +00 +ac +00 +3c +00 +a3 +00 +39 +00 +97 +00 +4d +00 +c2 +00 +5f +00 +e0 +00 +41 +00 +97 +00 +35 +00 +9e +00 +3a +00 +aa +00 +46 +00 +c0 +00 +4a +00 +c8 +00 +4f +00 +85 +10 +c2 +00 +89 +10 +f5 +00 +13 +40 +7e +10 +ad +30 +57 +20 +c8 +70 +63 +30 +01 +90 +63 +30 +49 +90 +39 +30 +48 +80 +6f +30 +2f +a0 +ff +30 +e3 +a0 +fc +30 +3a +b0 +70 +30 +b3 +60 +3a +10 +01 +30 +a9 +00 +3d +20 +ac +00 +5a +10 +58 +00 +20 +10 +70 +00 +55 +20 +3c +10 +c3 +20 +d3 +00 +11 +20 +98 +00 +af +10 +ff +00 +60 +40 +d3 +10 +0e +40 +3d +10 +e7 +20 +8d +00 +54 +10 +60 +00 +07 +10 +ae +00 +c7 +40 +18 +30 +29 +90 +6f +30 +4e +90 +03 +30 +b5 +60 +34 +10 +7b +20 +ae +00 +87 +10 +5c +00 +fc +00 +4d +00 +b9 +00 +4d +00 +cb +00 +4d +00 +c4 +00 +45 +00 +a6 +00 +40 +00 +96 +00 +2f +00 +8d +00 +33 +00 +7c +00 +2f +00 +7b +00 +3b +00 +2c +10 +12 +10 +d2 +10 +62 +00 +e8 +00 +34 +00 +92 +00 +31 +00 +db +00 +53 +00 +af +00 +5c +00 +ba +00 +2f +00 +71 +00 +27 +00 +6a +00 +26 +00 +55 +00 +21 +00 +5d +00 +1e +00 +57 +00 +20 +00 +55 +00 +22 +00 +5a +00 +1c +00 +4d +00 +23 +00 +4a +00 +1e +00 +41 +00 +1b +00 +48 +00 +17 +00 +4d +00 +21 +00 +58 +00 +20 +00 +43 +00 +25 +00 +48 +00 +21 +00 +5d +00 +21 +00 +41 +00 +16 +00 +4c +00 +1b +00 +41 +00 +1d +00 +3e +00 +19 +00 +44 +00 +1b +00 +52 +00 +24 +00 +4d +00 +14 +00 +38 +00 +1e +00 +45 +00 +18 +00 +4f +00 +19 +00 +37 +00 +17 +00 +39 +00 +16 +00 +33 +00 +10 +00 +3a +00 +18 +00 +32 +00 +15 +00 +34 +00 +1c +00 +90 +00 +38 +00 +71 +00 +24 +00 +9b +00 +34 +00 +6b +00 +29 +00 +8b +00 +46 +00 +b7 +00 +39 +00 +7e +00 +22 +00 +59 +00 +27 +00 +57 +00 +23 +00 +52 +00 +1d +00 +5a +00 +25 +00 +69 +00 +56 +00 +f5 +10 +e2 +00 +af +10 +39 +00 +7f +00 +35 +00 +fc +00 +b3 +10 +92 +30 +46 +00 +a8 +00 +36 +00 +9f +00 +36 +00 +91 +00 +33 +00 +c5 +00 +c9 +00 +c4 +10 +ea +10 +00 +50 +0b +10 +e9 +10 +4f +00 +ed +00 +5e +00 +9e +10 +ba +00 +78 +20 +e1 +00 +d2 +20 +a2 +10 +de +60 +f1 +20 +56 +80 +4f +30 +b1 +60 +00 +20 +a7 +80 +d2 +30 +3c +a0 +e2 +20 +35 +60 +b3 +20 +d3 +50 +d1 +00 +29 +20 +94 +00 +5b +20 +2c +20 +c5 +60 +4d +20 +e2 +60 +be +10 +50 +50 +0b +10 +8b +10 +49 +00 +9d +00 +34 +00 +93 +00 +31 +00 +b9 +00 +ce +00 +89 +20 +59 +00 +a9 +00 +27 +00 +75 +00 +32 +00 +ab +00 +84 +00 +78 +10 +66 +00 +c9 +00 +39 +00 +80 +00 +28 +00 +82 +00 +33 +00 +a6 +00 +4a +00 +e0 +00 +6f +00 +d6 +10 +ab +00 +1a +10 +31 +00 +8b +00 +00 +00 +00 +00 +9b +00 +75 +00 +a7 +00 +70 +00 +b4 +00 +80 +00 +b8 +00 +76 +00 +c3 +00 +08 +10 +16 +20 +c9 +10 +4b +20 +f2 +00 +25 +10 +76 +10 +b0 +10 +e2 +00 +c3 +00 +b3 +00 +ad +00 +78 +00 +87 +00 +67 +00 +98 +00 +58 +00 +87 +00 +56 +00 +9a +00 +52 +00 +b8 +00 +4b +00 +6c +00 +4c +00 +6f +00 +4f +00 +80 +00 +3e +00 +73 +00 +3c +00 +7a +00 +59 +00 +75 +00 +88 +00 +fa +00 +a7 +00 +3e +10 +2c +10 +bf +10 +6f +20 +d6 +30 +81 +10 +59 +10 +ac +00 +c7 +00 +a6 +00 +1c +10 +be +00 +66 +10 +b3 +00 +f3 +00 +75 +00 +9b +00 +74 +00 +c8 +00 +af +00 +cf +00 +85 +00 +a4 +00 +65 +10 +ac +20 +cb +20 +f0 +50 +fe +10 +c9 +10 +d2 +00 +b3 +00 +70 +10 +b5 +20 +ca +00 +a7 +00 +5c +00 +85 +00 +55 +00 +78 +00 +48 +00 +86 +00 +3f +00 +84 +00 +4c +00 +70 +00 +5a +00 +95 +00 +66 +00 +75 +00 +52 +00 +73 +00 +45 +00 +6f +00 +43 +00 +70 +00 +53 +00 +5e +00 +42 +00 +6d +00 +42 +00 +70 +00 +7d +00 +bf +00 +7e +00 +86 +00 +3e +00 +65 +00 +2f +00 +4e +00 +35 +00 +5c +00 +37 +00 +58 +00 +3c +00 +57 +00 +4e +00 +75 +00 +6b +00 +8b +00 +56 +00 +79 +00 +4b +00 +63 +00 +4f +00 +66 +00 +4a +00 +55 +00 +3b +00 +67 +00 +3c +00 +6a +00 +41 +00 +75 +00 +51 +00 +7a +00 +48 +00 +70 +00 +6a +00 +a1 +00 +c6 +00 +e2 +10 +c8 +00 +fb +00 +72 +00 +62 +00 +a4 +00 +cb +00 +60 +00 +69 +00 +3e +00 +60 +00 +46 +00 +75 +00 +f3 +00 +07 +20 +be +00 +94 +00 +f3 +00 +32 +10 +e4 +00 +dc +00 +5f +00 +76 +00 +4d +00 +7f +00 +06 +10 +b7 +10 +97 +00 +bc +00 +c7 +00 +e8 +00 +46 +10 +ad +10 +4e +10 +60 +10 +f1 +00 +d4 +00 +76 +00 +8c +00 +55 +00 +7d +00 +6e +00 +99 +00 +94 +00 +d5 +00 +73 +00 +b6 +00 +5b +00 +81 +00 +4f +00 +5e +00 +4b +00 +55 +00 +39 +00 +53 +00 +38 +00 +76 +00 +54 +00 +9a +00 +5f +00 +95 +00 +58 +00 +7e +00 +67 +00 +9c +00 +7d +00 +b5 +00 +71 +00 +b3 +00 +87 +00 +26 +10 +c2 +00 +18 +10 +84 +00 +bc +00 +6f +00 +9a +00 +66 +00 +d0 +00 +9c +00 +e5 +10 +b0 +00 +dc +00 +70 +00 +8f +00 +6f +00 +a5 +00 +6f +00 +a8 +00 +7a +00 +be +00 +77 +00 +db +00 +27 +10 +97 +30 +9a +20 +03 +50 +8f +20 +fd +20 +57 +30 +1a +40 +65 +50 +37 +90 +3e +60 +91 +90 +3c +60 +70 +80 +4c +60 +dd +90 +11 +70 +99 +a0 +53 +70 +dd +a0 +27 +70 +b9 +a0 +96 +60 +61 +80 +fd +40 +5d +40 +a9 +20 +39 +20 +43 +10 +62 +10 +6e +10 +04 +20 +3b +20 +43 +20 +6d +20 +9e +20 +44 +30 +bd +40 +82 +30 +6a +50 +ef +20 +fa +20 +9a +10 +57 +20 +3f +10 +80 +10 +fc +00 +10 +10 +71 +10 +e8 +20 +e9 +30 +9f +70 +0b +50 +e3 +70 +0d +50 +fc +60 +26 +40 +3d +40 +53 +30 +a9 +40 +6d +30 +4f +20 +35 +10 +d9 +00 +9c +00 +c8 +00 +91 +00 +b9 +00 +bd +00 +c1 +00 +84 +00 +95 +00 +7e +00 +8f +00 +71 +00 +82 +00 +5c +00 +7f +00 +5f +00 +83 +00 +bb +00 +c1 +20 +ae +00 +02 +10 +7d +00 +8a +00 +5b +00 +89 +00 +58 +00 +e8 +00 +63 +00 +97 +00 +52 +00 +81 +00 +3f +00 +65 +00 +36 +00 +63 +00 +3d +00 +5e +00 +30 +00 +53 +00 +30 +00 +59 +00 +2a +00 +54 +00 +36 +00 +51 +00 +2f +00 +45 +00 +27 +00 +47 +00 +29 +00 +4b +00 +28 +00 +54 +00 +2e +00 +51 +00 +2a +00 +4e +00 +1f +00 +4a +00 +25 +00 +45 +00 +33 +00 +6a +00 +31 +00 +48 +00 +21 +00 +40 +00 +2b +00 +59 +00 +26 +00 +56 +00 +22 +00 +3b +00 +22 +00 +5e +00 +22 +00 +32 +00 +21 +00 +3d +00 +2e +00 +42 +00 +26 +00 +38 +00 +24 +00 +3a +00 +26 +00 +37 +00 +1d +00 +35 +00 +1c +00 +40 +00 +22 +00 +37 +00 +23 +00 +33 +00 +39 +00 +59 +00 +41 +00 +6f +00 +3f +00 +62 +00 +40 +00 +65 +00 +47 +00 +89 +00 +49 +00 +90 +00 +4f +00 +5f +00 +30 +00 +56 +00 +35 +00 +55 +00 +3c +00 +51 +00 +36 +00 +62 +00 +47 +00 +a3 +00 +05 +10 +b8 +20 +ff +00 +ed +00 +a1 +00 +92 +00 +d5 +00 +34 +10 +fd +00 +9b +10 +8c +10 +9b +20 +30 +10 +95 +10 +2b +10 +d0 +00 +71 +10 +69 +10 +07 +20 +20 +30 +86 +20 +44 +60 +cf +20 +95 +10 +3f +10 +e4 +00 +08 +10 +58 +10 +59 +10 +b1 +10 +76 +10 +34 +20 +3b +20 +71 +50 +5c +40 +6b +90 +ee +40 +dc +60 +79 +30 +2f +80 +09 +60 +55 +a0 +01 +60 +f6 +50 +83 +40 +b3 +60 +6a +40 +fc +40 +e7 +30 +58 +60 +0a +30 +22 +50 +1a +30 +54 +50 +40 +20 +a5 +30 +a1 +10 +2a +10 +98 +00 +82 +00 +5b +00 +93 +00 +67 +00 +e9 +00 +79 +00 +cc +00 +58 +00 +6e +00 +53 +00 +75 +00 +6b +00 +d8 +00 +6e +00 +f3 +00 +83 +00 +96 +00 +53 +00 +74 +00 +54 +00 +9d +00 +92 +00 +c1 +00 +d5 +00 +95 +10 +31 +10 +89 +10 +c7 +00 +a6 +00 +7a +00 +00 +00 +00 +00 +3a +00 +a8 +00 +41 +00 +aa +00 +42 +00 +a6 +00 +36 +00 +b2 +00 +4f +00 +02 +10 +0a +10 +d2 +30 +d2 +00 +a2 +10 +e4 +00 +3d +30 +68 +10 +87 +20 +73 +00 +6c +10 +53 +00 +bd +00 +33 +00 +9e +00 +34 +00 +8b +00 +29 +00 +77 +00 +2e +00 +89 +00 +31 +00 +7c +00 +2c +00 +7c +00 +2c +00 +76 +00 +2a +00 +78 +00 +28 +00 +71 +00 +25 +00 +74 +00 +29 +00 +8e +00 +50 +00 +eb +00 +45 +00 +dd +00 +f4 +00 +54 +40 +2b +10 +21 +20 +59 +00 +d8 +00 +4b +00 +ca +00 +41 +00 +d8 +00 +5a +00 +fe +00 +37 +00 +95 +00 +35 +00 +97 +00 +41 +00 +52 +10 +5d +00 +b1 +00 +39 +00 +2a +10 +67 +10 +27 +40 +0b +10 +39 +20 +62 +00 +c1 +00 +3f +00 +e8 +10 +14 +10 +ab +10 +3b +00 +8d +00 +2b +00 +7e +00 +26 +00 +7b +00 +32 +00 +85 +00 +2b +00 +66 +00 +2d +00 +81 +00 +80 +00 +0d +10 +44 +00 +94 +00 +26 +00 +6a +00 +28 +00 +75 +00 +23 +00 +5f +00 +22 +00 +6b +00 +28 +00 +66 +00 +37 +00 +b7 +00 +c0 +00 +68 +10 +2c +00 +60 +00 +1b +00 +56 +00 +20 +00 +57 +00 +28 +00 +49 +00 +1e +00 +4e +00 +18 +00 +58 +00 +24 +00 +72 +00 +24 +00 +58 +00 +28 +00 +64 +00 +22 +00 +62 +00 +2a +00 +73 +00 +1f +00 +5a +00 +22 +00 +5d +00 +27 +00 +80 +00 +2a +00 +68 +00 +26 +00 +70 +00 +26 +00 +6c +00 +37 +00 +d1 +00 +66 +00 +06 +10 +3e +00 +8a +00 +40 +00 +a9 +10 +6e +00 +d0 +00 +28 +00 +6c +00 +1c +00 +68 +00 +3b +00 +dc +10 +37 +10 +d4 +10 +66 +00 +93 +10 +2a +10 +3d +20 +63 +00 +a1 +00 +27 +00 +74 +00 +32 +00 +49 +10 +dc +00 +3f +10 +41 +00 +e3 +00 +84 +00 +15 +20 +c2 +00 +89 +10 +54 +00 +d9 +00 +36 +00 +7f +00 +2e +00 +83 +00 +32 +00 +9d +00 +35 +00 +ae +00 +3d +00 +a4 +00 +2c +00 +76 +00 +27 +00 +5d +00 +28 +00 +a2 +00 +44 +00 +70 +00 +24 +00 +6e +00 +2d +00 +8d +00 +3b +00 +94 +00 +2f +00 +7d +00 +30 +00 +86 +00 +34 +00 +91 +00 +3f +00 +94 +00 +3c +00 +a7 +00 +6c +00 +70 +10 +c4 +00 +38 +10 +42 +00 +ab +00 +3c +00 +a2 +00 +38 +00 +c5 +00 +5d +00 +ec +00 +4e +00 +af +00 +3f +00 +ac +00 +57 +00 +ca +00 +52 +00 +c0 +00 +4b +00 +a9 +00 +4f +00 +94 +10 +48 +10 +b3 +40 +4b +20 +cc +40 +20 +20 +84 +60 +88 +20 +2d +80 +75 +30 +ea +90 +75 +30 +42 +90 +1a +30 +7a +90 +9f +30 +54 +a0 +c6 +30 +02 +b0 +ec +30 +fc +a0 +d9 +30 +4d +a0 +9e +30 +14 +90 +8f +20 +66 +50 +d7 +00 +de +10 +84 +00 +2b +20 +a5 +10 +07 +30 +13 +10 +70 +50 +50 +20 +0f +70 +c1 +20 +f1 +60 +ae +20 +4f +50 +ec +00 +4f +20 +8b +00 +84 +10 +67 +00 +12 +10 +70 +00 +04 +20 +bc +10 +4a +50 +83 +20 +7f +70 +d0 +20 +11 +70 +11 +20 +4b +60 +6c +20 +2a +60 +b5 +20 +80 +70 +ba +10 +2b +20 +55 +00 +da +00 +3f +00 +d7 +00 +a7 +00 +1a +20 +70 +00 +dd +00 +44 +00 +6c +10 +75 +00 +e3 +00 +3b +00 +b6 +00 +5c +00 +00 +10 +52 +00 +d5 +00 +62 +00 +ff +00 +4a +00 +a1 +00 +31 +00 +76 +00 +2e +00 +7a +00 +34 +00 +7f +00 +2e +00 +80 +00 +2d +00 +6c +00 +26 +00 +61 +00 +25 +00 +53 +00 +28 +00 +60 +00 +21 +00 +5f +00 +1d +00 +4b +00 +20 +00 +5b +00 +4d +00 +75 +00 +24 +00 +61 +00 +1b +00 +40 +00 +21 +00 +5a +00 +1a +00 +3f +00 +1e +00 +45 +00 +20 +00 +49 +00 +20 +00 +4c +00 +1a +00 +4e +00 +18 +00 +44 +00 +18 +00 +51 +00 +1a +00 +4e +00 +21 +00 +59 +00 +21 +00 +50 +00 +16 +00 +40 +00 +16 +00 +3e +00 +13 +00 +3e +00 +24 +00 +4c +00 +1d +00 +43 +00 +17 +00 +35 +00 +16 +00 +2e +00 +13 +00 +2f +00 +17 +00 +39 +00 +1a +00 +34 +00 +1f +00 +45 +00 +16 +00 +3f +00 +17 +00 +5a +00 +22 +00 +54 +00 +27 +00 +58 +00 +1e +00 +60 +00 +26 +00 +70 +00 +28 +00 +64 +00 +26 +00 +5b +00 +27 +00 +5a +00 +25 +00 +5d +00 +26 +00 +54 +00 +24 +00 +58 +00 +3c +00 +00 +10 +25 +10 +82 +20 +5f +00 +3a +10 +bb +00 +ef +10 +87 +00 +7e +10 +89 +10 +d3 +30 +67 +10 +5d +30 +ed +00 +6b +20 +0b +10 +58 +30 +ee +10 +42 +50 +49 +10 +26 +40 +59 +20 +79 +50 +9d +00 +34 +10 +45 +00 +bd +00 +47 +00 +ff +00 +4e +00 +2a +10 +6b +00 +ea +10 +70 +10 +0e +60 +38 +20 +fd +50 +e9 +10 +22 +40 +e7 +10 +88 +80 +01 +40 +70 +a0 +e6 +20 +f4 +50 +38 +30 +68 +80 +12 +30 +4a +50 +16 +10 +d8 +20 +fe +00 +de +20 +e7 +00 +94 +20 +00 +10 +e6 +10 +61 +00 +c5 +00 +2d +00 +80 +00 +2d +00 +89 +00 +48 +00 +c0 +00 +3f +00 +9d +00 +24 +00 +57 +00 +28 +00 +74 +00 +38 +00 +b6 +00 +39 +00 +93 +00 +39 +00 +73 +00 +26 +00 +8e +00 +4e +00 +04 +20 +64 +00 +4e +10 +61 +10 +f7 +20 +66 +00 +d3 +00 +43 +00 +a9 +00 +00 +00 +00 +00 +a9 +00 +74 +00 +9d +00 +75 +00 +bd +00 +64 +00 +bb +00 +89 +00 +cd +00 +59 +10 +eb +20 +1c +20 +56 +30 +26 +10 +50 +10 +e5 +00 +c5 +10 +7b +10 +79 +20 +47 +20 +2b +30 +fc +00 +af +00 +6f +00 +98 +00 +5e +00 +7a +00 +47 +00 +62 +00 +4c +00 +82 +00 +54 +00 +6f +00 +7b +00 +b6 +00 +76 +00 +7b +00 +5d +00 +73 +00 +46 +00 +70 +00 +47 +00 +78 +00 +6f +00 +a6 +00 +ac +00 +bb +00 +5b +10 +b0 +20 +b7 +10 +7a +20 +17 +10 +e3 +00 +a8 +00 +ad +10 +06 +10 +f7 +00 +96 +00 +eb +00 +85 +00 +99 +00 +52 +00 +84 +00 +72 +00 +b1 +00 +f4 +00 +8e +10 +a7 +00 +b7 +00 +22 +20 +eb +40 +27 +20 +76 +20 +03 +10 +eb +00 +73 +00 +8f +00 +c9 +00 +47 +20 +f4 +00 +0b +10 +6d +00 +8d +00 +43 +00 +6a +00 +42 +00 +83 +00 +46 +00 +7a +00 +46 +00 +75 +00 +5a +00 +f4 +00 +c5 +00 +1d +10 +7f +00 +81 +00 +4b +00 +73 +00 +71 +00 +79 +00 +51 +00 +69 +00 +52 +00 +69 +00 +62 +00 +ac +00 +d2 +00 +59 +10 +7e +00 +c6 +00 +48 +00 +64 +00 +31 +00 +50 +00 +2f +00 +4b +00 +36 +00 +57 +00 +3b +00 +66 +00 +39 +00 +5b +00 +3d +00 +5e +00 +49 +00 +55 +00 +40 +00 +69 +00 +a1 +00 +57 +10 +60 +00 +65 +00 +42 +00 +64 +00 +40 +00 +5d +00 +40 +00 +61 +00 +47 +00 +6a +00 +4c +00 +6c +00 +89 +00 +8b +00 +a8 +00 +e8 +00 +8a +00 +a2 +00 +77 +00 +cd +00 +3b +10 +96 +10 +a8 +00 +92 +00 +40 +00 +64 +00 +63 +00 +9c +00 +83 +10 +46 +30 +5d +20 +e4 +30 +5a +20 +a1 +20 +ec +00 +09 +10 +6d +00 +6f +00 +5e +00 +7c +00 +95 +00 +f0 +00 +90 +00 +d3 +00 +9e +00 +03 +10 +40 +20 +e0 +30 +6e +10 +0b +10 +a7 +00 +a1 +00 +66 +00 +7d +00 +5e +00 +b5 +00 +12 +10 +91 +10 +8f +00 +98 +00 +62 +00 +64 +00 +4d +00 +5c +00 +3b +00 +68 +00 +51 +00 +dc +00 +56 +00 +64 +00 +3f +00 +61 +00 +43 +00 +7c +00 +53 +00 +79 +00 +6b +00 +9a +00 +5f +00 +8a +00 +49 +00 +78 +00 +56 +00 +88 +00 +5f +00 +c4 +00 +89 +00 +2e +10 +87 +00 +f8 +00 +5b +00 +8f +00 +63 +00 +83 +00 +5b +00 +a5 +00 +6d +00 +cb +00 +72 +00 +ae +00 +95 +00 +f3 +00 +6b +00 +cb +00 +6f +00 +a5 +00 +69 +00 +c3 +00 +fa +00 +d4 +20 +29 +20 +4a +50 +ac +40 +68 +80 +06 +60 +53 +90 +82 +60 +c7 +90 +8e +60 +ed +90 +0d +60 +09 +90 +c2 +60 +31 +a0 +fe +60 +8d +a0 +58 +70 +ce +a0 +42 +70 +f7 +a0 +c7 +60 +f1 +90 +5c +60 +63 +90 +d2 +40 +03 +40 +b1 +20 +d1 +20 +a1 +30 +73 +50 +c1 +20 +31 +40 +f0 +40 +44 +80 +85 +50 +38 +80 +6f +50 +84 +80 +49 +30 +27 +30 +b9 +10 +ae +10 +0f +10 +28 +10 +6e +10 +17 +20 +85 +30 +bb +50 +14 +50 +32 +80 +2f +50 +e9 +60 +20 +50 +0d +70 +3b +50 +7f +80 +11 +50 +94 +80 +b5 +40 +94 +50 +6f +20 +d9 +10 +14 +10 +d2 +00 +10 +10 +cd +30 +cc +10 +5e +20 +e2 +00 +ea +00 +b4 +00 +b5 +10 +9a +00 +ed +00 +6f +00 +24 +10 +6f +00 +b1 +00 +71 +00 +ba +00 +67 +00 +b2 +00 +61 +00 +77 +00 +48 +00 +70 +00 +42 +00 +79 +00 +3f +00 +78 +00 +41 +00 +6b +00 +33 +00 +6f +00 +37 +00 +54 +00 +2f +00 +5e +00 +2c +00 +62 +00 +33 +00 +51 +00 +30 +00 +51 +00 +33 +00 +75 +00 +35 +00 +5e +00 +33 +00 +48 +00 +2b +00 +58 +00 +28 +00 +4c +00 +22 +00 +4a +00 +2b +00 +41 +00 +22 +00 +50 +00 +30 +00 +55 +00 +2f +00 +51 +00 +21 +00 +4c +00 +28 +00 +4f +00 +27 +00 +3f +00 +24 +00 +42 +00 +24 +00 +50 +00 +2a +00 +47 +00 +22 +00 +3d +00 +2d +00 +48 +00 +25 +00 +47 +00 +21 +00 +39 +00 +1c +00 +37 +00 +29 +00 +32 +00 +24 +00 +3e +00 +20 +00 +34 +00 +25 +00 +4e +00 +2f +00 +42 +00 +24 +00 +49 +00 +2d +00 +53 +00 +30 +00 +56 +00 +38 +00 +54 +00 +3f +00 +69 +00 +3f +00 +6a +00 +2f +00 +59 +00 +31 +00 +4d +00 +32 +00 +73 +00 +5e +00 +6f +00 +3e +00 +61 +00 +3e +00 +7a +00 +79 +00 +07 +10 +b9 +00 +10 +10 +14 +10 +f0 +40 +e0 +10 +47 +20 +05 +10 +65 +20 +74 +10 +1d +40 +cf +10 +c1 +20 +6d +20 +66 +60 +4a +30 +7c +60 +12 +30 +69 +40 +6c +30 +e2 +70 +3a +40 +b1 +50 +cb +10 +08 +10 +d1 +00 +ab +00 +c9 +00 +b9 +00 +dd +00 +fe +00 +42 +10 +f4 +10 +b5 +10 +22 +30 +ed +10 +ae +20 +5f +20 +bc +20 +3b +30 +ec +70 +ac +50 +e3 +90 +de +40 +6b +60 +e2 +30 +31 +60 +4a +20 +77 +20 +a3 +10 +e7 +10 +64 +10 +d1 +10 +62 +10 +a0 +10 +79 +10 +42 +10 +b2 +00 +91 +00 +5f +00 +7a +00 +68 +00 +9b +00 +5c +00 +90 +00 +4f +00 +61 +00 +4f +00 +6a +00 +48 +00 +84 +00 +74 +00 +84 +00 +72 +00 +91 +00 +63 +00 +7b +00 +54 +00 +a4 +00 +69 +00 +72 +10 +07 +10 +cb +30 +87 +10 +79 +10 +d6 +00 +30 +10 +a0 +10 +00 +00 +00 +00 +3a +00 +b5 +00 +44 +00 +b5 +00 +44 +00 +b3 +00 +42 +00 +b2 +00 +47 +00 +57 +10 +2b +10 +37 +40 +fa +00 +b4 +10 +5b +00 +0f +10 +6d +00 +a9 +10 +35 +10 +5f +40 +39 +10 +b9 +10 +3a +00 +a4 +00 +37 +00 +95 +00 +37 +00 +7e +00 +2b +00 +75 +00 +2b +00 +77 +00 +30 +00 +b9 +00 +53 +00 +0f +10 +56 +00 +90 +00 +32 +00 +74 +00 +2b +00 +71 +00 +29 +00 +7a +00 +45 +00 +d7 +00 +5e +00 +62 +10 +0b +10 +bc +20 +8d +00 +33 +10 +3b +00 +bf +00 +a7 +00 +80 +20 +8d +00 +fd +00 +3b +00 +a3 +00 +31 +00 +8f +00 +37 +00 +99 +00 +54 +00 +6d +10 +69 +00 +d6 +00 +66 +00 +cd +20 +ee +10 +62 +40 +90 +00 +37 +10 +39 +00 +89 +00 +2a +00 +b7 +00 +bd +00 +3d +20 +71 +00 +df +00 +2e +00 +6d +00 +25 +00 +6d +00 +2d +00 +76 +00 +2a +00 +6a +00 +2d +00 +7f +00 +49 +00 +a8 +10 +7c +00 +d9 +00 +2d +00 +75 +00 +41 +00 +15 +10 +52 +00 +82 +00 +24 +00 +77 +00 +25 +00 +7a +00 +47 +00 +17 +10 +4a +00 +a4 +00 +2e +00 +6f +00 +22 +00 +59 +00 +23 +00 +57 +00 +25 +00 +4f +00 +26 +00 +5b +00 +26 +00 +5f +00 +1d +00 +58 +00 +21 +00 +59 +00 +1d +00 +4a +00 +2c +00 +c7 +00 +74 +00 +ce +00 +2b +00 +62 +00 +1d +00 +5b +00 +25 +00 +60 +00 +24 +00 +6a +00 +23 +00 +70 +00 +27 +00 +a2 +00 +48 +00 +e8 +00 +37 +00 +b8 +00 +37 +00 +82 +00 +85 +00 +96 +20 +7a +00 +07 +10 +39 +00 +84 +00 +2b +00 +7f +00 +3f +00 +3d +10 +2a +10 +0c +50 +42 +20 +99 +40 +a8 +00 +4f +10 +41 +00 +a4 +00 +31 +00 +7f +00 +48 +00 +fe +00 +41 +00 +ac +00 +38 +00 +96 +00 +64 +00 +00 +30 +5d +10 +89 +20 +5f +00 +e4 +00 +31 +00 +84 +00 +28 +00 +85 +00 +5d +00 +b4 +10 +80 +00 +fb +00 +30 +00 +75 +00 +23 +00 +71 +00 +26 +00 +69 +00 +21 +00 +69 +00 +30 +00 +6e +00 +22 +00 +66 +00 +21 +00 +64 +00 +31 +00 +70 +00 +46 +00 +e2 +00 +40 +00 +96 +00 +3c +00 +80 +00 +27 +00 +86 +00 +2d +00 +7e +00 +31 +00 +af +00 +52 +00 +cb +00 +48 +00 +94 +00 +35 +00 +7e +00 +40 +00 +94 +00 +42 +00 +04 +10 +4a +00 +c6 +00 +4a +00 +bd +00 +54 +00 +c6 +00 +41 +00 +ba +00 +40 +00 +a6 +00 +49 +00 +ef +00 +8c +00 +52 +20 +69 +20 +06 +80 +74 +30 +64 +90 +ab +30 +1b +a0 +ae +30 +1d +a0 +26 +30 +cc +80 +5a +30 +ad +90 +d7 +30 +40 +a0 +d0 +30 +a7 +a0 +e0 +30 +cb +a0 +f7 +30 +90 +a0 +c1 +30 +0f +a0 +a6 +30 +52 +90 +0c +20 +d5 +40 +5e +20 +47 +70 +0f +20 +dd +30 +4c +20 +24 +80 +6d +30 +22 +90 +54 +30 +77 +90 +29 +30 +9d +50 +e0 +00 +00 +20 +6a +00 +3e +10 +73 +00 +2d +20 +45 +20 +3c +70 +26 +30 +76 +80 +c8 +20 +47 +70 +f3 +20 +70 +70 +d9 +20 +23 +80 +a3 +20 +42 +70 +55 +30 +86 +80 +63 +20 +ee +40 +c8 +00 +7a +10 +5d +00 +0a +10 +6e +10 +b1 +40 +bb +00 +6e +10 +4b +00 +d5 +00 +46 +00 +ff +00 +4f +00 +c2 +00 +37 +00 +a9 +00 +3e +00 +9e +00 +36 +00 +a1 +00 +28 +00 +71 +00 +24 +00 +66 +00 +30 +00 +70 +00 +28 +00 +6c +00 +25 +00 +61 +00 +2b +00 +60 +00 +2b +00 +5d +00 +25 +00 +51 +00 +2b +00 +57 +00 +2b +00 +5a +00 +22 +00 +4b +00 +23 +00 +58 +00 +25 +00 +53 +00 +1c +00 +5e +00 +1e +00 +4b +00 +26 +00 +62 +00 +1e +00 +4d +00 +1c +00 +46 +00 +1a +00 +45 +00 +26 +00 +6d +00 +22 +00 +5c +00 +19 +00 +4c +00 +23 +00 +50 +00 +1f +00 +47 +00 +1c +00 +40 +00 +21 +00 +4d +00 +1f +00 +48 +00 +1c +00 +3c +00 +18 +00 +3f +00 +18 +00 +36 +00 +16 +00 +32 +00 +19 +00 +31 +00 +14 +00 +2d +00 +14 +00 +2f +00 +14 +00 +33 +00 +1a +00 +63 +00 +1e +00 +53 +00 +1b +00 +3f +00 +1a +00 +40 +00 +23 +00 +4e +00 +24 +00 +58 +00 +1e +00 +61 +00 +24 +00 +65 +00 +21 +00 +56 +00 +27 +00 +4e +00 +22 +00 +59 +00 +39 +00 +c6 +00 +2c +00 +6a +00 +23 +00 +55 +00 +29 +00 +95 +00 +51 +00 +b1 +10 +52 +00 +f6 +00 +db +00 +32 +20 +7f +00 +38 +10 +68 +00 +46 +10 +b5 +00 +3d +20 +18 +10 +9b +30 +71 +20 +98 +40 +19 +10 +13 +30 +00 +10 +38 +30 +e7 +10 +1c +70 +ca +20 +fe +30 +58 +00 +d9 +00 +48 +00 +18 +10 +4a +00 +ba +00 +45 +00 +05 +10 +5f +00 +a6 +10 +8b +00 +f5 +10 +97 +00 +db +10 +91 +00 +43 +30 +07 +20 +9a +70 +21 +30 +14 +70 +db +10 +18 +60 +44 +10 +b1 +20 +8d +00 +6e +10 +62 +00 +4f +10 +69 +00 +62 +10 +24 +10 +ee +30 +8e +00 +e6 +00 +3b +00 +8b +00 +32 +00 +7e +00 +30 +00 +84 +00 +32 +00 +71 +00 +27 +00 +6f +00 +2a +00 +63 +00 +32 +00 +8a +00 +3f +00 +b3 +00 +39 +00 +a8 +00 +30 +00 +86 +00 +2d +00 +91 +00 +49 +00 +6d +10 +95 +00 +8e +10 +5a +00 +f5 +00 +d4 +00 +b3 +20 +00 +00 +00 +00 +9c +00 +66 +00 +a6 +00 +72 +00 +ac +00 +78 +00 +ba +00 +9f +00 +e8 +00 +8d +10 +58 +30 +a3 +10 +25 +20 +15 +10 +ff +00 +f8 +00 +4c +10 +80 +20 +db +40 +ce +20 +68 +30 +49 +10 +f1 +00 +a1 +00 +b1 +00 +60 +00 +83 +00 +4a +00 +73 +00 +4e +00 +72 +00 +5c +00 +97 +00 +a7 +00 +11 +10 +b3 +00 +6b +10 +85 +00 +8e +00 +4c +00 +71 +00 +51 +00 +7e +00 +63 +00 +9e +00 +5f +10 +e0 +20 +74 +20 +c1 +30 +74 +10 +b2 +10 +ac +00 +ac +00 +81 +00 +b6 +00 +71 +00 +13 +10 +ab +00 +de +00 +88 +00 +a8 +00 +6e +00 +a7 +00 +87 +00 +78 +10 +9a +00 +d2 +00 +83 +00 +b3 +00 +00 +10 +ae +20 +66 +10 +d6 +10 +b4 +00 +a3 +00 +64 +00 +78 +00 +8b +00 +46 +10 +01 +10 +ca +10 +81 +00 +87 +00 +43 +00 +6a +00 +36 +00 +6e +00 +3f +00 +6b +00 +42 +00 +6a +00 +69 +00 +bd +00 +57 +10 +d4 +10 +a0 +00 +83 +00 +78 +00 +5f +10 +4d +10 +1d +20 +9a +00 +8c +00 +0d +10 +00 +10 +a8 +00 +49 +10 +96 +00 +b2 +00 +5b +00 +7f +00 +44 +00 +5f +00 +3b +00 +59 +00 +38 +00 +63 +00 +37 +00 +69 +00 +39 +00 +4f +00 +32 +00 +56 +00 +31 +00 +50 +00 +31 +00 +5a +00 +35 +00 +67 +00 +42 +00 +7b +00 +3c +00 +5f +00 +41 +00 +64 +00 +2e +00 +62 +00 +41 +00 +6f +00 +60 +00 +7a +00 +fd +00 +57 +10 +e7 +10 +ac +30 +84 +10 +1f +10 +c0 +00 +9d +00 +e8 +00 +dd +00 +07 +10 +60 +10 +fd +00 +cc +00 +60 +00 +77 +00 +7a +00 +c4 +00 +22 +20 +18 +50 +f0 +30 +66 +60 +39 +20 +3d +20 +f1 +00 +e3 +00 +75 +00 +7b +00 +d2 +00 +cb +20 +7b +10 +4e +10 +9f +00 +af +00 +75 +00 +cd +00 +71 +10 +0f +40 +56 +20 +17 +30 +cc +00 +9f +00 +65 +00 +8e +00 +79 +00 +f2 +00 +df +00 +b3 +10 +93 +00 +9e +00 +54 +00 +66 +00 +44 +00 +60 +00 +39 +00 +62 +00 +4d +00 +89 +00 +68 +00 +65 +00 +45 +00 +63 +00 +32 +00 +70 +00 +68 +00 +40 +10 +cb +00 +26 +10 +67 +00 +97 +00 +49 +00 +7f +00 +44 +00 +84 +00 +48 +00 +71 +00 +5c +00 +a7 +00 +53 +00 +97 +00 +4f +00 +7c +00 +3d +00 +8b +00 +5b +00 +9d +00 +55 +00 +ab +00 +5d +00 +a8 +00 +68 +00 +c4 +00 +63 +00 +a8 +00 +64 +00 +a4 +00 +66 +00 +a5 +00 +a6 +00 +34 +10 +68 +20 +ca +60 +22 +50 +81 +90 +75 +60 +3b +a0 +da +60 +25 +a0 +38 +60 +b9 +80 +3c +60 +78 +90 +a2 +60 +5c +a0 +11 +70 +5e +a0 +1c +70 +b9 +a0 +6e +70 +ba +a0 +0e +70 +59 +a0 +b3 +60 +77 +a0 +4f +60 +0b +90 +e7 +50 +8c +80 +44 +50 +65 +60 +73 +40 +b0 +70 +db +50 +9a +90 +c4 +50 +10 +90 +b0 +40 +7b +70 +ff +20 +04 +30 +b3 +10 +69 +10 +1f +10 +48 +10 +00 +30 +c7 +60 +ce +40 +76 +80 +c9 +40 +89 +70 +4e +50 +dd +70 +1a +50 +63 +70 +61 +30 +fd +30 +e1 +20 +cb +40 +3d +40 +c7 +70 +d0 +30 +c3 +40 +31 +20 +91 +10 +27 +10 +95 +20 +d2 +20 +9f +40 +22 +10 +02 +10 +95 +00 +bc +00 +7a +00 +ce +00 +5c +00 +9a +00 +53 +00 +9a +00 +5b +00 +79 +00 +58 +00 +7a +00 +41 +00 +66 +00 +2e +00 +64 +00 +30 +00 +71 +00 +38 +00 +60 +00 +3f +00 +55 +00 +37 +00 +5f +00 +37 +00 +58 +00 +29 +00 +50 +00 +30 +00 +67 +00 +33 +00 +52 +00 +36 +00 +52 +00 +2a +00 +42 +00 +27 +00 +53 +00 +2c +00 +51 +00 +2a +00 +4b +00 +2d +00 +54 +00 +25 +00 +3f +00 +25 +00 +40 +00 +2b +00 +6b +00 +36 +00 +58 +00 +34 +00 +58 +00 +26 +00 +5f +00 +2b +00 +4c +00 +23 +00 +3f +00 +27 +00 +46 +00 +26 +00 +4d +00 +28 +00 +50 +00 +2a +00 +30 +00 +20 +00 +38 +00 +23 +00 +2c +00 +20 +00 +30 +00 +24 +00 +32 +00 +1b +00 +31 +00 +20 +00 +37 +00 +2c +00 +52 +00 +60 +00 +fe +00 +37 +00 +67 +00 +2c +00 +41 +00 +2a +00 +4d +00 +28 +00 +52 +00 +2c +00 +58 +00 +29 +00 +53 +00 +31 +00 +4e +00 +2e +00 +58 +00 +30 +00 +52 +00 +2c +00 +5b +00 +3b +00 +70 +00 +43 +00 +5b +00 +6a +00 +75 +00 +86 +00 +9d +00 +7a +00 +b9 +00 +9e +00 +f7 +00 +9c +00 +35 +10 +d0 +00 +fd +00 +d9 +00 +27 +10 +ef +00 +4c +20 +de +10 +ce +20 +66 +10 +59 +20 +4d +10 +dc +10 +ae +10 +65 +20 +07 +20 +5c +40 +8b +10 +33 +20 +39 +10 +6e +10 +41 +10 +46 +10 +a9 +00 +d2 +00 +c6 +00 +fa +00 +f8 +00 +34 +10 +45 +10 +65 +10 +78 +10 +6e +10 +d9 +20 +ea +50 +1f +40 +a3 +50 +a6 +40 +5b +60 +a9 +20 +b5 +20 +9d +10 +72 +10 +13 +10 +e1 +00 +0d +10 +15 +10 +09 +20 +11 +50 +48 +20 +2a +20 +d6 +00 +93 +00 +5b +00 +7d +00 +4e +00 +7e +00 +55 +00 +88 +00 +4d +00 +63 +00 +45 +00 +5e +00 +44 +00 +71 +00 +9e +00 +6d +20 +55 +10 +e3 +00 +74 +00 +7f +00 +3b +00 +71 +00 +67 +00 +a7 +00 +cb +00 +90 +10 +fe +00 +35 +10 +d3 +00 +e3 +00 +ca +00 +00 +00 +00 +00 +37 +00 +a6 +00 +48 +00 +af +00 +3d +00 +ba +00 +43 +00 +bf +00 +60 +00 +e9 +10 +11 +10 +6e +20 +90 +00 +33 +10 +4f +00 +0f +10 +d0 +00 +31 +40 +12 +20 +1c +50 +ef +00 +c1 +10 +71 +00 +15 +10 +3e +00 +af +00 +33 +00 +83 +00 +36 +00 +80 +00 +2a +00 +8e +00 +3c +00 +d1 +00 +50 +00 +d8 +00 +45 +00 +a1 +00 +33 +00 +82 +00 +29 +00 +79 +00 +2e +00 +80 +00 +3b +00 +c0 +10 +a3 +10 +f7 +40 +69 +10 +4a +20 +59 +00 +c5 +00 +2f +00 +8b +00 +3b +00 +af +00 +42 +00 +b2 +00 +48 +00 +c0 +00 +46 +00 +c8 +00 +48 +00 +cb +00 +46 +00 +da +00 +3e +00 +a1 +00 +3d +00 +db +00 +68 +00 +6d +10 +50 +00 +b3 +00 +31 +00 +82 +00 +27 +00 +9e +00 +5e +00 +13 +10 +4c +00 +c1 +00 +2c +00 +65 +00 +23 +00 +63 +00 +22 +00 +64 +00 +2a +00 +73 +00 +24 +00 +62 +00 +87 +00 +f0 +20 +b6 +00 +1c +10 +2f +00 +7d +00 +4f +00 +45 +10 +83 +00 +00 +10 +9e +00 +3e +30 +11 +10 +62 +10 +ac +00 +61 +10 +36 +00 +88 +00 +1f +00 +67 +00 +1c +00 +5d +00 +28 +00 +69 +00 +28 +00 +5a +00 +25 +00 +60 +00 +1d +00 +55 +00 +23 +00 +4d +00 +1e +00 +59 +00 +1b +00 +4d +00 +1d +00 +5e +00 +29 +00 +66 +00 +24 +00 +62 +00 +26 +00 +57 +00 +22 +00 +5a +00 +29 +00 +98 +00 +8e +00 +fb +20 +bc +10 +c1 +40 +0c +20 +0b +40 +76 +00 +e3 +00 +54 +00 +8a +10 +f6 +00 +90 +20 +ee +00 +8b +20 +5f +00 +a6 +00 +2b +00 +9a +00 +be +00 +43 +30 +1b +20 +ff +60 +5c +20 +12 +40 +86 +00 +45 +10 +40 +00 +98 +00 +35 +00 +e1 +00 +53 +10 +3d +30 +89 +00 +ee +00 +34 +00 +8f +00 +44 +00 +52 +10 +f5 +00 +d7 +20 +dd +00 +76 +10 +3c +00 +9f +00 +35 +00 +c5 +00 +6e +00 +08 +10 +47 +00 +c5 +00 +2b +00 +78 +00 +26 +00 +6e +00 +24 +00 +6e +00 +2a +00 +75 +00 +70 +00 +45 +10 +34 +00 +67 +00 +26 +00 +6d +00 +2e +00 +86 +00 +80 +00 +34 +10 +5c +00 +c5 +00 +35 +00 +7b +00 +30 +00 +72 +00 +25 +00 +7a +00 +2d +00 +7d +00 +33 +00 +81 +00 +27 +00 +8b +00 +3a +00 +89 +00 +3a +00 +88 +00 +2d +00 +80 +00 +2b +00 +84 +00 +42 +00 +c2 +00 +3f +00 +a5 +00 +3e +00 +9b +00 +38 +00 +a8 +00 +33 +00 +cf +00 +9a +00 +bb +20 +32 +20 +44 +70 +5c +30 +0b +a0 +95 +30 +2a +a0 +8c +30 +70 +80 +cf +20 +fc +70 +6c +30 +02 +a0 +be +30 +78 +a0 +c2 +30 +bc +a0 +d6 +30 +fd +a0 +b7 +30 +4b +a0 +d0 +30 +16 +a0 +d0 +30 +54 +a0 +c3 +30 +ea +90 +99 +30 +67 +90 +65 +20 +1e +70 +3f +30 +60 +90 +c8 +30 +a6 +90 +7f +20 +31 +50 +47 +10 +3f +30 +ba +00 +01 +20 +89 +00 +62 +10 +ba +00 +bc +40 +e5 +20 +af +70 +85 +20 +f1 +70 +18 +30 +5d +70 +61 +20 +bd +60 +cb +10 +b8 +30 +ed +00 +14 +30 +4b +10 +6f +50 +aa +20 +ff +60 +6a +10 +cc +20 +80 +00 +36 +10 +28 +10 +92 +40 +10 +10 +04 +20 +56 +00 +ba +00 +3d +00 +af +00 +44 +00 +ac +00 +32 +00 +8c +00 +3d +00 +70 +00 +30 +00 +68 +00 +27 +00 +65 +00 +2d +00 +55 +00 +28 +00 +6c +00 +2b +00 +77 +00 +20 +00 +5f +00 +24 +00 +5a +00 +24 +00 +5c +00 +24 +00 +54 +00 +25 +00 +4d +00 +21 +00 +5f +00 +24 +00 +5d +00 +2f +00 +57 +00 +1b +00 +46 +00 +1f +00 +50 +00 +1a +00 +46 +00 +1e +00 +53 +00 +25 +00 +4a +00 +18 +00 +48 +00 +1d +00 +4e +00 +22 +00 +5b +00 +1f +00 +67 +00 +24 +00 +4e +00 +1b +00 +4f +00 +20 +00 +48 +00 +1a +00 +3a +00 +23 +00 +56 +00 +1b +00 +49 +00 +18 +00 +44 +00 +15 +00 +33 +00 +16 +00 +46 +00 +0c +00 +31 +00 +0e +00 +37 +00 +12 +00 +31 +00 +15 +00 +35 +00 +1c +00 +41 +00 +36 +00 +81 +00 +2e +00 +78 +00 +23 +00 +56 +00 +1b +00 +40 +00 +21 +00 +46 +00 +27 +00 +40 +00 +1b +00 +4d +00 +24 +00 +4d +00 +20 +00 +61 +00 +20 +00 +4e +00 +22 +00 +58 +00 +25 +00 +5e +00 +30 +00 +6e +00 +44 +00 +5c +10 +71 +00 +b6 +00 +2f +00 +8f +00 +36 +00 +bb +00 +44 +00 +d8 +00 +47 +00 +16 +10 +0c +10 +79 +10 +4b +00 +ec +00 +74 +00 +ba +10 +8f +00 +a1 +10 +75 +00 +6b +10 +6e +00 +28 +20 +af +00 +2e +20 +ac +00 +ab +10 +93 +00 +8e +10 +80 +00 +b8 +10 +70 +00 +df +00 +49 +00 +c4 +00 +4f +00 +de +00 +56 +00 +8a +10 +7b +00 +1e +10 +74 +00 +84 +30 +df +20 +99 +80 +41 +20 +4c +60 +95 +10 +02 +30 +91 +00 +60 +10 +4f +00 +de +00 +52 +00 +8e +10 +c1 +00 +04 +30 +28 +20 +67 +30 +89 +00 +fb +00 +3d +00 +96 +00 +36 +00 +84 +00 +2b +00 +74 +00 +36 +00 +60 +00 +30 +00 +63 +00 +2a +00 +72 +00 +28 +00 +9b +00 +83 +10 +81 +30 +55 +00 +a8 +00 +2b +00 +75 +00 +26 +00 +81 +00 +38 +00 +e7 +00 +17 +10 +84 +30 +6c +00 +d4 +00 +49 +00 +e9 +00 +00 +00 +00 +00 +a5 +00 +73 +00 +b2 +00 +6f +00 +ae +00 +79 +00 +bf +00 +a9 +00 +04 +10 +3e +20 +ea +30 +16 +30 +aa +30 +b8 +10 +46 +10 +a0 +10 +f1 +20 +16 +30 +83 +50 +7b +20 +d6 +30 +4e +20 +d0 +20 +15 +10 +04 +20 +9f +00 +a9 +00 +57 +00 +78 +00 +4f +00 +76 +00 +62 +00 +92 +00 +ad +00 +ca +00 +a8 +00 +a9 +00 +63 +00 +7a +00 +55 +00 +91 +00 +4a +00 +7a +00 +5b +00 +85 +00 +02 +10 +28 +30 +ed +10 +48 +30 +46 +10 +0c +10 +7f +00 +90 +00 +65 +00 +9d +00 +6c +00 +b8 +00 +b3 +00 +46 +10 +1a +10 +1a +10 +6c +00 +8e +00 +62 +00 +8b +00 +71 +00 +ad +00 +7a +00 +91 +00 +94 +00 +e6 +00 +b7 +00 +ca +00 +6f +00 +88 +00 +63 +00 +80 +00 +ea +00 +9e +20 +70 +10 +4c +10 +71 +00 +77 +00 +44 +00 +62 +00 +45 +00 +69 +00 +51 +00 +66 +00 +46 +00 +70 +00 +75 +00 +27 +10 +d7 +00 +96 +10 +97 +00 +91 +00 +56 +00 +8a +00 +61 +00 +b1 +00 +20 +10 +f4 +20 +b9 +10 +ed +20 +ec +00 +f7 +00 +65 +00 +b6 +00 +52 +00 +72 +00 +3b +00 +74 +00 +3b +00 +a9 +00 +42 +00 +68 +00 +3d +00 +5e +00 +32 +00 +5f +00 +2b +00 +5b +00 +32 +00 +4d +00 +34 +00 +43 +00 +35 +00 +57 +00 +36 +00 +63 +00 +45 +00 +61 +00 +3c +00 +56 +00 +30 +00 +62 +00 +38 +00 +6d +00 +a7 +00 +a4 +10 +19 +10 +40 +30 +6d +20 +2a +50 +6c +20 +8b +20 +fb +10 +72 +20 +02 +30 +8a +50 +fa +10 +aa +20 +f3 +00 +10 +10 +6d +00 +79 +00 +f0 +00 +8c +20 +25 +30 +05 +50 +cd +30 +ee +60 +6b +20 +51 +20 +1d +10 +ee +00 +91 +00 +ad +00 +71 +10 +59 +20 +f9 +00 +61 +10 +ae +00 +a8 +00 +66 +00 +a4 +00 +eb +00 +72 +10 +c1 +00 +50 +10 +b9 +00 +cb +00 +71 +00 +8a +00 +81 +00 +a8 +10 +b9 +00 +db +00 +d5 +00 +d6 +00 +93 +00 +7c +00 +55 +00 +68 +00 +46 +00 +70 +00 +65 +00 +0a +10 +86 +00 +c4 +00 +53 +00 +5e +00 +42 +00 +77 +00 +4e +00 +8f +00 +57 +00 +b9 +00 +5e +00 +94 +00 +4c +00 +7a +00 +4a +00 +8b +00 +52 +00 +7b +00 +53 +00 +84 +00 +52 +00 +70 +00 +45 +00 +66 +00 +49 +00 +86 +00 +43 +00 +7c +00 +49 +00 +7b +00 +46 +00 +80 +00 +51 +00 +a8 +00 +5a +00 +ac +00 +56 +00 +a9 +00 +5d +00 +a1 +00 +d7 +00 +7b +20 +6b +10 +6a +20 +b6 +20 +0f +70 +e5 +50 +4b +a0 +47 +60 +4c +90 +3a +60 +1c +80 +71 +50 +a6 +80 +a8 +60 +44 +a0 +18 +70 +71 +a0 +5a +70 +a4 +a0 +29 +70 +af +a0 +2a +70 +ae +a0 +10 +70 +9f +a0 +18 +70 +4c +a0 +b8 +60 +17 +a0 +53 +60 +53 +80 +3a +60 +62 +90 +5d +60 +0c +a0 +47 +60 +be +80 +da +30 +8f +30 +94 +20 +fa +10 +0a +20 +21 +30 +ce +10 +66 +20 +ae +30 +9b +60 +cb +40 +5c +80 +a3 +50 +16 +80 +09 +50 +95 +70 +cd +40 +31 +40 +58 +30 +43 +30 +d5 +30 +0a +60 +88 +40 +b4 +70 +dc +30 +31 +50 +07 +20 +86 +10 +35 +10 +cb +10 +34 +10 +ce +10 +d9 +00 +0c +10 +95 +00 +9e +00 +5c +00 +98 +00 +56 +00 +8c +00 +4b +00 +82 +00 +43 +00 +66 +00 +4c +00 +6d +00 +3a +00 +66 +00 +37 +00 +6a +00 +38 +00 +69 +00 +36 +00 +5e +00 +33 +00 +68 +00 +32 +00 +69 +00 +31 +00 +57 +00 +2f +00 +4d +00 +2d +00 +60 +00 +29 +00 +55 +00 +2c +00 +8d +00 +55 +00 +68 +00 +2c +00 +48 +00 +2c +00 +49 +00 +28 +00 +4f +00 +2d +00 +51 +00 +24 +00 +48 +00 +23 +00 +5a +00 +27 +00 +5d +00 +2b +00 +5c +00 +31 +00 +53 +00 +2d +00 +4b +00 +26 +00 +44 +00 +2a +00 +40 +00 +28 +00 +58 +00 +29 +00 +51 +00 +2a +00 +41 +00 +22 +00 +3f +00 +21 +00 +3c +00 +25 +00 +31 +00 +1f +00 +2d +00 +19 +00 +2a +00 +1e +00 +31 +00 +29 +00 +3b +00 +26 +00 +4f +00 +37 +00 +6a +00 +3d +00 +57 +00 +32 +00 +58 +00 +28 +00 +3d +00 +24 +00 +4b +00 +23 +00 +45 +00 +2a +00 +4e +00 +28 +00 +5a +00 +2d +00 +59 +00 +32 +00 +52 +00 +2b +00 +5c +00 +3e +00 +67 +00 +55 +00 +b4 +00 +57 +10 +fc +30 +bd +00 +9d +00 +68 +00 +93 +00 +e8 +00 +04 +10 +b1 +00 +a5 +00 +c2 +00 +a9 +20 +0b +10 +09 +10 +bc +00 +de +00 +d1 +00 +2b +10 +e0 +00 +20 +10 +e2 +00 +f5 +00 +98 +10 +3d +30 +2e +10 +51 +10 +d4 +00 +ff +00 +ae +00 +05 +10 +af +00 +fb +00 +82 +00 +bc +00 +4b +10 +27 +20 +0f +10 +02 +10 +50 +10 +b7 +20 +28 +20 +d7 +10 +93 +30 +6d +80 +a6 +50 +7d +90 +db +30 +4d +30 +14 +20 +96 +10 +57 +10 +f3 +00 +5c +10 +a3 +10 +9e +10 +e4 +10 +f0 +10 +0d +30 +65 +10 +84 +10 +c5 +00 +a4 +00 +68 +00 +8e +00 +53 +00 +87 +00 +51 +00 +73 +00 +49 +00 +65 +00 +47 +00 +71 +00 +42 +00 +74 +00 +82 +00 +73 +10 +17 +10 +5a +10 +b1 +00 +8a +00 +77 +00 +cf +00 +e7 +00 +29 +10 +d5 +00 +4c +10 +fa +00 +fb +10 +a8 +00 +a4 +00 +a0 +00 +00 +00 +00 +00 +41 +00 +aa +00 +3e +00 +ab +00 +4b +00 +ac +00 +47 +00 +c9 +00 +75 +00 +b9 +20 +bf +10 +f0 +50 +03 +20 +72 +40 +a1 +00 +d2 +10 +97 +10 +12 +50 +41 +10 +c8 +20 +3a +10 +4b +40 +12 +10 +e3 +10 +6d +00 +06 +10 +38 +00 +9e +00 +2d +00 +84 +00 +36 +00 +93 +00 +4f +00 +86 +10 +67 +00 +ed +00 +39 +00 +99 +00 +2f +00 +8b +00 +3a +00 +8c +00 +32 +00 +80 +00 +34 +00 +ce +00 +8a +00 +5c +20 +db +00 +a1 +10 +44 +00 +a9 +00 +2c +00 +8c +00 +3c +00 +af +00 +3b +00 +0f +10 +93 +00 +d2 +10 +62 +00 +a7 +00 +36 +00 +82 +00 +29 +00 +94 +00 +71 +00 +17 +10 +36 +00 +91 +00 +3c +00 +c0 +00 +33 +00 +93 +00 +37 +00 +87 +00 +2b +00 +c8 +00 +9d +00 +6f +20 +7b +00 +cb +00 +2b +00 +63 +00 +1e +00 +6c +00 +30 +00 +80 +00 +2d +00 +67 +00 +21 +00 +72 +00 +84 +00 +65 +10 +5b +00 +d0 +00 +29 +00 +79 +00 +24 +00 +84 +00 +4f +00 +c9 +10 +40 +10 +88 +20 +7e +00 +0a +10 +31 +00 +90 +00 +2d +00 +6f +00 +1b +00 +5a +00 +27 +00 +73 +00 +27 +00 +61 +00 +22 +00 +5c +00 +1d +00 +56 +00 +1e +00 +5d +00 +24 +00 +55 +00 +21 +00 +52 +00 +1d +00 +58 +00 +26 +00 +5e +00 +21 +00 +6a +00 +23 +00 +67 +00 +21 +00 +49 +00 +21 +00 +5b +00 +1e +00 +9a +00 +55 +00 +0e +10 +7d +00 +b5 +10 +7e +10 +82 +40 +3c +10 +25 +40 +ef +10 +ef +50 +ca +10 +32 +30 +8e +00 +fa +00 +3f +00 +91 +00 +33 +00 +f4 +00 +5a +10 +97 +50 +4a +20 +3e +50 +5f +10 +d3 +20 +7b +00 +55 +10 +72 +00 +d4 +00 +50 +00 +8f +20 +0f +10 +b0 +10 +5a +00 +c5 +00 +30 +00 +87 +00 +46 +00 +8e +10 +76 +00 +1f +10 +52 +00 +11 +10 +49 +00 +92 +00 +36 +00 +a7 +00 +3d +00 +cb +00 +75 +00 +51 +20 +c3 +00 +0a +10 +2c +00 +81 +00 +29 +00 +7e +00 +40 +00 +9f +00 +49 +00 +c0 +00 +3a +00 +8d +00 +1c +00 +5f +00 +29 +00 +75 +00 +35 +00 +99 +00 +3b +00 +89 +00 +2b +00 +7b +00 +34 +00 +74 +00 +2e +00 +83 +00 +2f +00 +7e +00 +32 +00 +76 +00 +2c +00 +73 +00 +2a +00 +68 +00 +2c +00 +60 +00 +28 +00 +66 +00 +26 +00 +7a +00 +32 +00 +8f +00 +35 +00 +a1 +00 +39 +00 +98 +00 +41 +00 +9f +00 +43 +00 +e3 +00 +8c +00 +74 +10 +90 +00 +7e +20 +87 +20 +c5 +80 +92 +30 +21 +90 +14 +30 +68 +90 +33 +30 +72 +80 +63 +30 +cd +90 +ae +30 +91 +a0 +ce +30 +ac +a0 +db +30 +c0 +a0 +f3 +30 +bb +a0 +de +30 +c2 +a0 +d8 +30 +cd +a0 +e4 +30 +9c +a0 +d7 +30 +72 +a0 +8e +30 +ee +90 +b6 +30 +fa +90 +7d +30 +18 +a0 +38 +30 +1c +60 +4e +10 +d4 +30 +02 +10 +e6 +20 +dd +10 +48 +30 +72 +10 +f8 +40 +6e +20 +41 +80 +11 +30 +e3 +70 +1c +30 +52 +80 +e6 +20 +53 +80 +72 +20 +eb +50 +30 +20 +66 +60 +76 +20 +00 +60 +50 +20 +38 +60 +b3 +10 +08 +30 +83 +00 +76 +10 +7d +00 +79 +10 +71 +00 +26 +10 +40 +00 +b6 +00 +36 +00 +87 +00 +2e +00 +98 +00 +2f +00 +7f +00 +34 +00 +7e +00 +2a +00 +61 +00 +26 +00 +6b +00 +2d +00 +68 +00 +1f +00 +64 +00 +27 +00 +5d +00 +22 +00 +64 +00 +23 +00 +5b +00 +27 +00 +60 +00 +27 +00 +64 +00 +21 +00 +4d +00 +26 +00 +5b +00 +26 +00 +5b +00 +34 +00 +70 +00 +23 +00 +5d +00 +18 +00 +3e +00 +15 +00 +45 +00 +18 +00 +4b +00 +1b +00 +50 +00 +24 +00 +4a +00 +17 +00 +45 +00 +14 +00 +53 +00 +23 +00 +5f +00 +20 +00 +56 +00 +29 +00 +5a +00 +1e +00 +48 +00 +1b +00 +45 +00 +1f +00 +48 +00 +22 +00 +4d +00 +19 +00 +42 +00 +19 +00 +38 +00 +15 +00 +31 +00 +16 +00 +38 +00 +14 +00 +32 +00 +12 +00 +3a +00 +16 +00 +40 +00 +19 +00 +5d +00 +23 +00 +63 +00 +27 +00 +7f +00 +24 +00 +60 +00 +1c +00 +44 +00 +15 +00 +40 +00 +19 +00 +43 +00 +1d +00 +4b +00 +1e +00 +56 +00 +2a +00 +5d +00 +21 +00 +58 +00 +21 +00 +53 +00 +21 +00 +60 +00 +2d +00 +64 +00 +31 +00 +09 +10 +b1 +00 +49 +10 +36 +00 +7e +00 +42 +00 +28 +20 +cc +00 +01 +10 +30 +00 +b2 +00 +90 +00 +33 +10 +41 +00 +b1 +00 +91 +00 +6d +10 +47 +00 +c6 +00 +3d +00 +ab +00 +4d +00 +96 +10 +a8 +00 +46 +10 +40 +00 +cb +00 +3c +00 +c1 +00 +95 +00 +64 +10 +4c +00 +c6 +00 +5c +00 +9e +20 +a7 +10 +0b +20 +69 +00 +7d +10 +8a +10 +43 +50 +94 +10 +56 +50 +5e +30 +eb +90 +26 +30 +e1 +40 +d7 +00 +9e +20 +7f +00 +88 +10 +e1 +00 +fd +30 +ab +10 +a0 +20 +c1 +00 +f5 +40 +47 +10 +f8 +10 +61 +00 +d2 +00 +37 +00 +a3 +00 +37 +00 +93 +00 +41 +00 +8e +00 +35 +00 +71 +00 +24 +00 +64 +00 +2e +00 +70 +00 +2e +00 +9c +00 +5f +00 +6a +10 +7d +00 +f5 +00 +39 +00 +8e +00 +62 +00 +a0 +10 +3d +10 +75 +20 +7b +00 +0c +10 +5f +00 +d7 +00 +29 +00 +ab +00 +00 +00 +00 +00 +a8 +00 +6a +00 +a4 +00 +74 +00 +bb +00 +74 +00 +bf +00 +d8 +00 +2f +10 +5a +20 +ce +40 +77 +30 +f7 +50 +5b +20 +78 +20 +f6 +20 +01 +50 +44 +20 +ce +20 +7e +10 +f9 +10 +5e +10 +0a +20 +0a +10 +16 +10 +91 +00 +a9 +00 +5b +00 +7f +00 +4d +00 +8a +00 +99 +00 +0f +10 +45 +20 +27 +40 +2b +10 +cf +00 +69 +00 +7e +00 +51 +00 +86 +00 +5a +00 +78 +00 +5c +00 +8e +00 +94 +00 +13 +10 +d4 +00 +78 +10 +c7 +00 +cb +00 +64 +00 +8b +00 +51 +00 +8f +00 +7a +00 +0e +10 +6c +10 +e5 +20 +c6 +00 +f9 +00 +70 +00 +84 +00 +56 +00 +7d +00 +67 +00 +e4 +00 +92 +00 +e2 +00 +72 +00 +aa +00 +89 +00 +9f +00 +92 +00 +86 +00 +8d +00 +92 +00 +cc +00 +f1 +00 +89 +00 +cc +00 +6f +00 +77 +00 +44 +00 +62 +00 +a0 +00 +a5 +10 +bf +00 +b3 +00 +54 +00 +69 +00 +5b +00 +96 +00 +6e +00 +d8 +00 +5f +00 +74 +00 +40 +00 +6c +00 +80 +00 +d8 +00 +d7 +00 +82 +10 +c4 +00 +50 +10 +8d +00 +a7 +00 +57 +00 +6c +00 +3e +00 +5f +00 +38 +00 +60 +00 +44 +00 +57 +00 +3b +00 +61 +00 +30 +00 +64 +00 +2e +00 +64 +00 +33 +00 +5e +00 +37 +00 +5b +00 +2e +00 +55 +00 +37 +00 +4b +00 +38 +00 +51 +00 +37 +00 +5f +00 +34 +00 +51 +00 +36 +00 +4f +00 +37 +00 +67 +00 +59 +00 +93 +00 +e1 +00 +6c +10 +75 +10 +cf +20 +d5 +10 +46 +40 +e7 +20 +40 +50 +2e +20 +10 +30 +50 +10 +bb +10 +b1 +00 +b6 +00 +79 +00 +8f +00 +95 +10 +af +30 +6d +30 +7d +60 +64 +30 +72 +30 +89 +10 +6f +10 +e7 +00 +c8 +10 +3e +10 +f9 +10 +1d +20 +63 +30 +0e +10 +14 +10 +80 +00 +8f +00 +66 +00 +b4 +00 +94 +00 +e7 +00 +a4 +00 +e4 +00 +eb +00 +49 +10 +89 +00 +8e +00 +7c +00 +9f +00 +05 +10 +55 +20 +91 +20 +6e +30 +2d +10 +e5 +00 +72 +00 +7e +00 +c0 +00 +af +20 +b6 +00 +e0 +00 +61 +00 +8b +00 +47 +00 +7a +00 +40 +00 +75 +00 +4a +00 +82 +00 +43 +00 +7a +00 +4a +00 +81 +00 +3e +00 +62 +00 +42 +00 +75 +00 +4c +00 +77 +00 +51 +00 +81 +00 +5c +00 +71 +00 +4e +00 +8a +00 +3e +00 +7a +00 +42 +00 +6e +00 +3b +00 +74 +00 +45 +00 +84 +00 +4b +00 +8b +00 +50 +00 +a0 +00 +5b +00 +8f +00 +62 +00 +b2 +00 +8f +00 +f1 +00 +b8 +00 +4a +10 +c4 +10 +4f +30 +c1 +30 +49 +80 +21 +50 +15 +90 +97 +50 +41 +90 +9c +50 +df +80 +5b +60 +12 +a0 +0a +70 +7d +a0 +4e +70 +c6 +a0 +10 +70 +a7 +a0 +5b +70 +d5 +a0 +4e +70 +98 +a0 +1a +70 +e7 +a0 +53 +70 +f7 +a0 +f5 +60 +99 +a0 +d9 +60 +b9 +90 +3f +50 +14 +80 +92 +50 +a2 +70 +98 +50 +2e +80 +59 +50 +98 +70 +9e +40 +26 +60 +f6 +20 +2e +40 +0c +40 +60 +70 +20 +50 +b7 +70 +2e +60 +89 +90 +2c +60 +d6 +70 +0e +60 +f6 +80 +bd +40 +8f +50 +83 +20 +2a +30 +e1 +20 +0a +50 +2b +40 +44 +50 +06 +20 +71 +20 +26 +20 +65 +20 +2f +10 +28 +10 +af +00 +b5 +00 +64 +00 +88 +00 +4c +00 +99 +00 +44 +00 +7a +00 +42 +00 +7b +00 +43 +00 +7b +00 +3d +00 +62 +00 +47 +00 +6f +00 +38 +00 +61 +00 +30 +00 +6d +00 +3e +00 +5c +00 +3a +00 +59 +00 +2c +00 +5c +00 +30 +00 +5d +00 +31 +00 +52 +00 +2f +00 +50 +00 +2c +00 +5a +00 +38 +00 +60 +00 +34 +00 +52 +00 +29 +00 +4e +00 +2d +00 +52 +00 +27 +00 +4a +00 +2f +00 +53 +00 +32 +00 +5a +00 +2d +00 +4e +00 +2a +00 +40 +00 +2b +00 +5a +00 +2c +00 +5f +00 +2e +00 +59 +00 +26 +00 +4c +00 +24 +00 +4c +00 +22 +00 +48 +00 +2c +00 +4c +00 +2b +00 +44 +00 +2c +00 +3b +00 +23 +00 +39 +00 +24 +00 +3c +00 +1c +00 +35 +00 +20 +00 +2f +00 +28 +00 +3e +00 +45 +00 +58 +00 +2e +00 +5f +00 +3b +00 +90 +00 +bf +00 +22 +10 +43 +00 +51 +00 +28 +00 +3a +00 +20 +00 +41 +00 +21 +00 +49 +00 +2b +00 +48 +00 +2f +00 +4c +00 +26 +00 +50 +00 +26 +00 +57 +00 +33 +00 +59 +00 +3f +00 +77 +00 +7a +00 +80 +00 +7a +00 +c4 +00 +5c +00 +99 +00 +6c +00 +ad +00 +50 +10 +0d +40 +db +00 +a8 +00 +81 +00 +cf +00 +8f +00 +c1 +00 +86 +00 +aa +00 +84 +00 +bb +00 +7f +00 +a6 +00 +b1 +00 +de +00 +cc +00 +05 +10 +a4 +00 +b6 +00 +83 +00 +9c +00 +fb +00 +ba +20 +4f +10 +22 +10 +b8 +00 +c7 +00 +10 +10 +d1 +30 +79 +20 +0b +20 +3c +20 +c8 +50 +1e +40 +be +60 +0b +40 +ab +80 +3d +40 +a0 +50 +58 +30 +40 +50 +c1 +20 +3a +20 +c8 +10 +33 +20 +a1 +10 +47 +40 +35 +20 +95 +20 +5f +30 +1c +50 +a2 +10 +2b +10 +e5 +00 +02 +10 +6e +00 +9d +00 +dd +00 +0f +20 +2c +10 +d4 +00 +7e +00 +65 +00 +47 +00 +78 +00 +63 +00 +8a +00 +be +00 +44 +10 +c8 +10 +d2 +20 +02 +10 +b3 +00 +94 +00 +9f +00 +77 +00 +05 +10 +a3 +00 +1d +10 +93 +00 +c4 +00 +6e +00 +8f +00 +67 +00 +00 +00 +00 +00 +3e +00 +b2 +00 +3c +00 +ab +00 +43 +00 +b7 +00 +44 +00 +d4 +00 +9c +00 +5e +30 +e1 +10 +d6 +50 +a2 +10 +0c +30 +5c +10 +22 +50 +9c +10 +4e +30 +93 +00 +8e +10 +89 +00 +c2 +10 +a3 +00 +6c +10 +51 +00 +d2 +00 +36 +00 +95 +00 +3d +00 +89 +00 +34 +00 +ac +00 +ce +00 +36 +40 +e4 +10 +fe +20 +4b +00 +af +00 +3b +00 +94 +00 +37 +00 +84 +00 +3d +00 +ea +00 +37 +00 +88 +00 +3f +00 +07 +10 +49 +00 +c6 +00 +3b +00 +88 +00 +34 +00 +85 +00 +34 +00 +8d +00 +5b +00 +9e +10 +ad +00 +4a +10 +3d +00 +97 +00 +34 +00 +85 +00 +28 +00 +7c +00 +37 +00 +93 +00 +35 +00 +80 +00 +36 +00 +8f +00 +3c +00 +bb +00 +41 +00 +f7 +00 +9d +00 +07 +20 +77 +00 +de +00 +47 +00 +83 +00 +24 +00 +5e +00 +28 +00 +bb +00 +e3 +00 +2e +20 +5f +00 +a1 +00 +27 +00 +68 +00 +31 +00 +a0 +00 +39 +00 +84 +00 +26 +00 +70 +00 +2b +00 +8a +00 +93 +00 +48 +10 +5d +00 +fc +00 +62 +00 +de +00 +2a +00 +79 +00 +2a +00 +58 +00 +25 +00 +57 +00 +24 +00 +65 +00 +1f +00 +5f +00 +25 +00 +60 +00 +22 +00 +5f +00 +22 +00 +51 +00 +20 +00 +5a +00 +20 +00 +56 +00 +21 +00 +65 +00 +1d +00 +62 +00 +24 +00 +66 +00 +22 +00 +50 +00 +20 +00 +52 +00 +21 +00 +65 +00 +29 +00 +6f +00 +30 +00 +b9 +00 +78 +00 +08 +20 +e9 +00 +5b +20 +da +00 +44 +30 +32 +10 +62 +30 +b9 +00 +a7 +10 +5e +00 +d3 +00 +34 +00 +8f +00 +8b +00 +d3 +20 +9f +10 +26 +50 +34 +20 +64 +50 +43 +10 +11 +20 +51 +00 +db +00 +64 +00 +1d +20 +98 +10 +3e +40 +b8 +00 +83 +10 +49 +00 +93 +00 +28 +00 +86 +00 +39 +00 +c4 +00 +3a +00 +a5 +00 +47 +00 +17 +10 +87 +00 +28 +10 +3a +00 +90 +00 +3b +00 +e0 +00 +2d +10 +9c +40 +69 +10 +3c +30 +5a +00 +a6 +00 +28 +00 +ae +00 +87 +00 +2d +10 +4c +00 +ac +00 +30 +00 +76 +00 +28 +00 +67 +00 +2d +00 +79 +00 +27 +00 +77 +00 +26 +00 +67 +00 +32 +00 +79 +00 +29 +00 +66 +00 +2b +00 +70 +00 +30 +00 +7c +00 +42 +00 +12 +10 +43 +00 +91 +00 +30 +00 +6f +00 +28 +00 +71 +00 +23 +00 +73 +00 +27 +00 +82 +00 +34 +00 +79 +00 +3a +00 +95 +00 +3d +00 +9b +00 +38 +00 +c3 +00 +66 +00 +fe +00 +51 +00 +d3 +00 +5e +00 +42 +10 +36 +10 +fd +30 +41 +20 +dc +70 +4c +20 +df +50 +d5 +20 +61 +70 +c4 +20 +58 +90 +ab +30 +55 +a0 +f0 +30 +6d +a0 +e3 +30 +b1 +a0 +e9 +30 +6d +a0 +c9 +30 +b7 +a0 +eb +30 +ac +a0 +fc +30 +ce +a0 +0a +40 +00 +b0 +e1 +30 +77 +a0 +e0 +20 +c8 +50 +4a +20 +12 +80 +49 +30 +4d +90 +6b +30 +03 +90 +50 +30 +99 +80 +77 +20 +27 +40 +a9 +10 +ec +50 +8d +20 +2f +80 +56 +30 +81 +90 +95 +30 +bd +90 +f4 +20 +8e +80 +44 +30 +02 +70 +26 +10 +a3 +20 +c4 +00 +07 +30 +59 +20 +6c +70 +6b +10 +9b +20 +f5 +00 +7d +40 +0a +10 +cf +10 +5f +00 +ce +00 +3c +00 +8d +00 +30 +00 +85 +00 +34 +00 +81 +00 +2d +00 +73 +00 +29 +00 +76 +00 +2b +00 +6b +00 +25 +00 +6e +00 +2c +00 +73 +00 +2e +00 +79 +00 +26 +00 +62 +00 +23 +00 +57 +00 +24 +00 +63 +00 +21 +00 +63 +00 +22 +00 +51 +00 +1e +00 +4c +00 +23 +00 +68 +00 +30 +00 +5c +00 +19 +00 +63 +00 +27 +00 +50 +00 +1f +00 +51 +00 +1e +00 +4e +00 +20 +00 +57 +00 +21 +00 +54 +00 +24 +00 +57 +00 +2b +00 +4b +00 +1e +00 +44 +00 +1f +00 +59 +00 +24 +00 +63 +00 +25 +00 +56 +00 +1a +00 +49 +00 +17 +00 +3e +00 +20 +00 +57 +00 +1c +00 +51 +00 +19 +00 +46 +00 +17 +00 +36 +00 +1a +00 +39 +00 +1b +00 +3c +00 +15 +00 +2e +00 +17 +00 +30 +00 +1c +00 +9e +00 +44 +00 +61 +00 +28 +00 +5e +00 +2f +00 +aa +00 +3d +00 +81 +00 +25 +00 +4a +00 +1c +00 +3a +00 +18 +00 +3e +00 +1f +00 +42 +00 +1f +00 +49 +00 +26 +00 +4b +00 +17 +00 +54 +00 +2a +00 +5f +00 +22 +00 +6f +00 +7d +00 +14 +10 +40 +00 +bd +00 +34 +00 +a7 +00 +35 +00 +78 +00 +39 +00 +56 +10 +d3 +00 +6c +10 +40 +00 +a1 +00 +e0 +00 +13 +20 +44 +00 +94 +00 +3f +00 +8c +00 +43 +00 +80 +00 +33 +00 +e0 +00 +58 +00 +35 +10 +56 +00 +c6 +00 +34 +00 +9e +00 +3f +00 +b9 +10 +5c +20 +0e +40 +5e +00 +da +00 +3a +00 +37 +10 +ba +10 +28 +60 +b9 +10 +fb +30 +ad +20 +dc +70 +64 +20 +33 +60 +c8 +20 +f0 +50 +3b +10 +e7 +40 +b1 +20 +17 +50 +d4 +10 +eb +20 +9b +00 +ef +10 +6f +10 +96 +30 +05 +20 +c8 +50 +04 +10 +01 +20 +4d +00 +2b +10 +95 +00 +b3 +00 +3f +00 +7d +10 +18 +20 +dd +40 +87 +00 +a5 +00 +2a +00 +75 +00 +39 +00 +e3 +00 +aa +00 +fe +00 +d1 +00 +8a +40 +81 +10 +2c +20 +4e +00 +c1 +00 +37 +00 +b3 +00 +57 +00 +27 +10 +3b +00 +bc +00 +44 +00 +99 +00 +28 +00 +7c +00 +00 +00 +00 +00 +a4 +00 +76 +00 +b0 +00 +71 +00 +c4 +00 +84 +00 +c9 +00 +cd +00 +7f +10 +15 +20 +6e +40 +14 +20 +0b +30 +9f +20 +ed +40 +85 +30 +40 +50 +0f +20 +e0 +10 +74 +10 +c7 +10 +c7 +20 +4c +40 +5c +20 +ec +10 +a7 +00 +97 +00 +59 +00 +92 +00 +4f +00 +98 +00 +e9 +00 +88 +20 +72 +20 +7b +40 +a1 +10 +64 +10 +8c +00 +a1 +00 +5a +00 +8d +00 +50 +00 +81 +00 +6f +00 +b1 +00 +80 +00 +9f +00 +9d +00 +d5 +00 +87 +00 +a8 +00 +5c +00 +87 +00 +61 +00 +85 +00 +66 +00 +90 +00 +77 +00 +ce +00 +7d +00 +a9 +00 +51 +00 +69 +00 +53 +00 +6e +00 +4f +00 +95 +00 +50 +00 +83 +00 +6f +00 +8b +00 +21 +10 +82 +10 +b8 +10 +24 +20 +e3 +00 +1f +10 +81 +00 +17 +10 +8b +00 +a1 +00 +4d +00 +5e +00 +41 +00 +6e +00 +59 +00 +a3 +00 +74 +00 +ec +00 +65 +00 +6e +00 +4e +00 +74 +00 +56 +00 +8c +00 +51 +00 +6b +00 +4d +00 +66 +00 +82 +00 +29 +10 +90 +00 +ec +00 +86 +00 +e9 +00 +5e +00 +76 +00 +47 +00 +5f +00 +41 +00 +64 +00 +93 +00 +8e +00 +57 +00 +68 +00 +38 +00 +5e +00 +3c +00 +5e +00 +36 +00 +60 +00 +32 +00 +56 +00 +2d +00 +55 +00 +32 +00 +50 +00 +38 +00 +57 +00 +38 +00 +5f +00 +37 +00 +60 +00 +35 +00 +51 +00 +34 +00 +5b +00 +3d +00 +53 +00 +45 +00 +7a +00 +d6 +00 +06 +20 +0d +10 +64 +10 +1e +10 +60 +10 +b8 +10 +13 +30 +04 +20 +d0 +20 +21 +10 +ed +00 +7b +00 +8e +00 +ef +00 +cc +20 +5e +10 +b1 +20 +82 +20 +f4 +30 +b1 +10 +3a +20 +1b +10 +e5 +00 +85 +00 +b6 +00 +48 +10 +07 +40 +44 +20 +d1 +20 +f7 +00 +d1 +00 +69 +00 +7b +00 +65 +00 +a0 +00 +83 +00 +a2 +00 +7c +00 +a2 +00 +8b +00 +52 +10 +95 +00 +c6 +00 +ea +00 +36 +10 +33 +20 +e7 +20 +e5 +10 +53 +20 +a8 +10 +1c +20 +af +00 +8b +00 +61 +00 +80 +00 +64 +00 +bb +00 +6b +00 +8b +00 +4c +00 +74 +00 +3d +00 +65 +00 +3e +00 +73 +00 +4d +00 +5d +00 +54 +00 +91 +00 +6b +00 +83 +00 +c9 +00 +b1 +00 +50 +00 +6f +00 +53 +00 +b7 +00 +a0 +00 +e0 +00 +66 +00 +7f +00 +45 +00 +79 +00 +47 +00 +76 +00 +51 +00 +77 +00 +48 +00 +6e +00 +4b +00 +8b +00 +57 +00 +a7 +00 +5f +00 +9e +00 +97 +00 +3f +10 +bf +00 +f8 +00 +94 +00 +d6 +00 +0a +10 +00 +20 +f3 +10 +8d +30 +08 +30 +f8 +40 +7e +40 +90 +70 +3c +50 +75 +70 +68 +50 +57 +90 +7b +60 +3f +a0 +d2 +60 +c2 +a0 +10 +70 +d8 +a0 +49 +70 +ac +a0 +39 +70 +8b +a0 +42 +70 +c1 +a0 +7e +70 +2a +b0 +d2 +60 +67 +a0 +27 +60 +90 +70 +65 +50 +dc +70 +9e +40 +68 +60 +19 +40 +97 +80 +ea +40 +78 +80 +99 +40 +be +60 +b2 +30 +10 +40 +5a +40 +de +70 +51 +50 +89 +90 +05 +60 +bb +90 +6c +60 +0e +90 +25 +50 +65 +80 +63 +30 +4b +30 +28 +20 +56 +20 +e9 +30 +23 +70 +36 +30 +65 +30 +ca +10 +c5 +10 +6e +20 +40 +40 +3a +10 +40 +10 +94 +00 +a4 +00 +59 +00 +87 +00 +54 +00 +87 +00 +43 +00 +7c +00 +3f +00 +70 +00 +38 +00 +62 +00 +43 +00 +67 +00 +3d +00 +62 +00 +3c +00 +70 +00 +3b +00 +6a +00 +3a +00 +53 +00 +32 +00 +62 +00 +38 +00 +5e +00 +2e +00 +65 +00 +28 +00 +44 +00 +2f +00 +52 +00 +47 +00 +c5 +00 +30 +00 +68 +00 +35 +00 +69 +00 +33 +00 +57 +00 +28 +00 +57 +00 +2f +00 +4d +00 +25 +00 +5a +00 +2d +00 +57 +00 +34 +00 +55 +00 +35 +00 +4b +00 +28 +00 +47 +00 +2d +00 +60 +00 +26 +00 +54 +00 +27 +00 +5b +00 +24 +00 +45 +00 +22 +00 +50 +00 +23 +00 +4c +00 +23 +00 +3c +00 +23 +00 +3b +00 +22 +00 +33 +00 +22 +00 +32 +00 +1e +00 +3a +00 +23 +00 +3a +00 +1f +00 +44 +00 +2c +00 +85 +00 +3f +00 +7a +00 +34 +00 +5d +00 +4a +00 +6f +00 +36 +00 +64 +00 +35 +00 +3e +00 +24 +00 +3f +00 +2b +00 +41 +00 +23 +00 +55 +00 +2e +00 +58 +00 +32 +00 +51 +00 +30 +00 +54 +00 +3a +00 +68 +00 +d9 +00 +20 +20 +c4 +10 +ec +10 +b2 +00 +8c +00 +68 +00 +72 +00 +4f +00 +75 +00 +63 +00 +dc +00 +6f +00 +ae +00 +7a +00 +de +00 +75 +00 +db +00 +78 +00 +bd +00 +56 +00 +6d +00 +45 +00 +7b +00 +53 +00 +9b +00 +6a +00 +92 +00 +69 +00 +82 +00 +6a +00 +8b +00 +c7 +00 +07 +30 +08 +20 +6c +20 +cd +00 +bc +00 +db +00 +62 +20 +a6 +10 +73 +30 +ac +10 +cf +20 +1a +20 +9f +40 +86 +20 +4a +40 +b4 +20 +c8 +30 +5a +30 +ff +60 +d7 +20 +94 +40 +2f +30 +3c +40 +8b +30 +c6 +50 +c4 +40 +c1 +70 +7a +40 +94 +40 +eb +10 +30 +10 +32 +10 +49 +10 +7c +00 +c3 +00 +be +00 +4f +20 +a7 +10 +f8 +20 +c1 +00 +86 +00 +69 +00 +8f +00 +c5 +00 +35 +20 +fc +00 +97 +10 +32 +20 +02 +50 +4a +20 +ca +20 +39 +10 +d0 +00 +72 +00 +e1 +00 +9f +00 +c8 +00 +76 +00 +84 +00 +56 +00 +67 +00 +4d +00 +00 +00 +00 +00 +40 +00 +af +00 +3a +00 +ad +00 +3c +00 +b2 +00 +48 +00 +e4 +00 +77 +00 +15 +20 +17 +10 +07 +30 +cd +00 +fc +30 +1f +20 +7c +60 +ba +10 +0b +30 +72 +00 +40 +10 +12 +10 +3b +50 +3d +20 +b5 +50 +e9 +00 +38 +10 +40 +00 +a3 +00 +39 +00 +8a +00 +43 +00 +30 +10 +d3 +00 +1c +30 +3d +10 +ba +20 +66 +00 +bc +00 +38 +00 +99 +00 +2a +00 +83 +00 +31 +00 +82 +00 +35 +00 +95 +00 +2f +00 +a1 +00 +40 +00 +9f +00 +3b +00 +be +00 +3e +00 +97 +00 +2f +00 +80 +00 +35 +00 +9c +00 +47 +00 +ba +00 +31 +00 +7f +00 +27 +00 +6c +00 +2b +00 +6a +00 +30 +00 +8f +00 +26 +00 +80 +00 +59 +00 +c2 +10 +be +10 +d4 +40 +e1 +00 +6c +10 +4b +00 +bd +00 +3b +00 +ac +00 +35 +00 +69 +00 +20 +00 +55 +00 +26 +00 +77 +00 +37 +00 +a5 +00 +4e +00 +c0 +00 +36 +00 +7d +00 +27 +00 +6c +00 +2f +00 +6b +00 +2d +00 +6f +00 +26 +00 +a7 +00 +51 +00 +c2 +00 +3a +00 +c0 +00 +36 +00 +81 +00 +21 +00 +6a +00 +22 +00 +5a +00 +2e +00 +9b +10 +77 +00 +ae +00 +28 +00 +59 +00 +25 +00 +63 +00 +26 +00 +62 +00 +1d +00 +56 +00 +1f +00 +55 +00 +21 +00 +61 +00 +20 +00 +56 +00 +1c +00 +62 +00 +21 +00 +52 +00 +23 +00 +50 +00 +1c +00 +66 +00 +21 +00 +59 +00 +20 +00 +65 +00 +1f +00 +c6 +00 +94 +00 +63 +10 +5e +00 +ee +00 +62 +00 +72 +20 +32 +10 +38 +30 +90 +00 +2d +10 +38 +00 +86 +00 +34 +00 +21 +10 +c3 +00 +a2 +10 +95 +00 +5f +20 +cd +00 +d7 +10 +6b +00 +f9 +00 +3a +00 +95 +00 +36 +00 +32 +10 +67 +10 +89 +30 +af +00 +81 +10 +43 +00 +83 +00 +31 +00 +90 +00 +48 +00 +8e +00 +33 +00 +97 +00 +34 +00 +af +00 +4b +00 +b2 +00 +70 +00 +4b +20 +f3 +00 +13 +40 +5a +20 +b7 +50 +22 +10 +41 +20 +88 +00 +08 +10 +2e +00 +95 +00 +3e +00 +c2 +00 +40 +00 +9d +00 +2e +00 +76 +00 +25 +00 +60 +00 +2c +00 +69 +00 +20 +00 +69 +00 +24 +00 +61 +00 +6d +00 +09 +10 +56 +00 +47 +10 +57 +00 +ac +00 +2e +00 +86 +00 +3f +00 +e4 +00 +55 +00 +ab +00 +34 +00 +71 +00 +27 +00 +74 +00 +2e +00 +78 +00 +2a +00 +82 +00 +36 +00 +7e +00 +31 +00 +9e +00 +41 +00 +95 +00 +40 +00 +db +00 +c1 +00 +2f +10 +4f +00 +e1 +00 +51 +00 +e0 +00 +76 +00 +83 +10 +a2 +00 +9d +20 +f4 +10 +10 +70 +c7 +20 +53 +70 +bb +20 +30 +80 +a1 +20 +1a +80 +7c +30 +0c +a0 +c2 +30 +73 +a0 +e0 +30 +8d +a0 +e9 +30 +bc +a0 +cf +30 +68 +a0 +d9 +30 +a9 +a0 +ad +30 +8a +90 +0b +30 +cd +80 +1f +30 +a9 +80 +94 +20 +06 +50 +4b +10 +ad +30 +2a +20 +24 +70 +1a +20 +da +50 +16 +20 +5d +50 +55 +20 +37 +70 +ac +20 +fb +70 +0e +30 +fb +80 +75 +30 +cb +90 +7a +30 +2d +80 +fe +10 +2e +40 +eb +00 +94 +20 +5a +10 +31 +60 +a2 +20 +f3 +40 +d4 +00 +da +10 +82 +00 +fc +20 +7f +10 +77 +20 +65 +00 +dd +00 +37 +00 +83 +00 +31 +00 +87 +00 +37 +00 +80 +00 +2a +00 +79 +00 +2d +00 +78 +00 +29 +00 +75 +00 +2c +00 +74 +00 +27 +00 +68 +00 +27 +00 +77 +00 +27 +00 +61 +00 +26 +00 +64 +00 +2a +00 +5f +00 +24 +00 +57 +00 +23 +00 +4f +00 +1b +00 +48 +00 +22 +00 +78 +00 +2f +00 +66 +00 +1f +00 +44 +00 +21 +00 +53 +00 +21 +00 +5d +00 +18 +00 +4f +00 +19 +00 +53 +00 +22 +00 +57 +00 +2c +00 +63 +00 +23 +00 +42 +00 +1e +00 +51 +00 +24 +00 +5b +00 +27 +00 +55 +00 +17 +00 +4e +00 +1a +00 +40 +00 +1f +00 +57 +00 +1f +00 +3a +00 +21 +00 +3f +00 +1d +00 +42 +00 +17 +00 +38 +00 +19 +00 +37 +00 +0f +00 +33 +00 +19 +00 +2e +00 +18 +00 +42 +00 +1b +00 +4b +00 +22 +00 +5c +00 +24 +00 +4e +00 +1f +00 +6c +00 +23 +00 +64 +00 +13 +00 +46 +00 +1f +00 +3a +00 +1c +00 +4c +00 +15 +00 +52 +00 +1f +00 +58 +00 +28 +00 +4c +00 +18 +00 +4d +00 +20 +00 +5d +00 +3d +00 +ab +10 +44 +10 +a7 +40 +b7 +00 +ff +00 +34 +00 +a3 +00 +2d +00 +5c +00 +27 +00 +9d +00 +34 +00 +a8 +00 +30 +00 +72 +00 +44 +00 +a8 +00 +3c +00 +89 +00 +27 +00 +78 +00 +23 +00 +6b +00 +34 +00 +76 +00 +30 +00 +8d +00 +2b +00 +7d +00 +29 +00 +74 +00 +30 +00 +cb +00 +a9 +00 +d6 +20 +9e +00 +25 +10 +3c +00 +dd +00 +85 +00 +4f +20 +b1 +00 +de +10 +98 +00 +1d +20 +b6 +00 +74 +20 +cd +00 +7f +20 +ed +00 +ba +30 +50 +10 +03 +30 +18 +10 +fe +40 +02 +20 +f8 +60 +6f +30 +0e +90 +81 +30 +06 +90 +b9 +10 +6c +20 +54 +00 +17 +10 +54 +00 +c4 +00 +41 +00 +e9 +00 +7a +00 +b6 +10 +8d +00 +0d +10 +29 +00 +8b +00 +43 +00 +5d +10 +72 +00 +3f +10 +4a +10 +4f +30 +39 +10 +54 +40 +3b +10 +a9 +10 +4c +00 +ac +00 +45 +00 +bd +00 +30 +00 +8f +00 +25 +00 +73 +00 +2d +00 +78 +00 +00 +00 +00 +00 +ba +00 +7e +00 +9e +00 +69 +00 +c3 +00 +82 +00 +c3 +00 +a2 +00 +fd +00 +f4 +00 +1d +20 +64 +10 +0b +20 +af +20 +09 +60 +22 +40 +ee +50 +45 +20 +79 +10 +23 +20 +34 +40 +cf +30 +90 +60 +c5 +20 +e8 +20 +d9 +00 +be +00 +6e +00 +92 +00 +65 +00 +af +00 +d2 +00 +6f +10 +b3 +10 +1a +30 +60 +10 +37 +10 +8e +00 +bc +00 +5e +00 +84 +00 +54 +00 +80 +00 +63 +00 +8f +00 +85 +00 +8d +00 +6d +00 +9b +00 +87 +00 +05 +10 +26 +10 +62 +10 +7f +00 +7b +00 +59 +00 +85 +00 +74 +00 +a2 +00 +71 +00 +7e +00 +44 +00 +74 +00 +3c +00 +6f +00 +48 +00 +76 +00 +5e +00 +91 +00 +c8 +00 +ee +00 +d1 +10 +b6 +40 +ce +10 +36 +20 +bd +00 +d4 +00 +63 +00 +99 +00 +59 +00 +6e +00 +42 +00 +5c +00 +3d +00 +62 +00 +59 +00 +74 +00 +63 +00 +af +00 +5f +00 +b7 +00 +46 +00 +64 +00 +4e +00 +66 +00 +41 +00 +6c +00 +40 +00 +5f +00 +65 +00 +95 +00 +80 +00 +af +00 +76 +00 +8d +00 +4f +00 +66 +00 +35 +00 +66 +00 +50 +00 +9a +00 +20 +10 +18 +20 +94 +00 +72 +00 +37 +00 +6c +00 +30 +00 +62 +00 +34 +00 +61 +00 +2c +00 +53 +00 +33 +00 +4e +00 +32 +00 +53 +00 +32 +00 +5a +00 +2e +00 +54 +00 +2f +00 +4d +00 +28 +00 +55 +00 +34 +00 +60 +00 +36 +00 +5d +00 +40 +00 +65 +00 +6d +00 +b6 +00 +8d +00 +b4 +00 +a4 +00 +c6 +00 +da +00 +67 +10 +f1 +00 +43 +10 +a4 +00 +9b +00 +59 +00 +71 +00 +7a +00 +df +00 +a8 +00 +2a +10 +ea +00 +46 +10 +e5 +00 +14 +10 +af +00 +ab +00 +7c +00 +99 +00 +09 +10 +87 +30 +1e +10 +bf +10 +f0 +00 +ba +00 +8d +00 +97 +00 +93 +00 +bd +00 +d0 +00 +50 +10 +d6 +00 +b5 +00 +8f +00 +b7 +00 +0f +10 +57 +20 +57 +20 +44 +30 +99 +30 +3f +60 +0a +30 +c0 +40 +b4 +10 +4b +10 +cd +00 +d7 +00 +69 +00 +23 +10 +aa +00 +bf +00 +5d +00 +7a +00 +3c +00 +6c +00 +40 +00 +5e +00 +3e +00 +6e +00 +33 +00 +62 +00 +42 +00 +6f +00 +48 +00 +89 +00 +57 +00 +99 +00 +51 +00 +89 +00 +65 +00 +b4 +00 +0a +10 +25 +10 +71 +00 +85 +00 +4e +00 +6c +00 +39 +00 +7e +00 +51 +00 +7d +00 +49 +00 +88 +00 +4c +00 +98 +00 +5e +00 +b9 +00 +95 +00 +bf +00 +3b +10 +ff +20 +d4 +00 +ee +00 +7e +00 +b9 +00 +94 +00 +ed +00 +e8 +00 +51 +10 +9b +10 +42 +20 +29 +20 +ee +30 +fa +20 +74 +60 +73 +50 +0c +90 +be +50 +a8 +70 +60 +50 +4e +80 +46 +50 +7e +90 +9e +50 +e3 +90 +2e +60 +2a +a0 +b0 +60 +85 +90 +8e +60 +81 +80 +c1 +60 +8f +90 +b2 +60 +ea +90 +45 +50 +d8 +50 +e9 +20 +42 +30 +71 +30 +47 +60 +37 +40 +d5 +60 +eb +40 +a6 +70 +05 +40 +c9 +40 +13 +30 +bb +50 +cb +20 +29 +40 +f6 +20 +65 +50 +7b +40 +87 +90 +6c +50 +6d +60 +94 +30 +91 +30 +98 +30 +9c +50 +8b +40 +26 +70 +6b +20 +97 +20 +58 +10 +41 +10 +f0 +00 +d4 +10 +ca +00 +52 +10 +9b +00 +94 +00 +52 +00 +8c +00 +55 +00 +77 +00 +47 +00 +85 +00 +38 +00 +82 +00 +3d +00 +70 +00 +42 +00 +69 +00 +3e +00 +6b +00 +3f +00 +79 +00 +4a +00 +6c +00 +3a +00 +66 +00 +32 +00 +5b +00 +2c +00 +63 +00 +36 +00 +56 +00 +31 +00 +54 +00 +26 +00 +63 +00 +2b +00 +58 +00 +37 +00 +54 +00 +2f +00 +53 +00 +3a +00 +53 +00 +2b +00 +59 +00 +29 +00 +4d +00 +27 +00 +4f +00 +2c +00 +57 +00 +31 +00 +64 +00 +27 +00 +4a +00 +26 +00 +54 +00 +2b +00 +5b +00 +29 +00 +5b +00 +2a +00 +55 +00 +26 +00 +44 +00 +27 +00 +50 +00 +1e +00 +38 +00 +23 +00 +3a +00 +21 +00 +3d +00 +21 +00 +34 +00 +29 +00 +2a +00 +22 +00 +3c +00 +21 +00 +34 +00 +26 +00 +38 +00 +35 +00 +51 +00 +3d +00 +5f +00 +4b +00 +52 +00 +34 +00 +62 +00 +36 +00 +44 +00 +2b +00 +45 +00 +33 +00 +4d +00 +2e +00 +46 +00 +2a +00 +53 +00 +29 +00 +54 +00 +28 +00 +5d +00 +28 +00 +4f +00 +41 +00 +77 +00 +ac +00 +d2 +20 +fe +00 +8a +10 +9a +00 +a1 +00 +56 +00 +5f +00 +3e +00 +63 +00 +47 +00 +7a +00 +4d +00 +76 +00 +5a +00 +7c +00 +5a +00 +bb +00 +7b +00 +7a +00 +4c +00 +6e +00 +40 +00 +71 +00 +35 +00 +78 +00 +3b +00 +79 +00 +5f +00 +dc +00 +9e +00 +9f +00 +8f +00 +18 +10 +99 +00 +39 +10 +a5 +00 +a6 +00 +a2 +00 +fc +00 +c9 +00 +74 +10 +0b +10 +6b +10 +3a +10 +77 +10 +39 +10 +8f +10 +78 +10 +18 +20 +79 +20 +9d +20 +98 +10 +34 +20 +24 +20 +ce +40 +38 +40 +48 +80 +fb +50 +0d +a0 +a7 +40 +51 +40 +3a +20 +3c +10 +e9 +00 +bb +00 +96 +00 +ba +00 +ac +00 +fe +00 +90 +00 +f2 +00 +90 +00 +84 +00 +a8 +00 +34 +10 +cd +00 +e9 +00 +21 +10 +bb +20 +82 +20 +30 +50 +7d +20 +1b +40 +2e +10 +16 +10 +b8 +00 +a9 +00 +6a +00 +89 +00 +4c +00 +70 +00 +57 +00 +75 +00 +8a +00 +00 +00 +00 +00 +44 +00 +c2 +00 +3f +00 +b9 +00 +43 +00 +b7 +00 +3d +00 +cd +00 +44 +00 +f6 +00 +74 +00 +64 +10 +78 +00 +0b +20 +f1 +10 +b1 +60 +f3 +10 +31 +30 +7a +00 +32 +20 +fd +10 +79 +60 +31 +20 +97 +40 +b5 +00 +39 +10 +39 +00 +a4 +00 +3c +00 +9e +00 +41 +00 +d1 +00 +a0 +00 +f0 +20 +fe +00 +19 +20 +5c +00 +c6 +00 +35 +00 +92 +00 +31 +00 +8e +00 +36 +00 +ac +00 +43 +00 +b1 +00 +33 +00 +97 +00 +37 +00 +a0 +00 +88 +00 +6f +20 +80 +00 +e4 +00 +35 +00 +93 +00 +33 +00 +9e +00 +36 +00 +9b +00 +30 +00 +80 +00 +2d +00 +6e +00 +2b +00 +7f +00 +2d +00 +7e +00 +54 +00 +ed +10 +d4 +00 +e6 +20 +de +00 +db +10 +70 +00 +e3 +00 +3e +00 +93 +00 +32 +00 +83 +00 +23 +00 +64 +00 +28 +00 +60 +00 +22 +00 +63 +00 +2a +00 +83 +00 +2a +00 +73 +00 +2a +00 +6c +00 +22 +00 +6a +00 +25 +00 +65 +00 +26 +00 +67 +00 +26 +00 +b2 +00 +59 +00 +b7 +00 +3a +00 +d2 +00 +31 +00 +73 +00 +26 +00 +66 +00 +26 +00 +66 +00 +4e +00 +c8 +10 +8c +00 +04 +10 +2b +00 +69 +00 +2a +00 +60 +00 +21 +00 +4e +00 +21 +00 +5d +00 +25 +00 +54 +00 +22 +00 +5b +00 +22 +00 +4a +00 +1d +00 +49 +00 +1c +00 +55 +00 +1c +00 +52 +00 +21 +00 +4e +00 +23 +00 +53 +00 +28 +00 +58 +00 +2a +00 +76 +00 +35 +00 +9f +00 +36 +00 +95 +00 +2d +00 +b8 +00 +45 +00 +e9 +00 +40 +00 +aa +00 +32 +00 +73 +00 +37 +00 +8c +00 +3d +00 +ba +00 +47 +00 +ea +00 +4e +00 +e9 +00 +3a +00 +a8 +00 +3a +00 +99 +00 +32 +00 +e4 +00 +c5 +00 +ee +10 +6f +00 +fe +00 +52 +00 +0c +10 +40 +00 +c3 +00 +5b +00 +52 +10 +22 +10 +f3 +20 +52 +00 +b4 +00 +44 +00 +91 +10 +b5 +10 +be +30 +fd +10 +7e +60 +9f +10 +66 +30 +df +00 +32 +20 +ac +00 +e9 +10 +78 +00 +d4 +00 +4e +00 +d3 +00 +44 +00 +8f +00 +2f +00 +6a +00 +25 +00 +5d +00 +26 +00 +60 +00 +22 +00 +68 +00 +27 +00 +6c +00 +2b +00 +76 +00 +2e +00 +84 +00 +37 +00 +93 +00 +37 +00 +97 +00 +52 +00 +2f +20 +c3 +00 +11 +10 +33 +00 +7b +00 +26 +00 +63 +00 +2b +00 +73 +00 +36 +00 +82 +00 +31 +00 +84 +00 +30 +00 +91 +00 +4c +00 +25 +10 +6f +00 +ee +10 +f5 +00 +a1 +10 +51 +00 +bd +00 +4a +00 +a1 +00 +4c +00 +eb +00 +56 +00 +32 +10 +82 +00 +e0 +10 +c6 +00 +ba +20 +57 +20 +9e +70 +03 +30 +69 +90 +2b +30 +95 +70 +97 +20 +90 +70 +d0 +10 +16 +50 +6c +20 +62 +70 +bf +20 +a4 +80 +53 +30 +c4 +90 +9c +30 +0a +a0 +cd +30 +3e +a0 +aa +30 +f2 +70 +55 +10 +56 +30 +ed +00 +66 +40 +70 +20 +be +50 +9f +20 +d9 +70 +a6 +20 +69 +60 +24 +10 +ce +20 +de +00 +df +20 +e2 +00 +c3 +20 +05 +10 +ff +30 +fd +20 +d7 +80 +e6 +20 +f2 +60 +07 +20 +4c +60 +bc +20 +91 +70 +c1 +10 +6e +30 +98 +00 +7a +10 +5f +00 +17 +10 +74 +00 +1f +10 +5e +00 +e8 +00 +3c +00 +90 +00 +39 +00 +85 +00 +2b +00 +75 +00 +37 +00 +82 +00 +32 +00 +7e +00 +32 +00 +7e +00 +2c +00 +70 +00 +2c +00 +8d +00 +34 +00 +95 +00 +25 +00 +61 +00 +23 +00 +5e +00 +20 +00 +62 +00 +23 +00 +59 +00 +25 +00 +51 +00 +19 +00 +5b +00 +26 +00 +60 +00 +24 +00 +56 +00 +24 +00 +5c +00 +24 +00 +62 +00 +1b +00 +51 +00 +1f +00 +51 +00 +17 +00 +4c +00 +27 +00 +56 +00 +27 +00 +56 +00 +25 +00 +55 +00 +1d +00 +59 +00 +1e +00 +4d +00 +1e +00 +53 +00 +1f +00 +55 +00 +1d +00 +44 +00 +1a +00 +45 +00 +1d +00 +3f +00 +17 +00 +35 +00 +11 +00 +3a +00 +19 +00 +40 +00 +18 +00 +33 +00 +1a +00 +3a +00 +19 +00 +3f +00 +18 +00 +3d +00 +1a +00 +5d +00 +3d +00 +88 +00 +29 +00 +6e +00 +25 +00 +63 +00 +21 +00 +46 +00 +22 +00 +4a +00 +16 +00 +4b +00 +1a +00 +47 +00 +18 +00 +46 +00 +20 +00 +56 +00 +20 +00 +57 +00 +1c +00 +46 +00 +19 +00 +59 +00 +23 +00 +a6 +00 +5f +00 +37 +10 +6c +00 +cf +00 +2f +00 +70 +00 +22 +00 +58 +00 +23 +00 +5a +00 +27 +00 +6c +00 +26 +00 +72 +00 +2c +00 +8f +00 +a6 +00 +f7 +00 +2a +00 +72 +00 +1d +00 +58 +00 +29 +00 +6c +00 +28 +00 +64 +00 +27 +00 +7c +00 +af +00 +17 +20 +4f +00 +a3 +00 +49 +00 +e0 +00 +4a +00 +b0 +00 +38 +00 +a5 +00 +4d +00 +d7 +00 +4c +00 +ef +00 +3a +00 +de +00 +43 +00 +ed +00 +55 +00 +20 +10 +1c +10 +a6 +40 +b7 +00 +c6 +10 +8b +00 +34 +20 +ee +10 +aa +70 +12 +30 +b0 +90 +d2 +30 +2b +70 +2c +10 +b4 +20 +69 +00 +f5 +00 +4e +00 +dd +00 +3c +00 +ad +00 +3d +00 +d7 +00 +3d +00 +a6 +00 +30 +00 +b4 +00 +d0 +00 +09 +20 +4f +00 +8b +10 +e1 +00 +54 +30 +29 +10 +c9 +20 +ea +00 +98 +10 +de +00 +89 +10 +49 +00 +cf +00 +32 +00 +7b +00 +2d +00 +75 +00 +ad +00 +6d +20 +00 +00 +00 +00 +a9 +00 +6d +00 +a8 +00 +83 +00 +b3 +00 +74 +00 +b7 +00 +85 +00 +c8 +00 +b5 +00 +0f +10 +de +00 +03 +10 +71 +10 +9e +20 +2c +30 +f6 +50 +85 +20 +09 +20 +db +20 +8d +50 +45 +30 +bc +40 +c0 +10 +bd +10 +aa +00 +cd +00 +6d +00 +b5 +00 +74 +00 +b1 +00 +5e +10 +b9 +20 +86 +20 +e2 +30 +cc +10 +98 +10 +9d +00 +a1 +00 +5a +00 +8f +00 +60 +00 +94 +00 +f2 +00 +2a +20 +d0 +00 +b4 +00 +82 +00 +9f +00 +cb +00 +63 +10 +c4 +00 +51 +10 +e9 +00 +f7 +00 +ad +00 +b2 +00 +97 +00 +0d +10 +83 +00 +91 +00 +48 +00 +75 +00 +48 +00 +70 +00 +56 +00 +7e +00 +6f +00 +ff +00 +d0 +10 +ed +30 +57 +10 +80 +10 +be +00 +fd +00 +78 +00 +96 +00 +4f +00 +74 +00 +4a +00 +5e +00 +43 +00 +68 +00 +39 +00 +61 +00 +49 +00 +63 +00 +53 +00 +73 +00 +39 +00 +67 +00 +3f +00 +75 +00 +56 +00 +f0 +00 +56 +00 +6b +00 +39 +00 +73 +00 +6f +00 +8d +10 +ef +00 +17 +10 +7f +00 +a4 +00 +51 +00 +66 +00 +47 +00 +66 +00 +50 +00 +8d +00 +69 +00 +c2 +00 +67 +00 +7b +00 +41 +00 +63 +00 +37 +00 +62 +00 +36 +00 +4f +00 +31 +00 +56 +00 +2b +00 +53 +00 +34 +00 +55 +00 +36 +00 +57 +00 +32 +00 +52 +00 +34 +00 +55 +00 +35 +00 +5c +00 +2c +00 +56 +00 +32 +00 +58 +00 +5b +00 +7f +00 +73 +00 +91 +00 +6f +00 +8c +00 +65 +00 +72 +00 +76 +00 +9b +00 +7a +00 +bb +00 +69 +00 +93 +00 +53 +00 +7c +00 +6d +00 +9c +00 +85 +00 +b4 +00 +9c +00 +b9 +00 +c4 +00 +aa +00 +9a +00 +c3 +00 +f0 +00 +e6 +00 +b5 +00 +0e +10 +fe +00 +4c +10 +39 +10 +76 +10 +d1 +10 +d3 +10 +fb +00 +a6 +10 +9a +10 +96 +20 +0b +10 +81 +10 +2f +10 +7d +10 +bb +10 +3c +40 +9c +20 +ed +50 +75 +40 +d3 +70 +14 +30 +8d +20 +b1 +10 +03 +20 +be +00 +ea +00 +7a +00 +a7 +00 +56 +00 +87 +00 +58 +00 +74 +00 +3b +00 +5c +00 +3e +00 +5f +00 +36 +00 +5e +00 +3f +00 +6d +00 +31 +00 +6d +00 +36 +00 +76 +00 +52 +00 +80 +00 +4d +00 +93 +00 +52 +00 +a4 +00 +7f +00 +0c +10 +79 +00 +b8 +00 +4a +00 +66 +00 +3c +00 +6c +00 +40 +00 +87 +00 +3d +00 +75 +00 +45 +00 +78 +00 +59 +00 +9c +00 +de +00 +a3 +10 +47 +10 +b9 +10 +bf +00 +08 +10 +83 +00 +a7 +00 +73 +00 +af +00 +c4 +00 +dd +00 +46 +10 +19 +20 +43 +10 +a4 +10 +84 +10 +ad +20 +be +20 +e6 +60 +7c +40 +41 +70 +63 +30 +43 +40 +ed +20 +3e +40 +19 +40 +69 +70 +c5 +50 +b1 +90 +79 +60 +32 +a0 +28 +70 +92 +a0 +0a +70 +33 +a0 +ff +60 +3b +a0 +ec +50 +8b +50 +54 +30 +9d +20 +b6 +20 +b9 +30 +ad +20 +52 +40 +8a +40 +18 +80 +0b +40 +88 +30 +43 +20 +3e +20 +ba +10 +1b +20 +c4 +10 +0e +20 +6a +20 +54 +50 +f3 +40 +f2 +80 +28 +50 +04 +80 +b5 +30 +a8 +50 +e8 +20 +b9 +40 +c0 +10 +d5 +10 +06 +10 +e7 +00 +af +00 +e8 +00 +91 +00 +d7 +00 +a7 +00 +21 +10 +c2 +00 +b3 +00 +6b +00 +89 +00 +46 +00 +80 +00 +48 +00 +8e +00 +98 +00 +70 +10 +84 +00 +81 +00 +6e +00 +78 +00 +4c +00 +77 +00 +39 +00 +70 +00 +3f +00 +60 +00 +37 +00 +5a +00 +40 +00 +65 +00 +35 +00 +4c +00 +28 +00 +54 +00 +32 +00 +63 +00 +4a +00 +61 +00 +4c +00 +61 +00 +38 +00 +5f +00 +2d +00 +5a +00 +2e +00 +4f +00 +26 +00 +46 +00 +29 +00 +44 +00 +26 +00 +4e +00 +27 +00 +5f +00 +2c +00 +4d +00 +2d +00 +54 +00 +30 +00 +58 +00 +2b +00 +4d +00 +24 +00 +48 +00 +21 +00 +43 +00 +23 +00 +40 +00 +21 +00 +2c +00 +1f +00 +3a +00 +22 +00 +34 +00 +24 +00 +43 +00 +1a +00 +39 +00 +22 +00 +48 +00 +28 +00 +3b +00 +2a +00 +59 +00 +85 +00 +83 +10 +b1 +10 +2f +10 +7d +00 +6c +00 +37 +00 +50 +00 +28 +00 +48 +00 +24 +00 +50 +00 +27 +00 +45 +00 +27 +00 +4e +00 +2b +00 +4e +00 +2d +00 +58 +00 +24 +00 +4e +00 +26 +00 +63 +00 +3b +00 +5f +00 +78 +00 +cf +00 +8c +00 +e1 +00 +7a +00 +7d +00 +3d +00 +5c +00 +30 +00 +58 +00 +36 +00 +5f +00 +41 +00 +5a +00 +47 +00 +85 +00 +63 +00 +0b +10 +56 +00 +74 +00 +41 +00 +64 +00 +38 +00 +54 +00 +31 +00 +68 +00 +37 +00 +76 +00 +42 +00 +a0 +00 +58 +00 +fe +00 +81 +00 +a8 +00 +6f +00 +9c +00 +65 +00 +91 +00 +6b +00 +9b +00 +85 +00 +bc +00 +8f +00 +97 +00 +9c +00 +a1 +00 +a5 +00 +b4 +00 +4d +10 +fa +30 +f0 +20 +76 +30 +91 +10 +8c +10 +d5 +20 +23 +50 +8a +40 +24 +90 +9e +50 +29 +a0 +55 +50 +8b +60 +39 +30 +ce +20 +2f +20 +54 +30 +9c +10 +09 +10 +a1 +00 +b9 +00 +d9 +00 +05 +10 +86 +00 +c9 +00 +33 +10 +72 +10 +0a +10 +cd +10 +e7 +00 +3e +10 +e4 +00 +85 +10 +0a +10 +73 +10 +d5 +00 +0c +10 +80 +00 +bf +00 +5d +00 +73 +00 +46 +00 +6d +00 +68 +00 +4f +10 +f6 +00 +00 +00 +00 +00 +40 +00 +ad +00 +3b +00 +bf +00 +3b +00 +b8 +00 +46 +00 +c7 +00 +3e +00 +d9 +00 +4a +00 +db +00 +3f +00 +26 +10 +f4 +00 +a3 +40 +df +10 +a4 +40 +0b +10 +c5 +30 +40 +20 +73 +50 +f9 +00 +32 +20 +6d +00 +df +00 +49 +00 +b2 +00 +3d +00 +b8 +00 +49 +00 +8d +10 +aa +10 +9b +40 +cf +10 +e0 +40 +81 +00 +ef +00 +36 +00 +9b +00 +35 +00 +94 +00 +41 +00 +3f +10 +23 +10 +16 +20 +46 +00 +b6 +00 +49 +00 +de +10 +ed +00 +a7 +10 +68 +00 +83 +10 +20 +10 +54 +20 +77 +00 +2a +10 +82 +00 +1e +10 +37 +00 +7d +00 +27 +00 +72 +00 +2a +00 +6c +00 +28 +00 +7f +00 +54 +00 +77 +20 +fa +00 +eb +10 +64 +00 +20 +10 +4b +00 +98 +00 +2e +00 +75 +00 +22 +00 +71 +00 +1e +00 +63 +00 +20 +00 +54 +00 +21 +00 +6a +00 +26 +00 +67 +00 +1e +00 +5d +00 +26 +00 +68 +00 +2c +00 +6e +00 +30 +00 +7f +00 +20 +00 +5c +00 +20 +00 +90 +00 +52 +00 +08 +10 +6f +00 +1e +10 +2c +00 +78 +00 +22 +00 +62 +00 +27 +00 +72 +00 +2c +00 +a4 +00 +3b +00 +86 +00 +27 +00 +5e +00 +1f +00 +5a +00 +25 +00 +54 +00 +21 +00 +65 +00 +23 +00 +57 +00 +24 +00 +5e +00 +1c +00 +5c +00 +1c +00 +56 +00 +24 +00 +58 +00 +1e +00 +5d +00 +1e +00 +4d +00 +22 +00 +51 +00 +3b +00 +d4 +00 +40 +00 +a3 +00 +34 +00 +93 +00 +2e +00 +9e +00 +2c +00 +7a +00 +2c +00 +90 +00 +2d +00 +89 +00 +32 +00 +7b +00 +29 +00 +85 +00 +34 +00 +89 +00 +37 +00 +98 +00 +3a +00 +c6 +00 +49 +00 +d2 +00 +72 +00 +67 +20 +73 +00 +e4 +00 +4b +00 +3b +10 +11 +10 +b6 +30 +e9 +00 +3c +20 +9a +00 +8e +10 +90 +10 +fb +30 +b5 +00 +72 +10 +69 +00 +11 +20 +55 +10 +ca +20 +f0 +00 +f7 +20 +8b +20 +fc +70 +f8 +20 +27 +60 +01 +10 +26 +20 +85 +00 +09 +10 +45 +00 +98 +00 +32 +00 +79 +00 +36 +00 +7a +00 +31 +00 +6b +00 +25 +00 +60 +00 +26 +00 +5f +00 +1a +00 +77 +00 +2b +00 +6d +00 +26 +00 +6f +00 +25 +00 +73 +00 +2d +00 +92 +00 +33 +00 +89 +00 +3f +00 +bf +00 +4a +00 +aa +00 +36 +00 +76 +00 +2c +00 +69 +00 +27 +00 +69 +00 +27 +00 +7d +00 +2d +00 +88 +00 +29 +00 +90 +00 +45 +00 +06 +10 +c4 +00 +51 +30 +d2 +00 +49 +10 +4a +00 +b1 +00 +41 +00 +a2 +00 +42 +00 +dd +00 +5f +00 +50 +10 +9b +00 +81 +10 +66 +00 +6e +10 +83 +00 +24 +20 +7f +10 +45 +50 +6e +10 +7b +30 +cc +00 +b0 +20 +36 +10 +8f +50 +b8 +20 +59 +80 +95 +30 +38 +a0 +d4 +30 +a7 +a0 +c0 +30 +5a +a0 +cd +30 +98 +a0 +cd +30 +2d +a0 +e9 +20 +44 +50 +e0 +00 +3c +30 +e4 +00 +80 +20 +70 +10 +35 +60 +0d +30 +5c +70 +17 +10 +5c +20 +84 +00 +8d +10 +78 +00 +71 +10 +84 +00 +e0 +10 +cf +10 +61 +70 +df +20 +03 +70 +06 +20 +7d +40 +10 +10 +05 +30 +e1 +00 +27 +20 +72 +00 +ee +00 +4b +00 +e0 +00 +52 +00 +d2 +00 +40 +00 +c7 +00 +16 +10 +6c +20 +59 +00 +da +00 +39 +00 +77 +00 +25 +00 +7c +00 +61 +00 +6d +10 +b0 +00 +53 +10 +39 +00 +9b +00 +37 +00 +85 +00 +2c +00 +6b +00 +25 +00 +60 +00 +21 +00 +5e +00 +20 +00 +65 +00 +23 +00 +60 +00 +22 +00 +5a +00 +1e +00 +5c +00 +2a +00 +8a +00 +82 +00 +a5 +00 +28 +00 +69 +00 +2c +00 +5a +00 +27 +00 +5b +00 +21 +00 +4a +00 +20 +00 +48 +00 +1a +00 +4b +00 +1e +00 +54 +00 +26 +00 +65 +00 +24 +00 +52 +00 +22 +00 +5b +00 +1e +00 +52 +00 +1a +00 +4a +00 +1b +00 +3a +00 +1c +00 +3f +00 +15 +00 +30 +00 +15 +00 +37 +00 +11 +00 +3a +00 +17 +00 +3c +00 +18 +00 +33 +00 +1e +00 +39 +00 +1a +00 +42 +00 +16 +00 +44 +00 +20 +00 +b6 +00 +81 +10 +32 +50 +94 +00 +cd +00 +23 +00 +5b +00 +1e +00 +4f +00 +18 +00 +48 +00 +1a +00 +4a +00 +16 +00 +47 +00 +1c +00 +48 +00 +1e +00 +4c +00 +22 +00 +4f +00 +1c +00 +59 +00 +27 +00 +59 +00 +2d +00 +76 +00 +32 +00 +d3 +00 +35 +00 +81 +00 +25 +00 +77 +00 +28 +00 +62 +00 +1f +00 +51 +00 +2a +00 +69 +00 +31 +00 +b0 +00 +54 +00 +b3 +00 +3f +00 +81 +00 +26 +00 +61 +00 +30 +00 +5d +00 +28 +00 +5e +00 +25 +00 +66 +00 +26 +00 +60 +00 +32 +00 +83 +00 +35 +00 +89 +00 +30 +00 +7f +00 +29 +00 +80 +00 +27 +00 +75 +00 +39 +00 +88 +00 +30 +00 +85 +00 +24 +00 +8c +00 +2f +00 +8c +00 +33 +00 +11 +10 +e5 +10 +e6 +50 +e1 +00 +ae +10 +c0 +00 +2e +50 +ff +20 +aa +60 +3d +30 +e2 +80 +88 +30 +3c +90 +d4 +20 +d5 +30 +1e +10 +d2 +30 +ed +10 +5a +30 +64 +00 +c7 +00 +43 +00 +23 +10 +49 +00 +ad +00 +b3 +00 +d5 +30 +c0 +00 +ca +10 +79 +00 +f5 +00 +46 +00 +f9 +00 +51 +00 +0e +10 +4b +00 +bc +00 +40 +00 +a7 +00 +3f +00 +86 +00 +30 +00 +68 +00 +26 +00 +7d +00 +53 +00 +3a +10 +00 +00 +00 +00 +b0 +00 +72 +00 +ae +00 +76 +00 +c1 +00 +7d +00 +af +00 +73 +00 +b0 +00 +86 +00 +b0 +00 +93 +00 +b5 +00 +84 +10 +a3 +20 +2e +30 +8a +50 +f9 +30 +b8 +50 +eb +30 +cc +50 +76 +20 +86 +20 +28 +10 +2f +10 +97 +00 +b7 +00 +81 +00 +bb +00 +89 +00 +d3 +00 +e5 +10 +83 +40 +33 +30 +8f +50 +4f +20 +50 +20 +b8 +00 +ca +00 +62 +00 +a1 +00 +70 +00 +a8 +00 +47 +10 +8c +20 +83 +10 +7b +10 +d2 +00 +c5 +00 +da +00 +52 +10 +d0 +00 +fb +00 +b3 +00 +b8 +10 +d6 +00 +69 +10 +9a +00 +31 +10 +cc +00 +09 +10 +76 +00 +86 +00 +5b +00 +76 +00 +52 +00 +5f +00 +70 +00 +97 +00 +b0 +00 +64 +10 +d3 +00 +02 +10 +a9 +00 +ae +00 +57 +00 +7c +00 +40 +00 +5a +00 +41 +00 +5e +00 +38 +00 +5a +00 +37 +00 +63 +00 +3a +00 +65 +00 +45 +00 +52 +00 +3d +00 +60 +00 +43 +00 +6f +00 +46 +00 +6a +00 +35 +00 +6f +00 +41 +00 +6d +00 +52 +00 +99 +00 +7a +00 +cc +00 +97 +00 +d5 +00 +7a +00 +81 +00 +7d +00 +7d +00 +8a +00 +8e +00 +a0 +00 +97 +00 +64 +00 +7b +00 +3f +00 +62 +00 +39 +00 +5d +00 +3c +00 +62 +00 +33 +00 +65 +00 +3f +00 +5b +00 +3a +00 +60 +00 +45 +00 +60 +00 +4f +00 +6d +00 +58 +00 +62 +00 +39 +00 +56 +00 +32 +00 +63 +00 +51 +00 +93 +00 +6e +10 +fb +20 +44 +10 +cc +00 +63 +00 +72 +00 +4b +00 +77 +00 +56 +00 +78 +00 +58 +00 +75 +00 +80 +00 +87 +00 +ac +00 +e0 +00 +a3 +00 +a1 +00 +91 +00 +93 +00 +25 +10 +4e +10 +f7 +10 +f6 +20 +ac +10 +6b +10 +63 +10 +7a +10 +ce +00 +e8 +00 +95 +10 +a2 +30 +ef +10 +d2 +20 +f8 +00 +15 +10 +38 +10 +ff +30 +d8 +10 +57 +20 +4b +10 +ac +10 +f1 +20 +fe +40 +d2 +10 +d8 +10 +9d +10 +9f +30 +21 +30 +8c +70 +83 +20 +63 +30 +4a +10 +f6 +00 +80 +00 +bc +00 +5e +00 +b4 +00 +51 +00 +72 +00 +4c +00 +82 +00 +83 +00 +cb +00 +46 +00 +5f +00 +46 +00 +76 +00 +43 +00 +6f +00 +40 +00 +6b +00 +3f +00 +66 +00 +46 +00 +82 +00 +41 +00 +83 +00 +40 +00 +86 +00 +5f +00 +9b +00 +51 +00 +8f +00 +49 +00 +70 +00 +31 +00 +61 +00 +3e +00 +76 +00 +44 +00 +7a +00 +4c +00 +81 +00 +64 +00 +c1 +00 +ae +00 +5b +10 +dc +00 +da +10 +c2 +00 +f2 +00 +8c +00 +b9 +00 +c8 +00 +49 +10 +c8 +10 +9c +10 +3e +10 +3e +10 +14 +10 +3f +10 +23 +10 +4c +10 +88 +10 +18 +20 +ad +10 +88 +20 +d0 +10 +0e +20 +ae +10 +20 +20 +16 +20 +12 +30 +4b +30 +b5 +70 +55 +60 +83 +a0 +47 +70 +9c +a0 +2d +70 +c6 +a0 +28 +70 +ad +a0 +28 +60 +90 +80 +a0 +30 +89 +30 +98 +20 +47 +20 +e1 +10 +92 +20 +6e +30 +a1 +70 +45 +40 +ad +40 +58 +20 +aa +10 +6f +10 +21 +10 +3a +10 +22 +10 +9a +10 +16 +30 +9d +30 +dd +50 +d9 +20 +81 +30 +4c +20 +9a +20 +ac +10 +cb +10 +0a +10 +1b +10 +a9 +00 +ad +00 +8c +00 +61 +10 +af +00 +be +00 +01 +10 +bd +20 +1f +10 +79 +10 +b0 +00 +bd +00 +63 +00 +8a +00 +5e +00 +d5 +00 +6a +00 +cc +00 +35 +10 +65 +20 +a0 +00 +2b +10 +7a +00 +87 +00 +4e +00 +6c +00 +3e +00 +61 +00 +4b +00 +6e +00 +66 +00 +6e +00 +42 +00 +56 +00 +35 +00 +53 +00 +37 +00 +6f +00 +6a +00 +85 +10 +5f +00 +74 +00 +47 +00 +67 +00 +31 +00 +57 +00 +2b +00 +5b +00 +30 +00 +46 +00 +20 +00 +46 +00 +2c +00 +4a +00 +25 +00 +5d +00 +2b +00 +56 +00 +28 +00 +5c +00 +2f +00 +4c +00 +30 +00 +51 +00 +33 +00 +3f +00 +26 +00 +42 +00 +26 +00 +32 +00 +28 +00 +40 +00 +20 +00 +36 +00 +20 +00 +39 +00 +20 +00 +3d +00 +1e +00 +40 +00 +2b +00 +4c +00 +27 +00 +3f +00 +3c +00 +6f +00 +21 +10 +78 +40 +88 +10 +e3 +10 +9a +00 +68 +00 +48 +00 +53 +00 +2a +00 +41 +00 +27 +00 +54 +00 +29 +00 +49 +00 +22 +00 +3b +00 +28 +00 +5b +00 +2a +00 +46 +00 +2c +00 +52 +00 +39 +00 +68 +00 +6f +00 +17 +10 +ac +00 +dc +10 +b0 +00 +cd +00 +51 +00 +69 +00 +38 +00 +5a +00 +38 +00 +53 +00 +46 +00 +69 +00 +5e +00 +79 +00 +89 +00 +c8 +10 +86 +00 +8e +00 +4b +00 +70 +00 +3f +00 +58 +00 +30 +00 +60 +00 +36 +00 +59 +00 +37 +00 +61 +00 +39 +00 +76 +00 +3d +00 +7d +00 +47 +00 +6c +00 +49 +00 +7e +00 +4d +00 +75 +00 +4d +00 +70 +00 +52 +00 +74 +00 +52 +00 +88 +00 +51 +00 +7a +00 +6d +00 +8c +00 +ca +00 +bd +10 +85 +10 +85 +20 +79 +10 +7b +10 +a2 +20 +bb +70 +9d +40 +5b +60 +19 +50 +32 +70 +5e +40 +19 +50 +a3 +20 +43 +20 +8e +10 +38 +40 +3f +10 +7e +10 +b8 +00 +b2 +00 +9a +00 +9f +00 +99 +00 +5b +10 +2e +10 +da +10 +ae +00 +de +00 +91 +00 +b7 +00 +89 +00 +b0 +00 +95 +00 +92 +00 +98 +00 +b5 +00 +ae +00 +a2 +00 +7f +00 +90 +00 +4e +00 +63 +00 +5b +00 +ba +00 +02 +10 +00 +00 +00 +00 +42 +00 +b5 +00 +3f +00 +bc +00 +3d +00 +b8 +00 +4a +00 +a9 +00 +35 +00 +9a +00 +3d +00 +a4 +00 +4f +00 +9e +10 +55 +10 +7a +40 +22 +20 +6d +60 +64 +20 +6b +60 +a7 +10 +47 +30 +85 +00 +74 +10 +4d +00 +e1 +00 +40 +00 +c3 +00 +3e +00 +b4 +00 +4d +00 +e8 +10 +da +10 +40 +50 +c8 +10 +3a +40 +7b +00 +0c +10 +3d +00 +b9 +00 +3b +00 +a5 +00 +6c +00 +16 +20 +8c +10 +96 +30 +87 +00 +23 +10 +51 +00 +fd +00 +4b +00 +0d +10 +3f +00 +e1 +00 +58 +00 +d2 +00 +56 +00 +b9 +00 +4b +00 +e7 +00 +4d +00 +c1 +00 +27 +00 +82 +00 +2e +00 +71 +00 +2e +00 +77 +00 +33 +00 +c6 +00 +57 +00 +eb +00 +35 +00 +99 +00 +27 +00 +73 +00 +2a +00 +71 +00 +22 +00 +5c +00 +21 +00 +63 +00 +20 +00 +67 +00 +1f +00 +64 +00 +22 +00 +58 +00 +23 +00 +53 +00 +25 +00 +66 +00 +1f +00 +67 +00 +21 +00 +61 +00 +20 +00 +5d +00 +31 +00 +8b +00 +34 +00 +92 +00 +3d +00 +a4 +00 +44 +00 +ae +00 +4c +00 +3b +10 +4a +00 +ca +00 +40 +00 +b7 +00 +2c +00 +7e +00 +2a +00 +6c +00 +23 +00 +66 +00 +2c +00 +55 +00 +24 +00 +69 +00 +25 +00 +63 +00 +20 +00 +69 +00 +29 +00 +82 +00 +2e +00 +ac +00 +38 +00 +83 +00 +25 +00 +59 +00 +23 +00 +50 +00 +22 +00 +58 +00 +42 +00 +de +10 +a0 +10 +80 +30 +62 +00 +98 +00 +26 +00 +6d +00 +29 +00 +77 +00 +22 +00 +7c +00 +31 +00 +58 +10 +56 +00 +e7 +00 +b9 +00 +4f +10 +43 +00 +af +00 +5e +00 +18 +20 +a7 +10 +70 +40 +12 +20 +b5 +40 +ba +00 +54 +20 +8b +00 +38 +10 +83 +00 +d2 +20 +78 +10 +19 +30 +89 +00 +53 +10 +51 +00 +f3 +00 +11 +10 +c3 +20 +aa +00 +89 +10 +89 +10 +e2 +50 +dd +10 +ff +20 +6b +00 +5d +10 +97 +00 +cd +20 +d0 +10 +96 +30 +b0 +00 +72 +10 +4a +00 +ba +00 +2e +00 +80 +00 +34 +00 +75 +00 +2d +00 +75 +00 +36 +00 +f7 +00 +59 +00 +a1 +00 +1c +00 +64 +00 +30 +00 +86 +00 +30 +00 +66 +00 +1e +00 +5f +00 +21 +00 +70 +00 +29 +00 +78 +00 +31 +00 +67 +00 +26 +00 +8b +00 +31 +00 +83 +00 +38 +00 +75 +00 +29 +00 +71 +00 +28 +00 +6f +00 +29 +00 +80 +00 +28 +00 +7c +00 +2b +00 +a2 +00 +73 +00 +2f +10 +7c +00 +45 +10 +62 +00 +04 +10 +44 +00 +b7 +00 +51 +00 +2e +10 +2a +10 +74 +30 +83 +10 +86 +20 +99 +00 +6f +10 +63 +00 +0d +10 +5f +00 +37 +10 +7d +00 +d8 +10 +7f +00 +aa +10 +73 +00 +5f +10 +88 +00 +d5 +10 +c9 +00 +0b +30 +38 +20 +c7 +80 +df +30 +96 +a0 +c2 +30 +ab +a0 +dc +30 +ac +a0 +95 +30 +74 +90 +46 +20 +4c +40 +be +10 +24 +40 +be +00 +e1 +10 +a8 +00 +f0 +30 +cd +20 +24 +70 +a0 +10 +97 +30 +fc +00 +0d +20 +7d +00 +34 +10 +6c +00 +41 +10 +d5 +00 +b5 +40 +3d +20 +e6 +40 +35 +10 +dc +20 +a7 +00 +9e +10 +72 +00 +0e +10 +4b +00 +bd +00 +3b +00 +bb +00 +9d +00 +47 +10 +65 +00 +92 +10 +3c +10 +d0 +20 +99 +00 +40 +10 +41 +00 +8f +00 +38 +00 +92 +00 +34 +00 +9a +00 +47 +00 +c5 +10 +f2 +00 +40 +10 +3e +00 +8b +00 +39 +00 +75 +00 +27 +00 +6a +00 +29 +00 +98 +00 +61 +00 +19 +10 +42 +00 +6f +00 +28 +00 +5e +00 +22 +00 +5c +00 +2e +00 +90 +00 +3c +00 +99 +00 +2c +00 +72 +00 +2b +00 +65 +00 +25 +00 +65 +00 +21 +00 +49 +00 +1c +00 +4b +00 +1a +00 +4f +00 +25 +00 +49 +00 +22 +00 +58 +00 +22 +00 +5b +00 +1f +00 +59 +00 +1b +00 +58 +00 +1f +00 +4a +00 +1c +00 +36 +00 +19 +00 +36 +00 +16 +00 +32 +00 +0d +00 +36 +00 +10 +00 +3d +00 +19 +00 +36 +00 +17 +00 +40 +00 +18 +00 +45 +00 +1b +00 +49 +00 +20 +00 +3c +00 +2a +00 +48 +10 +dc +00 +08 +20 +6e +00 +e7 +00 +1f +00 +6a +00 +1e +00 +44 +00 +22 +00 +54 +00 +24 +00 +4e +00 +1d +00 +40 +00 +1e +00 +49 +00 +1d +00 +56 +00 +1f +00 +53 +00 +24 +00 +c0 +00 +57 +00 +99 +00 +d4 +00 +4d +10 +5a +00 +a9 +00 +36 +00 +83 +00 +24 +00 +55 +00 +25 +00 +58 +00 +34 +00 +4f +10 +53 +00 +90 +00 +3e +00 +b2 +00 +4d +00 +f7 +00 +33 +00 +7e +00 +27 +00 +6c +00 +2d +00 +55 +00 +22 +00 +55 +00 +1d +00 +54 +00 +21 +00 +55 +00 +2a +00 +62 +00 +2a +00 +6b +00 +23 +00 +64 +00 +27 +00 +6b +00 +2b +00 +61 +00 +2a +00 +6f +00 +30 +00 +68 +00 +2a +00 +75 +00 +33 +00 +92 +00 +3a +00 +d3 +00 +f0 +00 +63 +40 +cc +00 +91 +10 +7f +00 +b1 +20 +40 +20 +cf +50 +c8 +20 +2e +80 +30 +30 +75 +60 +40 +10 +03 +40 +b2 +00 +ac +10 +c3 +00 +ec +10 +64 +00 +f9 +00 +8e +00 +75 +10 +43 +00 +91 +00 +71 +00 +6d +10 +68 +00 +04 +10 +38 +00 +8b +00 +2a +00 +8e +00 +2d +00 +98 +00 +32 +00 +ab +00 +84 +00 +3c +10 +73 +00 +12 +10 +2d +00 +6d +00 +23 +00 +62 +00 +2e +00 +12 +10 +00 +00 +00 +00 +aa +00 +79 +00 +b3 +00 +75 +00 +c0 +00 +7c +00 +c0 +00 +8f +00 +ae +00 +8e +00 +a0 +00 +81 +00 +b7 +00 +f3 +00 +a9 +10 +4b +20 +d9 +40 +58 +40 +df +60 +d9 +30 +53 +40 +1c +20 +ab +10 +f3 +00 +f8 +00 +bb +00 +de +00 +14 +10 +4a +10 +b0 +00 +c2 +00 +47 +10 +b4 +30 +02 +20 +e9 +20 +31 +10 +7e +10 +98 +00 +a2 +00 +6a +00 +b4 +00 +88 +00 +d3 +00 +3f +20 +7f +50 +a3 +30 +8e +30 +71 +20 +d5 +10 +89 +10 +41 +10 +6c +10 +0b +10 +9d +00 +cd +00 +6b +00 +a6 +00 +6d +00 +91 +00 +90 +00 +6a +10 +97 +00 +ad +00 +66 +00 +74 +00 +82 +00 +8a +00 +80 +00 +8c +00 +a3 +00 +f8 +00 +84 +00 +ab +00 +5d +00 +77 +00 +4d +00 +84 +00 +55 +00 +6b +00 +3a +00 +67 +00 +43 +00 +65 +00 +3a +00 +66 +00 +45 +00 +66 +00 +3d +00 +54 +00 +37 +00 +64 +00 +3c +00 +59 +00 +3f +00 +5f +00 +3d +00 +61 +00 +4d +00 +76 +00 +c9 +00 +ef +00 +74 +00 +9e +00 +ff +00 +c5 +10 +72 +10 +3d +20 +d4 +10 +ae +20 +0b +20 +5c +20 +95 +10 +26 +10 +7d +00 +76 +00 +4a +00 +6b +00 +38 +00 +62 +00 +3b +00 +60 +00 +3c +00 +61 +00 +42 +00 +55 +00 +47 +00 +75 +00 +63 +00 +e8 +00 +f4 +00 +5c +10 +71 +00 +6f +00 +32 +00 +55 +00 +2b +00 +51 +00 +40 +00 +6d +00 +95 +00 +e2 +10 +c9 +00 +41 +10 +72 +00 +7a +00 +33 +00 +69 +00 +4b +00 +6b +00 +62 +00 +b7 +00 +2c +10 +9d +10 +df +10 +05 +40 +ae +10 +58 +10 +5d +10 +c2 +10 +62 +30 +3a +60 +4e +40 +fa +60 +b6 +20 +56 +30 +72 +10 +53 +10 +d1 +00 +9b +10 +8e +10 +f9 +20 +18 +10 +7d +10 +f8 +00 +12 +10 +45 +10 +a0 +10 +d6 +00 +67 +10 +c4 +10 +9d +40 +2c +30 +11 +60 +ce +10 +a4 +10 +30 +10 +b2 +10 +e1 +10 +c8 +20 +70 +10 +52 +20 +e4 +00 +ca +00 +69 +00 +92 +00 +4d +00 +89 +00 +3f +00 +7c +00 +48 +00 +7e +00 +43 +00 +7a +00 +3a +00 +69 +00 +30 +00 +6d +00 +42 +00 +81 +00 +37 +00 +5d +00 +37 +00 +6d +00 +31 +00 +73 +00 +42 +00 +75 +00 +4d +00 +65 +00 +4e +00 +74 +00 +49 +00 +7a +00 +3d +00 +6d +00 +2f +00 +5e +00 +38 +00 +64 +00 +3e +00 +71 +00 +45 +00 +7f +00 +92 +00 +7a +10 +c0 +00 +33 +10 +bc +00 +42 +10 +87 +00 +c4 +00 +a6 +00 +46 +10 +41 +20 +80 +50 +45 +30 +18 +40 +a6 +20 +f8 +40 +af +20 +e8 +10 +40 +10 +0f +10 +08 +10 +2b +10 +1d +10 +55 +10 +31 +10 +3d +10 +43 +10 +62 +10 +9f +10 +fc +10 +2a +30 +53 +60 +d4 +50 +e3 +90 +db +60 +94 +a0 +34 +70 +b1 +a0 +a7 +60 +fe +80 +a1 +50 +a6 +80 +ba +40 +6b +60 +2c +40 +3a +30 +f2 +10 +c8 +10 +d4 +20 +17 +70 +2f +40 +85 +70 +67 +30 +1e +40 +1e +20 +b9 +30 +12 +20 +fc +10 +7b +10 +8f +10 +5c +20 +f7 +50 +25 +40 +fd +60 +d6 +20 +3c +20 +3e +10 +0e +10 +ab +00 +aa +00 +82 +00 +c1 +00 +fa +00 +f7 +10 +04 +10 +79 +10 +26 +10 +a8 +30 +55 +10 +21 +20 +a3 +00 +d2 +00 +6a +00 +9c +00 +51 +00 +7c +00 +5c +00 +9d +00 +7a +00 +01 +10 +78 +00 +bd +00 +66 +00 +7c +00 +48 +00 +69 +00 +52 +00 +f4 +00 +e7 +00 +9e +20 +c0 +00 +f8 +00 +6d +00 +81 +00 +40 +00 +66 +00 +39 +00 +64 +00 +37 +00 +6a +00 +37 +00 +62 +00 +87 +00 +04 +10 +47 +00 +62 +00 +32 +00 +51 +00 +29 +00 +48 +00 +25 +00 +4d +00 +23 +00 +41 +00 +2d +00 +57 +00 +33 +00 +57 +00 +34 +00 +52 +00 +2a +00 +59 +00 +28 +00 +56 +00 +2d +00 +3f +00 +28 +00 +3a +00 +1c +00 +35 +00 +20 +00 +31 +00 +23 +00 +35 +00 +21 +00 +38 +00 +1f +00 +46 +00 +2a +00 +41 +00 +25 +00 +39 +00 +24 +00 +44 +00 +38 +00 +56 +00 +67 +00 +f7 +00 +76 +00 +fb +00 +76 +00 +6c +00 +3c +00 +52 +00 +2d +00 +4f +00 +24 +00 +5a +00 +29 +00 +4c +00 +23 +00 +43 +00 +25 +00 +49 +00 +2b +00 +58 +00 +2c +00 +5a +00 +4c +00 +9b +00 +59 +00 +d4 +00 +64 +00 +ad +00 +53 +00 +81 +00 +41 +00 +63 +00 +34 +00 +57 +00 +4d +00 +ad +00 +4e +10 +11 +20 +a2 +00 +7e +00 +5f +00 +91 +00 +48 +00 +82 +00 +46 +00 +67 +00 +36 +00 +6a +00 +31 +00 +55 +00 +2c +00 +4c +00 +31 +00 +4d +00 +2f +00 +4e +00 +39 +00 +58 +00 +3c +00 +6e +00 +35 +00 +62 +00 +3d +00 +5c +00 +38 +00 +67 +00 +3b +00 +70 +00 +58 +00 +89 +00 +71 +00 +91 +00 +6c +00 +8e +00 +bf +00 +65 +10 +d8 +10 +27 +30 +35 +20 +86 +10 +d6 +10 +b6 +30 +08 +30 +aa +60 +8a +40 +ab +80 +55 +30 +11 +30 +a1 +10 +8d +10 +f1 +00 +36 +10 +e6 +00 +4c +10 +8c +10 +0d +30 +b1 +10 +72 +10 +b9 +00 +b4 +00 +79 +00 +c6 +00 +7a +00 +97 +00 +66 +00 +7b +00 +54 +00 +7f +00 +b2 +00 +14 +10 +c2 +10 +54 +20 +61 +10 +2e +10 +9a +00 +94 +00 +5d +00 +62 +00 +4b +00 +8f +00 +70 +00 +00 +00 +00 +00 +3f +00 +b4 +00 +3c +00 +b5 +00 +4a +00 +c5 +00 +4b +00 +c5 +00 +4c +00 +bf +00 +42 +00 +a3 +00 +44 +00 +cd +00 +66 +00 +0f +20 +af +10 +76 +60 +79 +20 +ff +50 +11 +10 +76 +20 +70 +00 +23 +10 +4d +00 +db +00 +95 +00 +53 +30 +b3 +00 +1e +10 +46 +00 +0b +10 +e5 +00 +4a +20 +85 +00 +91 +10 +61 +00 +ca +00 +4b +00 +a0 +00 +3f +00 +a7 +00 +54 +00 +42 +20 +4b +20 +1a +70 +3e +20 +ca +50 +3c +10 +b3 +20 +47 +10 +24 +40 +a6 +00 +17 +10 +44 +00 +bd +00 +3a +00 +89 +00 +37 +00 +af +00 +bb +00 +83 +10 +4c +00 +9e +00 +39 +00 +ee +00 +50 +00 +a9 +00 +32 +00 +c5 +00 +45 +00 +b1 +00 +28 +00 +6b +00 +23 +00 +71 +00 +2e +00 +82 +00 +25 +00 +6b +00 +25 +00 +75 +00 +34 +00 +63 +00 +25 +00 +5d +00 +1d +00 +5e +00 +29 +00 +5d +00 +26 +00 +61 +00 +1f +00 +63 +00 +21 +00 +63 +00 +25 +00 +64 +00 +3d +00 +3b +10 +58 +00 +bc +00 +62 +00 +27 +20 +06 +10 +b2 +20 +0c +10 +33 +30 +cb +10 +12 +50 +e8 +10 +fb +40 +d0 +00 +0e +10 +28 +00 +6c +00 +25 +00 +64 +00 +22 +00 +65 +00 +27 +00 +67 +00 +1f +00 +56 +00 +20 +00 +61 +00 +25 +00 +74 +00 +8d +00 +df +20 +66 +00 +a5 +00 +27 +00 +5f +00 +1f +00 +57 +00 +22 +00 +57 +00 +30 +00 +93 +00 +4f +00 +e5 +00 +4f +00 +af +00 +27 +00 +63 +00 +2b +00 +72 +00 +27 +00 +63 +00 +a1 +00 +93 +20 +a4 +00 +cb +20 +21 +20 +00 +50 +b0 +00 +cc +10 +9d +10 +3f +60 +db +20 +c1 +70 +62 +20 +32 +40 +c5 +00 +ec +10 +5f +00 +fc +00 +63 +00 +8f +10 +7a +00 +7e +10 +5f +00 +5f +10 +76 +00 +b2 +10 +7e +00 +28 +10 +62 +00 +7f +10 +5c +10 +2a +40 +6e +10 +69 +20 +6b +00 +ef +00 +7f +00 +e6 +10 +94 +00 +93 +10 +79 +00 +15 +10 +41 +00 +9a +00 +2a +00 +6c +00 +3f +00 +86 +00 +2a +00 +6c +00 +2f +00 +77 +00 +2f +00 +73 +00 +23 +00 +52 +00 +2a +00 +72 +00 +31 +00 +65 +00 +21 +00 +55 +00 +24 +00 +82 +00 +2c +00 +75 +00 +2b +00 +6b +00 +29 +00 +6a +00 +23 +00 +62 +00 +2b +00 +59 +00 +26 +00 +6d +00 +24 +00 +6a +00 +2f +00 +72 +00 +29 +00 +68 +00 +30 +00 +b9 +00 +7a +00 +1c +10 +64 +00 +37 +10 +6a +00 +e7 +00 +44 +00 +a1 +00 +ed +00 +c5 +40 +6e +20 +88 +60 +74 +20 +b6 +40 +c4 +10 +ed +50 +73 +10 +14 +20 +5c +00 +eb +00 +63 +00 +19 +10 +65 +00 +35 +10 +80 +00 +e0 +10 +c4 +00 +3a +30 +b5 +10 +8c +50 +ec +20 +13 +90 +aa +30 +53 +a0 +f8 +30 +ab +a0 +8b +30 +ff +80 +1c +30 +5e +90 +67 +30 +48 +80 +1e +30 +2e +80 +24 +20 +11 +30 +8a +00 +6c +20 +8c +10 +d0 +50 +eb +10 +af +40 +6b +10 +8e +30 +6f +10 +9f +40 +03 +10 +21 +20 +cd +00 +24 +30 +36 +20 +01 +70 +0d +20 +ef +30 +ae +00 +46 +10 +60 +00 +d9 +00 +44 +00 +ac +00 +73 +00 +df +10 +00 +10 +d5 +10 +65 +00 +2c +10 +87 +00 +6a +10 +82 +00 +18 +10 +4c +00 +9e +00 +43 +00 +8f +00 +2b +00 +8b +00 +32 +00 +b6 +00 +44 +00 +a7 +00 +3e +00 +92 +00 +2e +00 +81 +00 +32 +00 +7e +00 +53 +00 +15 +10 +77 +00 +f5 +00 +94 +00 +44 +10 +33 +00 +75 +00 +28 +00 +6e +00 +26 +00 +62 +00 +27 +00 +71 +00 +24 +00 +8f +00 +c3 +00 +01 +10 +29 +00 +5a +00 +1d +00 +4e +00 +29 +00 +44 +00 +22 +00 +43 +00 +16 +00 +43 +00 +1e +00 +4f +00 +21 +00 +57 +00 +22 +00 +54 +00 +1f +00 +56 +00 +1d +00 +51 +00 +1b +00 +3d +00 +16 +00 +30 +00 +17 +00 +36 +00 +13 +00 +32 +00 +18 +00 +2b +00 +19 +00 +3a +00 +1a +00 +46 +00 +17 +00 +41 +00 +1a +00 +52 +00 +22 +00 +4f +00 +1d +00 +75 +00 +3a +00 +ab +00 +2e +00 +8e +00 +26 +00 +54 +00 +21 +00 +53 +00 +2a +00 +5a +00 +20 +00 +4f +00 +1e +00 +45 +00 +1a +00 +46 +00 +19 +00 +4c +00 +1a +00 +47 +00 +1d +00 +56 +00 +38 +00 +94 +00 +2e +00 +8d +00 +2c +00 +83 +00 +2c +00 +70 +00 +29 +00 +5f +00 +25 +00 +63 +00 +6e +00 +82 +30 +17 +10 +54 +10 +30 +00 +72 +00 +28 +00 +74 +00 +2c +00 +75 +00 +22 +00 +70 +00 +2d +00 +64 +00 +19 +00 +4a +00 +22 +00 +53 +00 +19 +00 +4a +00 +23 +00 +4b +00 +20 +00 +54 +00 +29 +00 +62 +00 +27 +00 +66 +00 +24 +00 +68 +00 +2c +00 +74 +00 +2f +00 +95 +00 +94 +00 +8d +10 +45 +00 +ab +00 +36 +00 +e0 +00 +72 +00 +8b +20 +5d +20 +ff +50 +30 +10 +31 +20 +9f +00 +6e +20 +c4 +10 +12 +60 +bb +10 +8c +30 +9c +00 +92 +10 +5b +00 +f8 +00 +4a +00 +19 +10 +39 +10 +1a +50 +b1 +10 +71 +30 +70 +00 +cf +00 +36 +00 +b0 +00 +3b +00 +90 +00 +3b +00 +84 +00 +2f +00 +7c +00 +31 +00 +fc +00 +bc +10 +79 +50 +07 +20 +dd +30 +70 +00 +d3 +00 +39 +00 +79 +00 +22 +00 +51 +00 +28 +00 +8a +00 +00 +00 +00 +00 +b9 +00 +76 +00 +ad +00 +76 +00 +bd +00 +98 +00 +c4 +00 +23 +10 +91 +10 +3f +10 +1c +10 +92 +00 +c6 +00 +f9 +00 +4a +10 +0a +30 +b5 +50 +c9 +40 +61 +70 +ba +40 +ea +50 +1d +30 +27 +20 +31 +10 +0d +10 +5d +10 +9b +10 +84 +10 +12 +20 +cd +00 +d1 +00 +c0 +00 +54 +10 +ff +00 +67 +10 +c6 +00 +f9 +00 +74 +00 +ab +00 +5f +00 +95 +00 +8b +00 +c8 +00 +f8 +10 +32 +50 +59 +40 +71 +70 +a5 +40 +50 +60 +a9 +30 +d0 +50 +66 +20 +9c +20 +d6 +00 +c8 +00 +7b +00 +9a +00 +65 +00 +89 +00 +82 +00 +d9 +00 +73 +00 +c4 +00 +8f +00 +f3 +00 +a1 +10 +3b +20 +ba +00 +8c +00 +79 +00 +8d +00 +62 +00 +72 +00 +41 +00 +6b +00 +40 +00 +77 +00 +40 +00 +73 +00 +44 +00 +6b +00 +43 +00 +6d +00 +40 +00 +6d +00 +3a +00 +60 +00 +30 +00 +77 +00 +37 +00 +6c +00 +3e +00 +61 +00 +41 +00 +60 +00 +33 +00 +5b +00 +50 +00 +82 +00 +67 +00 +a7 +00 +82 +00 +21 +10 +c8 +00 +9e +10 +78 +20 +3e +50 +09 +20 +85 +30 +91 +20 +23 +60 +a8 +20 +2f +30 +d4 +00 +86 +00 +46 +00 +67 +00 +35 +00 +63 +00 +38 +00 +5b +00 +46 +00 +6e +00 +39 +00 +65 +00 +3c +00 +74 +00 +58 +00 +b1 +00 +98 +00 +5d +10 +7c +00 +71 +00 +36 +00 +59 +00 +37 +00 +5b +00 +35 +00 +66 +00 +56 +00 +b1 +00 +6d +00 +b3 +00 +67 +00 +71 +00 +3b +00 +5c +00 +43 +00 +77 +00 +6a +00 +13 +10 +f3 +00 +d5 +10 +52 +30 +72 +60 +3e +30 +d3 +30 +29 +30 +01 +50 +f2 +30 +e6 +70 +0d +40 +f2 +50 +1d +20 +15 +20 +05 +10 +f1 +00 +9d +00 +c6 +00 +9d +00 +07 +10 +dd +00 +4e +10 +ec +10 +01 +30 +04 +10 +eb +00 +a5 +00 +c4 +00 +c9 +00 +47 +10 +e8 +00 +dd +10 +09 +10 +5f +10 +d0 +00 +f0 +00 +c6 +00 +05 +10 +c7 +00 +f6 +00 +78 +00 +aa +00 +4e +00 +71 +00 +40 +00 +83 +00 +43 +00 +6f +00 +3d +00 +77 +00 +32 +00 +7b +00 +3d +00 +74 +00 +34 +00 +4a +00 +2f +00 +74 +00 +30 +00 +4d +00 +34 +00 +66 +00 +39 +00 +7f +00 +33 +00 +6d +00 +35 +00 +64 +00 +3f +00 +59 +00 +3f +00 +7d +00 +39 +00 +62 +00 +41 +00 +67 +00 +42 +00 +6d +00 +3c +00 +70 +00 +3d +00 +75 +00 +60 +00 +c5 +00 +78 +00 +d1 +00 +80 +00 +d1 +00 +6c +00 +c8 +00 +9d +00 +f8 +00 +60 +10 +ba +40 +20 +30 +d3 +60 +b5 +30 +67 +60 +4f +30 +30 +50 +c7 +20 +68 +20 +0e +10 +38 +10 +5a +10 +6c +10 +d4 +10 +af +30 +85 +10 +f1 +10 +a8 +20 +85 +60 +19 +40 +5c +80 +70 +50 +c5 +90 +40 +60 +7d +a0 +73 +60 +e2 +80 +6d +60 +bd +90 +bc +60 +a6 +90 +4f +60 +81 +90 +c0 +50 +8f +80 +fd +20 +53 +20 +f6 +10 +53 +20 +3a +20 +02 +30 +e5 +10 +4f +20 +69 +10 +15 +20 +75 +10 +1d +30 +e6 +20 +6a +40 +ec +20 +c6 +40 +49 +20 +7f +30 +77 +10 +be +10 +e2 +00 +ef +00 +7d +00 +b2 +00 +79 +00 +cd +00 +ee +00 +c6 +20 +b0 +10 +e7 +10 +c7 +00 +06 +10 +aa +00 +1c +10 +b8 +00 +c4 +00 +7d +00 +96 +00 +4d +00 +78 +00 +4a +00 +84 +00 +5d +00 +a3 +00 +59 +00 +99 +00 +4f +00 +7a +00 +49 +00 +8c +00 +49 +00 +a4 +00 +5e +00 +c2 +00 +6b +00 +01 +10 +6f +00 +af +00 +4e +00 +5e +00 +39 +00 +57 +00 +37 +00 +68 +00 +47 +00 +6c +00 +4a +00 +a8 +00 +45 +00 +81 +00 +3b +00 +54 +00 +30 +00 +56 +00 +26 +00 +55 +00 +2c +00 +41 +00 +27 +00 +57 +00 +2a +00 +5a +00 +31 +00 +5f +00 +36 +00 +57 +00 +31 +00 +47 +00 +2c +00 +3b +00 +1c +00 +31 +00 +24 +00 +35 +00 +24 +00 +34 +00 +25 +00 +3d +00 +27 +00 +37 +00 +1b +00 +42 +00 +20 +00 +41 +00 +1e +00 +40 +00 +26 +00 +4f +00 +29 +00 +4d +00 +49 +00 +73 +00 +57 +00 +78 +00 +58 +00 +5f +00 +31 +00 +51 +00 +2b +00 +4e +00 +2f +00 +4d +00 +29 +00 +56 +00 +26 +00 +3f +00 +27 +00 +54 +00 +2d +00 +4e +00 +29 +00 +4c +00 +41 +00 +d4 +00 +6c +00 +a2 +00 +4c +00 +6d +00 +40 +00 +63 +00 +3c +00 +53 +00 +33 +00 +54 +00 +4e +00 +99 +00 +90 +00 +5a +20 +a5 +00 +ce +00 +5b +00 +6f +00 +6e +00 +a8 +00 +8c +00 +87 +00 +3a +00 +72 +00 +2e +00 +56 +00 +2c +00 +53 +00 +2c +00 +4d +00 +2d +00 +3d +00 +2c +00 +50 +00 +30 +00 +66 +00 +35 +00 +5c +00 +44 +00 +64 +00 +41 +00 +65 +00 +4b +00 +8e +00 +88 +00 +45 +20 +61 +10 +5e +10 +1b +10 +f7 +10 +b4 +20 +f8 +20 +cb +20 +95 +50 +29 +40 +95 +60 +94 +30 +f4 +20 +69 +20 +4a +30 +3b +30 +b3 +40 +e5 +10 +bc +10 +0f +10 +db +00 +9d +00 +a9 +00 +cf +00 +4c +20 +8e +20 +86 +50 +8a +10 +64 +10 +c6 +00 +86 +00 +6c +00 +b3 +00 +74 +00 +74 +00 +63 +00 +92 +00 +5e +00 +78 +00 +9d +00 +c5 +10 +30 +10 +27 +30 +88 +10 +2e +20 +8b +10 +e0 +00 +76 +00 +6e +00 +46 +00 +5f +00 +41 +00 +00 +00 +00 +00 +3f +00 +a7 +00 +41 +00 +bc +00 +45 +00 +d0 +00 +6e +00 +31 +20 +49 +10 +86 +30 +8e +00 +f5 +00 +49 +00 +f6 +00 +ae +00 +3e +40 +5f +20 +3a +70 +bf +20 +86 +70 +8f +20 +ac +60 +ad +10 +9a +20 +c3 +00 +e1 +20 +06 +10 +22 +30 +91 +00 +20 +10 +49 +00 +c8 +00 +58 +00 +30 +10 +4b +00 +ee +00 +4e +00 +ae +00 +3d +00 +99 +00 +40 +00 +af +00 +50 +00 +ab +10 +23 +20 +49 +70 +ed +20 +fe +70 +99 +20 +6d +60 +5b +20 +8d +40 +8d +00 +21 +10 +3f +00 +9a +00 +3f +00 +9e +00 +35 +00 +99 +00 +59 +00 +09 +10 +3e +00 +b5 +00 +c7 +00 +9e +30 +08 +10 +5f +10 +33 +00 +7d +00 +30 +00 +7a +00 +25 +00 +67 +00 +24 +00 +6a +00 +28 +00 +6c +00 +24 +00 +68 +00 +27 +00 +7b +00 +29 +00 +6b +00 +1d +00 +60 +00 +1f +00 +6b +00 +21 +00 +58 +00 +25 +00 +5c +00 +1b +00 +53 +00 +22 +00 +56 +00 +25 +00 +74 +00 +3a +00 +a3 +00 +35 +00 +9b +00 +44 +00 +f6 +00 +92 +00 +6c +30 +b4 +10 +00 +30 +a1 +00 +59 +20 +dc +10 +4b +40 +cc +00 +59 +10 +2a +00 +65 +00 +26 +00 +5c +00 +26 +00 +66 +00 +24 +00 +60 +00 +53 +00 +7f +00 +20 +00 +59 +00 +27 +00 +6a +00 +2d +00 +a1 +00 +42 +00 +a5 +00 +25 +00 +56 +00 +1f +00 +62 +00 +24 +00 +49 +00 +23 +00 +64 +00 +21 +00 +a4 +00 +2f +00 +68 +00 +21 +00 +5d +00 +22 +00 +57 +00 +2d +00 +69 +00 +3a +00 +e9 +00 +2e +10 +c6 +50 +a0 +20 +e0 +50 +e3 +10 +e6 +40 +1c +20 +e5 +60 +92 +20 +4b +60 +7c +10 +f9 +20 +89 +00 +c0 +10 +60 +00 +ce +00 +37 +00 +be +00 +43 +00 +da +00 +d3 +00 +89 +30 +2f +10 +db +10 +47 +00 +b2 +00 +44 +00 +bc +00 +58 +00 +32 +10 +6d +00 +12 +10 +6e +00 +ee +00 +58 +00 +c8 +00 +44 +00 +cf +00 +43 +00 +ad +00 +38 +00 +76 +00 +29 +00 +70 +00 +37 +00 +81 +00 +30 +00 +70 +00 +29 +00 +6a +00 +2b +00 +97 +00 +23 +00 +5e +00 +1e +00 +52 +00 +1f +00 +63 +00 +23 +00 +65 +00 +29 +00 +65 +00 +2a +00 +6f +00 +26 +00 +66 +00 +21 +00 +65 +00 +1f +00 +69 +00 +3d +00 +77 +00 +2a +00 +69 +00 +29 +00 +6e +00 +28 +00 +75 +00 +29 +00 +63 +00 +36 +00 +a8 +00 +3d +00 +a1 +00 +33 +00 +ac +00 +42 +00 +9a +00 +48 +00 +b1 +00 +69 +00 +7a +10 +c5 +00 +86 +30 +be +10 +40 +50 +c7 +20 +f6 +60 +8c +10 +fd +30 +f4 +00 +c1 +10 +b5 +00 +6a +20 +13 +10 +93 +20 +3b +10 +54 +20 +a6 +00 +57 +20 +53 +10 +d3 +30 +47 +20 +6a +60 +79 +20 +32 +80 +99 +30 +b2 +80 +30 +30 +06 +a0 +af +30 +f9 +90 +a1 +30 +10 +a0 +9d +30 +ae +90 +d9 +20 +a7 +40 +c5 +00 +3c +20 +6e +10 +f1 +40 +e0 +00 +36 +20 +a4 +00 +a6 +10 +8e +00 +e6 +10 +cb +10 +f8 +50 +d5 +10 +ee +20 +dd +00 +8c +20 +cd +00 +18 +20 +78 +00 +28 +10 +52 +00 +b9 +00 +42 +00 +b3 +00 +6b +00 +a1 +10 +af +00 +72 +20 +95 +00 +33 +10 +4e +00 +f1 +00 +57 +00 +01 +10 +5c +00 +b4 +00 +38 +00 +72 +00 +32 +00 +70 +00 +2a +00 +8b +00 +35 +00 +88 +00 +30 +00 +81 +00 +34 +00 +74 +00 +2e +00 +76 +00 +32 +00 +8f +00 +37 +00 +a9 +00 +3c +00 +a0 +00 +33 +00 +6e +00 +2b +00 +65 +00 +23 +00 +5c +00 +26 +00 +61 +00 +27 +00 +67 +00 +2b +00 +6a +00 +27 +00 +66 +00 +1c +00 +56 +00 +21 +00 +59 +00 +20 +00 +4e +00 +20 +00 +52 +00 +21 +00 +5a +00 +26 +00 +5e +00 +24 +00 +5e +00 +21 +00 +53 +00 +18 +00 +3c +00 +17 +00 +2f +00 +16 +00 +2e +00 +1b +00 +37 +00 +15 +00 +3f +00 +1e +00 +4a +00 +1b +00 +34 +00 +1d +00 +43 +00 +1a +00 +4a +00 +1b +00 +4d +00 +1e +00 +45 +00 +21 +00 +58 +00 +1b +00 +5c +00 +26 +00 +5e +00 +22 +00 +49 +00 +24 +00 +4c +00 +23 +00 +61 +00 +1d +00 +51 +00 +1a +00 +4b +00 +1e +00 +43 +00 +18 +00 +44 +00 +18 +00 +49 +00 +1d +00 +4d +00 +2d +00 +76 +00 +27 +00 +60 +00 +25 +00 +5e +00 +29 +00 +5c +00 +24 +00 +63 +00 +25 +00 +5c +00 +2e +00 +c2 +00 +56 +00 +03 +10 +36 +00 +7c +00 +27 +00 +a2 +00 +26 +10 +f3 +10 +35 +00 +6b +00 +29 +00 +69 +00 +1e +00 +56 +00 +27 +00 +4b +00 +18 +00 +49 +00 +21 +00 +63 +00 +21 +00 +4f +00 +2c +00 +80 +00 +2e +00 +61 +00 +2a +00 +6a +00 +31 +00 +81 +00 +36 +00 +ba +00 +e5 +00 +05 +20 +65 +00 +64 +10 +3d +20 +0e +70 +e6 +20 +95 +60 +f8 +10 +82 +60 +7e +20 +5d +60 +1b +20 +c7 +30 +33 +10 +36 +40 +ed +00 +f1 +10 +59 +00 +d8 +00 +38 +00 +95 +00 +37 +00 +c3 +00 +bc +00 +c0 +30 +56 +10 +6f +20 +59 +00 +c8 +00 +36 +00 +96 +00 +36 +00 +8e +00 +36 +00 +9f +00 +76 +00 +ba +00 +34 +00 +a4 +00 +5c +00 +52 +10 +95 +00 +80 +20 +6b +10 +2b +30 +a2 +00 +ef +00 +2a +00 +68 +00 +27 +00 +58 +00 +00 +00 +00 +00 +a8 +00 +70 +00 +b5 +00 +85 +00 +c8 +00 +c3 +00 +40 +10 +52 +20 +4c +40 +f0 +10 +db +10 +fa +00 +f3 +00 +ea +10 +0e +30 +38 +40 +ce +60 +38 +50 +06 +80 +47 +50 +79 +70 +33 +40 +e5 +50 +2e +30 +95 +40 +0f +30 +31 +40 +f7 +10 +df +10 +d2 +00 +b8 +00 +a2 +00 +ef +00 +b1 +00 +d9 +00 +a4 +00 +bb +00 +6d +00 +a2 +00 +6a +00 +a1 +00 +d2 +00 +0b +10 +71 +20 +2e +40 +b5 +40 +30 +80 +05 +50 +c5 +70 +9e +40 +f0 +60 +5b +20 +4a +20 +cc +00 +bd +00 +64 +00 +9d +00 +5f +00 +9e +00 +60 +00 +a9 +00 +67 +00 +bc +00 +81 +00 +e5 +00 +73 +10 +2e +20 +fe +00 +b2 +00 +69 +00 +66 +00 +46 +00 +6e +00 +39 +00 +5d +00 +39 +00 +5c +00 +40 +00 +71 +00 +42 +00 +64 +00 +46 +00 +61 +00 +3b +00 +60 +00 +3c +00 +6e +00 +38 +00 +5a +00 +32 +00 +61 +00 +39 +00 +69 +00 +41 +00 +5b +00 +3b +00 +60 +00 +6d +00 +26 +10 +94 +00 +9f +00 +5d +00 +96 +00 +7e +00 +d8 +00 +e3 +00 +a4 +10 +18 +10 +70 +10 +36 +10 +db +20 +44 +10 +c3 +10 +b0 +00 +88 +00 +51 +00 +70 +00 +3f +00 +70 +00 +40 +00 +58 +00 +30 +00 +70 +00 +35 +00 +71 +00 +3b +00 +53 +00 +3c +00 +70 +00 +6e +00 +94 +00 +66 +00 +73 +00 +38 +00 +5d +00 +30 +00 +55 +00 +36 +00 +54 +00 +4b +00 +6c +00 +5f +00 +6d +00 +4e +00 +69 +00 +45 +00 +5d +00 +36 +00 +61 +00 +53 +00 +8d +00 +6c +10 +dd +30 +b7 +30 +ad +60 +79 +20 +db +30 +25 +20 +c7 +30 +70 +30 +df +50 +d2 +20 +83 +30 +68 +10 +6a +10 +c5 +00 +45 +10 +a4 +00 +b0 +00 +7c +00 +a0 +00 +ed +00 +a2 +20 +75 +10 +b2 +20 +e2 +00 +06 +10 +85 +00 +9c +00 +69 +00 +cb +00 +a8 +00 +ed +00 +89 +00 +bb +00 +7b +00 +a6 +00 +7e +00 +e8 +00 +bf +00 +f1 +00 +6a +00 +9b +00 +48 +00 +6d +00 +38 +00 +77 +00 +3b +00 +6c +00 +31 +00 +62 +00 +2e +00 +73 +00 +3d +00 +72 +00 +36 +00 +4c +00 +33 +00 +61 +00 +36 +00 +62 +00 +32 +00 +5b +00 +31 +00 +66 +00 +2b +00 +6e +00 +3c +00 +5e +00 +36 +00 +69 +00 +40 +00 +69 +00 +34 +00 +74 +00 +32 +00 +78 +00 +34 +00 +6a +00 +40 +00 +6e +00 +3e +00 +6e +00 +5a +00 +96 +00 +61 +00 +9c +00 +63 +00 +9d +00 +98 +00 +d4 +00 +d4 +00 +fc +10 +a5 +10 +2e +20 +7a +10 +21 +20 +ec +10 +06 +50 +85 +20 +1f +40 +83 +10 +b2 +10 +1f +10 +53 +20 +7b +20 +2b +40 +51 +20 +ee +20 +8c +10 +ca +10 +88 +10 +f0 +10 +b8 +10 +ce +20 +61 +20 +fa +30 +3a +40 +3f +70 +da +50 +da +90 +e2 +60 +31 +a0 +d1 +60 +1b +a0 +b3 +60 +3b +a0 +3d +60 +d9 +70 +49 +30 +fc +20 +eb +30 +ad +60 +4f +30 +4f +30 +a0 +10 +df +10 +23 +20 +26 +20 +5c +20 +e0 +40 +c4 +30 +7e +50 +00 +20 +44 +20 +d2 +10 +18 +20 +12 +10 +36 +10 +a3 +00 +ca +00 +6d +00 +a9 +00 +6e +00 +cd +00 +8f +00 +1f +10 +9e +00 +07 +10 +a4 +00 +ce +00 +09 +10 +dd +10 +84 +10 +a2 +10 +a2 +00 +9d +00 +59 +00 +91 +00 +3e +00 +78 +00 +4f +00 +88 +00 +54 +00 +80 +00 +4c +00 +69 +00 +3f +00 +6f +00 +3b +00 +69 +00 +4b +00 +7e +00 +5a +00 +9c +00 +50 +00 +83 +00 +3e +00 +6d +00 +3c +00 +65 +00 +3f +00 +63 +00 +3e +00 +64 +00 +41 +00 +6d +00 +3a +00 +60 +00 +3b +00 +5b +00 +3d +00 +5f +00 +32 +00 +4f +00 +2a +00 +51 +00 +2f +00 +5e +00 +34 +00 +56 +00 +2e +00 +64 +00 +35 +00 +59 +00 +29 +00 +3a +00 +29 +00 +41 +00 +28 +00 +29 +00 +1a +00 +2e +00 +23 +00 +40 +00 +25 +00 +55 +00 +1e +00 +3f +00 +24 +00 +3d +00 +1e +00 +44 +00 +24 +00 +40 +00 +29 +00 +4d +00 +29 +00 +52 +00 +30 +00 +54 +00 +33 +00 +51 +00 +26 +00 +43 +00 +2b +00 +4c +00 +25 +00 +53 +00 +2c +00 +5a +00 +28 +00 +4d +00 +23 +00 +3a +00 +25 +00 +51 +00 +23 +00 +48 +00 +26 +00 +4a +00 +2d +00 +5a +00 +3f +00 +59 +00 +3f +00 +62 +00 +41 +00 +5f +00 +4b +00 +79 +00 +63 +00 +77 +00 +5e +00 +80 +00 +69 +00 +c1 +00 +7b +00 +aa +00 +90 +00 +ba +00 +a5 +00 +4e +20 +a3 +00 +d1 +00 +52 +00 +5b +00 +33 +00 +63 +00 +2b +00 +57 +00 +28 +00 +58 +00 +2d +00 +54 +00 +2b +00 +4c +00 +2a +00 +6c +00 +3d +00 +6f +00 +7d +00 +89 +00 +5b +00 +6e +00 +4f +00 +93 +00 +75 +00 +0b +10 +84 +00 +23 +10 +54 +10 +4b +40 +43 +40 +ee +80 +7c +40 +c9 +60 +e1 +20 +4f +50 +5b +30 +dc +50 +d6 +20 +a1 +40 +0a +20 +2a +30 +42 +10 +0d +10 +9e +00 +a3 +00 +5b +00 +9a +00 +92 +00 +52 +10 +c7 +10 +4a +40 +4b +20 +d0 +10 +e8 +00 +e8 +00 +00 +10 +df +00 +72 +00 +82 +00 +70 +00 +ed +00 +b6 +00 +e1 +00 +8c +00 +c7 +00 +b4 +00 +2e +10 +06 +10 +9d +20 +fd +00 +08 +10 +a7 +00 +ba +00 +47 +00 +53 +00 +36 +00 +00 +00 +00 +00 +3e +00 +bd +00 +50 +00 +c6 +00 +4d +00 +dd +00 +a9 +00 +77 +30 +a5 +10 +cd +30 +9b +00 +55 +10 +93 +00 +ef +20 +f0 +10 +56 +60 +9b +20 +d1 +70 +cd +20 +fe +70 +ab +20 +25 +70 +df +10 +05 +50 +41 +20 +5d +60 +82 +10 +1b +30 +72 +00 +01 +10 +3e +00 +d4 +00 +4d +00 +da +00 +3c +00 +c5 +00 +6f +00 +e9 +00 +43 +00 +a5 +00 +3c +00 +00 +10 +0c +10 +4b +40 +21 +20 +02 +70 +c6 +20 +d4 +70 +aa +20 +16 +70 +3f +20 +25 +40 +9b +00 +00 +10 +47 +00 +a7 +00 +51 +00 +a1 +00 +4d +00 +b8 +00 +3c +00 +a9 +00 +39 +00 +8e +00 +57 +00 +20 +20 +20 +10 +be +10 +3c +00 +92 +00 +2b +00 +74 +00 +29 +00 +6e +00 +26 +00 +69 +00 +26 +00 +6e +00 +26 +00 +5e +00 +1f +00 +5a +00 +26 +00 +77 +00 +24 +00 +57 +00 +20 +00 +67 +00 +25 +00 +63 +00 +22 +00 +62 +00 +22 +00 +47 +00 +26 +00 +61 +00 +20 +00 +72 +00 +9c +00 +55 +10 +38 +00 +96 +00 +39 +00 +95 +00 +38 +00 +ea +00 +5c +00 +0c +10 +53 +00 +27 +10 +7c +00 +81 +10 +5c +00 +cc +00 +30 +00 +88 +00 +44 +00 +7e +00 +24 +00 +6b +00 +22 +00 +5f +00 +25 +00 +5b +00 +24 +00 +64 +00 +1e +00 +5f +00 +22 +00 +90 +00 +76 +00 +eb +00 +32 +00 +65 +00 +1d +00 +57 +00 +21 +00 +52 +00 +1b +00 +5e +00 +21 +00 +61 +00 +2b +00 +6c +00 +24 +00 +5d +00 +23 +00 +4d +00 +1d +00 +b1 +00 +45 +00 +ae +10 +f6 +10 +01 +60 +7d +10 +1a +30 +ad +00 +b8 +10 +66 +10 +a8 +40 +49 +10 +28 +30 +a3 +00 +93 +10 +52 +00 +c5 +00 +46 +00 +d1 +00 +45 +00 +9e +00 +31 +00 +d7 +00 +92 +00 +80 +10 +76 +00 +17 +10 +36 +00 +87 +00 +32 +00 +83 +00 +32 +00 +a0 +00 +2c +00 +a1 +00 +3a +00 +8e +00 +39 +00 +8d +00 +4a +00 +ec +00 +5c +00 +a6 +00 +31 +00 +7f +00 +23 +00 +68 +00 +2b +00 +62 +00 +2a +00 +63 +00 +23 +00 +6e +00 +28 +00 +63 +00 +35 +00 +5d +00 +1e +00 +4d +00 +1c +00 +49 +00 +1d +00 +54 +00 +22 +00 +5f +00 +20 +00 +5b +00 +24 +00 +6a +00 +26 +00 +62 +00 +22 +00 +55 +00 +25 +00 +58 +00 +27 +00 +61 +00 +25 +00 +6a +00 +34 +00 +67 +00 +2d +00 +7e +00 +2f +00 +85 +00 +35 +00 +8f +00 +39 +00 +83 +00 +49 +00 +50 +10 +0d +10 +e9 +10 +86 +00 +35 +20 +4c +10 +56 +20 +8f +00 +eb +10 +cd +00 +b6 +20 +e9 +00 +20 +20 +75 +00 +5b +10 +e7 +00 +2c +40 +c5 +10 +56 +40 +8c +10 +bd +20 +b2 +00 +03 +20 +c7 +00 +00 +20 +9f +00 +5d +20 +3a +10 +78 +40 +2c +20 +20 +80 +99 +30 +14 +a0 +a5 +30 +3a +a0 +ab +30 +8c +a0 +be +30 +1d +a0 +7a +20 +b5 +50 +cd +10 +d0 +60 +b9 +20 +45 +50 +e9 +00 +32 +20 +bc +00 +f6 +30 +ea +10 +f5 +30 +4e +20 +6d +60 +4d +10 +b5 +20 +bb +00 +ed +20 +ab +00 +66 +10 +5f +00 +e4 +00 +4c +00 +bf +00 +3e +00 +ac +00 +46 +00 +cc +00 +5c +00 +f1 +00 +48 +00 +cf +00 +43 +00 +55 +10 +e2 +10 +fe +40 +bc +00 +2c +10 +43 +00 +a3 +00 +2f +00 +86 +00 +33 +00 +77 +00 +3c +00 +d1 +00 +44 +00 +84 +00 +2d +00 +65 +00 +23 +00 +6e +00 +29 +00 +79 +00 +30 +00 +78 +00 +27 +00 +7d +00 +2b +00 +69 +00 +2a +00 +5c +00 +26 +00 +6b +00 +28 +00 +74 +00 +25 +00 +70 +00 +2b +00 +67 +00 +2b +00 +51 +00 +24 +00 +5f +00 +28 +00 +64 +00 +22 +00 +5f +00 +1d +00 +53 +00 +2c +00 +63 +00 +20 +00 +5c +00 +2a +00 +57 +00 +1e +00 +49 +00 +18 +00 +38 +00 +15 +00 +30 +00 +0f +00 +34 +00 +15 +00 +3a +00 +1a +00 +4c +00 +1c +00 +3e +00 +1c +00 +41 +00 +1f +00 +33 +00 +1e +00 +42 +00 +24 +00 +4f +00 +21 +00 +59 +00 +1f +00 +5e +00 +2a +00 +4f +00 +1f +00 +4c +00 +20 +00 +5a +00 +23 +00 +4f +00 +1b +00 +55 +00 +1a +00 +45 +00 +18 +00 +4a +00 +1f +00 +42 +00 +1a +00 +48 +00 +18 +00 +3b +00 +18 +00 +4c +00 +1f +00 +5d +00 +1d +00 +62 +00 +1e +00 +64 +00 +5c +00 +a6 +00 +6f +00 +54 +10 +85 +00 +a2 +00 +26 +00 +78 +00 +36 +00 +cc +00 +e8 +00 +f0 +10 +d1 +00 +1e +20 +54 +00 +c7 +00 +32 +00 +7d +00 +27 +00 +5f +00 +26 +00 +62 +00 +20 +00 +57 +00 +26 +00 +49 +00 +26 +00 +4c +00 +1d +00 +53 +00 +29 +00 +77 +00 +7f +00 +16 +20 +3d +00 +7e +00 +2d +00 +7c +00 +52 +00 +10 +10 +5f +00 +eb +00 +4f +00 +35 +10 +bc +10 +db +70 +69 +30 +9f +60 +3d +10 +fd +20 +f3 +00 +74 +30 +1a +10 +a8 +20 +d2 +00 +34 +20 +d6 +00 +8f +10 +63 +00 +c2 +00 +41 +00 +9e +00 +40 +00 +a7 +00 +50 +00 +bb +10 +3f +20 +1d +60 +a9 +00 +f5 +00 +56 +00 +91 +10 +8f +00 +fe +00 +33 +00 +a8 +00 +b7 +00 +b8 +10 +50 +00 +ae +00 +37 +00 +a8 +00 +49 +00 +0e +10 +df +00 +98 +10 +4c +00 +d2 +00 +34 +00 +80 +00 +29 +00 +5d +00 +00 +00 +00 +00 +a5 +00 +7b +00 +c3 +00 +7d +00 +ce +00 +ff +00 +bf +10 +b1 +20 +03 +50 +a8 +20 +15 +30 +4d +20 +c7 +30 +be +30 +60 +60 +eb +40 +97 +70 +5b +50 +fd +70 +51 +50 +e1 +70 +cc +30 +8a +40 +6b +30 +76 +60 +9c +30 +b7 +40 +af +10 +77 +10 +ee +00 +61 +10 +ed +00 +db +00 +83 +00 +ad +00 +93 +00 +a2 +10 +f3 +00 +fd +00 +8e +00 +ba +00 +16 +10 +42 +30 +91 +30 +10 +70 +f2 +30 +05 +60 +9a +30 +1f +50 +fe +20 +ee +30 +7f +10 +88 +10 +9f +00 +b4 +00 +69 +00 +a0 +00 +5a +00 +b6 +00 +5d +00 +90 +00 +62 +00 +8a +00 +71 +00 +b3 +00 +22 +10 +38 +20 +a8 +10 +5b +10 +8a +00 +89 +00 +4b +00 +68 +00 +4d +00 +66 +00 +4b +00 +71 +00 +44 +00 +68 +00 +42 +00 +70 +00 +5f +00 +85 +00 +56 +00 +83 +00 +3e +00 +5c +00 +3b +00 +56 +00 +3a +00 +6b +00 +36 +00 +60 +00 +3a +00 +61 +00 +3b +00 +5d +00 +64 +00 +e9 +00 +8e +00 +e8 +00 +6a +00 +9c +00 +60 +00 +9a +00 +90 +00 +d2 +00 +af +00 +ba +00 +b1 +00 +e3 +00 +b3 +00 +f0 +00 +7d +00 +70 +00 +61 +00 +3f +10 +6a +00 +7e +00 +41 +00 +60 +00 +38 +00 +59 +00 +3c +00 +59 +00 +37 +00 +64 +00 +3a +00 +63 +00 +5b +00 +ae +00 +5b +00 +9f +00 +3f +00 +5b +00 +34 +00 +4e +00 +47 +00 +5e +00 +42 +00 +61 +00 +3d +00 +5f +00 +38 +00 +5c +00 +34 +00 +54 +00 +3e +00 +5f +00 +72 +00 +ee +00 +89 +10 +e9 +30 +7d +20 +1f +40 +50 +20 +01 +20 +9c +10 +05 +30 +0d +20 +b4 +20 +82 +10 +85 +10 +dc +00 +c8 +00 +7a +00 +a0 +00 +5d +00 +a4 +00 +54 +00 +7f +00 +72 +00 +b5 +00 +83 +00 +ef +00 +7e +00 +98 +00 +62 +00 +77 +00 +75 +00 +a5 +00 +81 +00 +7c +00 +63 +00 +78 +00 +5f +00 +7c +00 +63 +00 +ea +00 +5f +00 +92 +00 +4c +00 +75 +00 +46 +00 +65 +00 +3a +00 +6c +00 +31 +00 +6b +00 +34 +00 +5b +00 +35 +00 +5a +00 +3f +00 +76 +00 +3d +00 +58 +00 +38 +00 +48 +00 +31 +00 +43 +00 +2f +00 +5d +00 +38 +00 +57 +00 +37 +00 +6a +00 +34 +00 +5b +00 +3f +00 +60 +00 +38 +00 +5f +00 +37 +00 +6c +00 +39 +00 +62 +00 +3c +00 +65 +00 +39 +00 +65 +00 +3d +00 +7f +00 +4c +00 +82 +00 +54 +00 +8d +00 +68 +00 +b3 +00 +9b +00 +01 +20 +d0 +00 +7e +10 +08 +10 +cd +10 +26 +10 +8f +10 +64 +10 +c3 +10 +5d +10 +de +10 +37 +10 +63 +10 +fa +00 +6f +10 +16 +20 +28 +50 +ba +20 +09 +60 +c9 +30 +27 +40 +c2 +20 +5f +40 +a8 +10 +b5 +10 +8c +10 +17 +20 +17 +20 +01 +30 +e7 +30 +07 +80 +54 +60 +50 +a0 +07 +70 +97 +a0 +17 +70 +8f +a0 +ab +60 +92 +90 +e9 +50 +2f +70 +75 +50 +5d +80 +af +30 +7d +30 +02 +20 +2a +20 +b6 +30 +f5 +60 +b9 +40 +8b +70 +2b +30 +61 +30 +e2 +10 +e0 +10 +50 +10 +5e +10 +c7 +00 +fc +00 +81 +00 +ba +00 +64 +00 +a9 +00 +63 +00 +b0 +00 +75 +00 +c7 +00 +7d +00 +ca +00 +7b +00 +b0 +00 +dd +00 +4a +30 +66 +10 +8d +20 +f5 +00 +58 +10 +8f +00 +96 +00 +54 +00 +72 +00 +48 +00 +88 +00 +69 +00 +cc +00 +5a +00 +89 +00 +4a +00 +78 +00 +42 +00 +74 +00 +4e +00 +7c +00 +49 +00 +74 +00 +4c +00 +6e +00 +44 +00 +6d +00 +4f +00 +80 +00 +88 +00 +8d +00 +72 +00 +7c +00 +51 +00 +69 +00 +41 +00 +83 +00 +a0 +00 +8c +00 +87 +00 +6f +00 +49 +00 +5c +00 +30 +00 +4f +00 +2a +00 +5b +00 +37 +00 +5b +00 +31 +00 +57 +00 +2a +00 +50 +00 +2e +00 +3d +00 +1d +00 +43 +00 +20 +00 +35 +00 +27 +00 +3d +00 +2b +00 +50 +00 +2c +00 +4a +00 +29 +00 +35 +00 +21 +00 +34 +00 +23 +00 +44 +00 +2a +00 +49 +00 +32 +00 +48 +00 +2c +00 +43 +00 +25 +00 +5e +00 +21 +00 +54 +00 +20 +00 +4e +00 +2c +00 +51 +00 +27 +00 +55 +00 +31 +00 +4f +00 +27 +00 +48 +00 +2b +00 +49 +00 +2d +00 +44 +00 +34 +00 +3e +00 +24 +00 +4a +00 +2e +00 +47 +00 +3b +00 +53 +00 +2e +00 +59 +00 +4b +00 +cf +00 +6e +00 +de +00 +aa +00 +7e +20 +8f +00 +88 +00 +5e +00 +71 +00 +6c +00 +e5 +00 +87 +00 +42 +10 +90 +00 +03 +10 +60 +00 +91 +00 +4a +00 +5e +00 +36 +00 +4f +00 +37 +00 +5b +00 +25 +00 +55 +00 +33 +00 +4c +00 +2b +00 +4f +00 +3a +00 +72 +00 +76 +00 +3f +10 +c7 +00 +f8 +00 +77 +00 +74 +00 +52 +00 +b7 +00 +73 +00 +d6 +00 +88 +00 +de +00 +b2 +10 +b0 +30 +cc +20 +30 +60 +90 +20 +cc +20 +ec +10 +25 +20 +78 +10 +c0 +10 +4a +10 +89 +10 +07 +10 +46 +10 +c4 +00 +d5 +00 +75 +00 +a1 +00 +7f +00 +a0 +00 +a5 +00 +da +00 +0b +10 +6d +30 +b3 +10 +4d +20 +ea +00 +aa +00 +75 +00 +d0 +00 +67 +00 +9b +00 +69 +00 +24 +10 +89 +00 +d2 +00 +81 +00 +95 +00 +83 +00 +b0 +00 +fa +00 +ab +10 +6f +10 +3c +10 +d1 +00 +98 +00 +6e +00 +7b +00 +39 +00 +00 +00 +00 +00 +4a +00 +a4 +00 +42 +00 +b7 +00 +54 +00 +f9 +00 +d7 +00 +11 +40 +da +10 +c1 +40 +bf +10 +dc +30 +f0 +10 +48 +60 +82 +20 +7a +70 +b9 +20 +0b +80 +ce +20 +c8 +70 +9f +20 +e7 +50 +3a +10 +41 +40 +83 +20 +5a +60 +21 +10 +46 +20 +8c +00 +2b +10 +23 +10 +c1 +20 +63 +00 +c1 +00 +38 +00 +b6 +00 +69 +00 +3e +10 +62 +00 +d6 +00 +47 +00 +02 +10 +f4 +00 +df +40 +e5 +10 +89 +40 +27 +10 +fd +30 +b4 +10 +fc +40 +f7 +00 +05 +20 +67 +00 +c3 +00 +3f +00 +a4 +00 +3c +00 +99 +00 +2b +00 +89 +00 +2d +00 +82 +00 +3b +00 +a0 +00 +3d +00 +3a +10 +5f +10 +90 +30 +88 +00 +d0 +00 +30 +00 +8e +00 +28 +00 +70 +00 +2e +00 +6f +00 +27 +00 +67 +00 +2f +00 +75 +00 +2e +00 +92 +00 +43 +00 +16 +10 +3b +00 +76 +00 +24 +00 +5c +00 +2f +00 +6f +00 +25 +00 +73 +00 +2a +00 +8a +00 +28 +00 +65 +00 +1f +00 +71 +00 +78 +00 +7f +10 +4e +00 +a3 +00 +39 +00 +98 +00 +2e +00 +90 +00 +35 +00 +a4 +00 +2f +00 +97 +00 +3c +00 +ba +00 +30 +00 +8d +00 +21 +00 +6a +00 +43 +00 +aa +00 +2e +00 +6b +00 +2a +00 +6a +00 +24 +00 +59 +00 +24 +00 +5d +00 +1d +00 +62 +00 +21 +00 +6d +00 +24 +00 +6a +00 +2b +00 +63 +00 +27 +00 +5b +00 +23 +00 +63 +00 +23 +00 +68 +00 +1d +00 +60 +00 +1e +00 +60 +00 +1e +00 +4d +00 +22 +00 +40 +00 +1f +00 +72 +00 +40 +00 +9f +10 +81 +10 +1c +40 +db +10 +ed +40 +2c +10 +5a +20 +b6 +00 +31 +20 +90 +00 +a5 +10 +4e +00 +b1 +00 +33 +00 +90 +00 +2b +00 +8a +00 +2b +00 +7e +00 +26 +00 +7e +00 +32 +00 +bf +00 +36 +00 +8d +00 +2d +00 +6b +00 +31 +00 +b6 +00 +6f +00 +fa +00 +36 +00 +8f +00 +37 +00 +90 +00 +38 +00 +93 +00 +3c +00 +8b +00 +38 +00 +7e +00 +28 +00 +6a +00 +28 +00 +72 +00 +2e +00 +6b +00 +2b +00 +54 +00 +25 +00 +7c +00 +2c +00 +65 +00 +24 +00 +5b +00 +22 +00 +53 +00 +1e +00 +50 +00 +19 +00 +5b +00 +24 +00 +6b +00 +29 +00 +60 +00 +21 +00 +58 +00 +25 +00 +68 +00 +2c +00 +59 +00 +22 +00 +5b +00 +29 +00 +73 +00 +29 +00 +6d +00 +2b +00 +6c +00 +2d +00 +73 +00 +2c +00 +6b +00 +30 +00 +7b +00 +2d +00 +7f +00 +3d +00 +c9 +00 +58 +00 +f1 +00 +53 +00 +1d +10 +74 +00 +7c +10 +a3 +00 +fa +10 +b5 +00 +01 +20 +85 +00 +84 +10 +7c +00 +32 +10 +70 +00 +27 +20 +50 +10 +48 +40 +42 +20 +70 +70 +5d +20 +7f +40 +1d +10 +35 +20 +91 +00 +5d +10 +87 +00 +eb +10 +34 +10 +c4 +50 +22 +30 +b0 +90 +db +30 +9c +a0 +e7 +30 +c8 +a0 +b0 +30 +42 +a0 +8a +30 +91 +90 +33 +30 +fb +80 +28 +30 +e5 +60 +25 +10 +8e +20 +a8 +10 +86 +60 +2c +30 +81 +80 +09 +30 +91 +50 +ef +00 +32 +20 +b8 +00 +c3 +10 +63 +00 +03 +10 +53 +00 +c9 +00 +37 +00 +a8 +00 +40 +00 +a9 +00 +39 +00 +a3 +00 +42 +00 +b8 +00 +3d +00 +a4 +00 +3e +00 +e2 +00 +a8 +00 +dd +10 +b0 +00 +89 +10 +5b +00 +c6 +00 +3e +00 +95 +00 +37 +00 +88 +00 +37 +00 +93 +00 +3d +00 +95 +00 +2d +00 +89 +00 +33 +00 +82 +00 +2d +00 +7a +00 +31 +00 +78 +00 +34 +00 +63 +00 +2a +00 +5b +00 +26 +00 +7d +00 +5e +00 +91 +10 +dc +00 +f7 +00 +36 +00 +88 +00 +29 +00 +64 +00 +3d +00 +71 +10 +b1 +00 +9a +10 +46 +00 +81 +00 +1c +00 +56 +00 +28 +00 +5d +00 +1f +00 +59 +00 +26 +00 +54 +00 +20 +00 +55 +00 +13 +00 +46 +00 +10 +00 +2e +00 +16 +00 +36 +00 +14 +00 +35 +00 +19 +00 +51 +00 +17 +00 +46 +00 +1a +00 +4b +00 +16 +00 +40 +00 +1b +00 +31 +00 +19 +00 +48 +00 +25 +00 +55 +00 +18 +00 +51 +00 +1b +00 +4a +00 +20 +00 +4b +00 +1d +00 +42 +00 +1d +00 +40 +00 +18 +00 +4a +00 +20 +00 +56 +00 +22 +00 +4e +00 +1f +00 +47 +00 +1e +00 +3c +00 +1e +00 +40 +00 +19 +00 +48 +00 +23 +00 +4c +00 +1c +00 +47 +00 +1a +00 +4f +00 +21 +00 +78 +00 +43 +00 +a9 +00 +5c +00 +00 +10 +59 +00 +bb +00 +2c +00 +74 +00 +24 +00 +63 +00 +3f +00 +99 +00 +52 +00 +af +00 +44 +00 +95 +00 +28 +00 +6c +00 +28 +00 +4e +00 +21 +00 +5a +00 +25 +00 +5e +00 +1f +00 +54 +00 +22 +00 +51 +00 +21 +00 +50 +00 +2b +00 +d4 +00 +f7 +00 +3a +10 +43 +00 +9f +00 +28 +00 +74 +00 +33 +00 +8f +00 +41 +00 +b4 +00 +74 +00 +62 +30 +c3 +10 +80 +30 +f3 +00 +ec +20 +e3 +00 +c5 +10 +70 +00 +6f +10 +64 +00 +42 +10 +4c +00 +f7 +00 +53 +00 +e1 +00 +43 +00 +b2 +00 +49 +00 +c1 +00 +54 +00 +b0 +00 +49 +00 +fc +00 +91 +00 +c6 +10 +77 +00 +13 +10 +2e +00 +99 +00 +36 +00 +90 +00 +3f +00 +8a +00 +41 +00 +a8 +00 +3d +00 +8d +00 +29 +00 +7c +00 +38 +00 +55 +10 +54 +10 +bc +20 +1f +10 +6b +10 +44 +00 +9e +00 +33 +00 +67 +00 +00 +00 +00 +00 +b9 +00 +80 +00 +c7 +00 +88 +00 +c5 +00 +11 +10 +fc +10 +c7 +20 +6d +50 +78 +30 +a4 +50 +4a +30 +4a +50 +5f +40 +5e +70 +17 +50 +cc +70 +73 +50 +2f +80 +ca +40 +1f +70 +b4 +20 +38 +30 +49 +30 +2e +60 +81 +20 +0c +30 +1e +10 +37 +10 +d7 +00 +56 +10 +d7 +00 +6d +10 +9f +00 +ab +00 +79 +00 +c1 +00 +77 +00 +ba +00 +8f +00 +d9 +00 +77 +10 +87 +30 +8a +20 +81 +30 +ee +20 +cd +20 +f5 +20 +29 +30 +e0 +10 +34 +20 +16 +10 +17 +10 +81 +00 +a8 +00 +62 +00 +8d +00 +62 +00 +9a +00 +62 +00 +91 +00 +5d +00 +80 +00 +6d +00 +b1 +00 +8a +10 +68 +40 +4e +20 +2f +30 +e5 +00 +aa +00 +58 +00 +7c +00 +46 +00 +82 +00 +4f +00 +6b +00 +3d +00 +81 +00 +49 +00 +74 +00 +56 +00 +bc +00 +8e +00 +e6 +00 +5d +00 +5c +00 +3b +00 +55 +00 +41 +00 +6f +00 +41 +00 +60 +00 +4b +00 +97 +00 +44 +00 +5d +00 +4f +00 +89 +00 +58 +00 +ba +00 +52 +00 +87 +00 +48 +00 +71 +00 +66 +00 +86 +00 +81 +00 +29 +10 +99 +00 +9e +00 +82 +00 +96 +00 +67 +00 +78 +00 +44 +00 +72 +00 +42 +00 +6c +00 +3f +00 +6a +00 +60 +00 +7a +00 +39 +00 +63 +00 +2a +00 +5f +00 +97 +00 +b8 +00 +58 +00 +76 +00 +42 +00 +69 +00 +35 +00 +66 +00 +5f +00 +7a +00 +e6 +00 +25 +10 +68 +00 +74 +00 +37 +00 +57 +00 +35 +00 +5a +00 +30 +00 +52 +00 +32 +00 +57 +00 +4a +00 +94 +00 +f2 +00 +f9 +10 +c4 +20 +84 +50 +18 +20 +b9 +20 +59 +10 +a9 +10 +33 +10 +83 +10 +d8 +00 +d7 +00 +6c +00 +7e +00 +56 +00 +6d +00 +50 +00 +6e +00 +4e +00 +73 +00 +44 +00 +70 +00 +59 +00 +7d +00 +67 +00 +62 +00 +45 +00 +6c +00 +4d +00 +b7 +00 +66 +00 +a0 +00 +47 +00 +71 +00 +51 +00 +77 +00 +3f +00 +7a +00 +48 +00 +80 +00 +3f +00 +5d +00 +30 +00 +5f +00 +37 +00 +5f +00 +36 +00 +6b +00 +3f +00 +68 +00 +3f +00 +75 +00 +35 +00 +6e +00 +33 +00 +5a +00 +30 +00 +64 +00 +34 +00 +53 +00 +31 +00 +4b +00 +2b +00 +65 +00 +37 +00 +69 +00 +3f +00 +6b +00 +38 +00 +67 +00 +2f +00 +60 +00 +32 +00 +58 +00 +34 +00 +6c +00 +30 +00 +61 +00 +38 +00 +6d +00 +42 +00 +81 +00 +4a +00 +76 +00 +56 +00 +84 +00 +5b +00 +9d +00 +68 +00 +c0 +00 +74 +00 +d4 +00 +9a +00 +f7 +00 +f0 +00 +1d +20 +c8 +20 +54 +40 +9f +10 +b2 +10 +bb +10 +68 +20 +ba +10 +77 +10 +3b +10 +ea +10 +90 +10 +c7 +30 +2b +30 +fe +60 +38 +30 +db +30 +7f +10 +70 +10 +34 +10 +7b +10 +c4 +20 +5a +50 +5b +50 +27 +90 +6a +60 +30 +a0 +d9 +60 +9b +a0 +ef +60 +56 +a0 +de +60 +3f +a0 +7e +60 +96 +90 +fa +50 +4e +90 +e3 +30 +bd +30 +29 +30 +05 +60 +67 +40 +4a +80 +ad +40 +ab +80 +9f +30 +8a +30 +41 +20 +e8 +20 +5b +10 +58 +10 +b8 +00 +e4 +00 +7e +00 +a2 +00 +5e +00 +9e +00 +61 +00 +9a +00 +57 +00 +a3 +00 +6f +00 +ad +00 +6a +00 +a8 +00 +90 +00 +94 +10 +e3 +00 +51 +10 +a2 +00 +d8 +00 +88 +00 +9c +00 +67 +00 +86 +00 +92 +00 +9a +00 +99 +00 +a4 +00 +69 +00 +90 +00 +56 +00 +82 +00 +40 +00 +88 +00 +5a +00 +7e +00 +5e +00 +7d +00 +53 +00 +60 +00 +51 +00 +72 +00 +56 +10 +b0 +20 +a3 +10 +67 +30 +fa +00 +dd +00 +80 +00 +7d +00 +79 +00 +a8 +00 +3b +10 +c4 +20 +c1 +10 +69 +20 +95 +00 +73 +00 +36 +00 +51 +00 +29 +00 +56 +00 +33 +00 +5b +00 +34 +00 +69 +00 +2e +00 +49 +00 +23 +00 +35 +00 +27 +00 +34 +00 +2a +00 +3d +00 +25 +00 +44 +00 +2a +00 +54 +00 +66 +00 +71 +00 +35 +00 +35 +00 +25 +00 +4a +00 +21 +00 +45 +00 +2b +00 +51 +00 +27 +00 +49 +00 +25 +00 +45 +00 +2d +00 +4e +00 +2d +00 +49 +00 +27 +00 +40 +00 +25 +00 +3c +00 +26 +00 +4d +00 +26 +00 +54 +00 +2a +00 +56 +00 +21 +00 +52 +00 +24 +00 +35 +00 +2b +00 +42 +00 +2b +00 +42 +00 +38 +00 +4e +00 +37 +00 +4d +00 +49 +00 +5d +00 +53 +00 +a3 +00 +76 +00 +d3 +00 +74 +00 +a8 +00 +4d +00 +8a +00 +40 +00 +5f +00 +3c +00 +72 +00 +56 +00 +9b +00 +57 +00 +88 +00 +48 +00 +65 +00 +3e +00 +58 +00 +2d +00 +5c +00 +33 +00 +5c +00 +37 +00 +58 +00 +2a +00 +58 +00 +2a +00 +56 +00 +39 +00 +66 +00 +9a +00 +1b +30 +b9 +00 +cc +00 +6d +00 +70 +00 +4b +00 +8b +00 +4c +00 +88 +00 +7c +00 +e3 +00 +2e +10 +09 +30 +47 +20 +d0 +20 +8b +10 +0b +20 +2a +10 +01 +10 +be +00 +de +00 +bc +00 +cc +00 +a0 +00 +d3 +00 +9e +00 +b3 +00 +a1 +00 +77 +10 +3a +20 +9f +20 +60 +10 +dd +00 +0d +10 +26 +10 +c8 +00 +04 +10 +95 +00 +87 +00 +62 +00 +84 +00 +5d +00 +7a +00 +66 +00 +a1 +00 +59 +00 +95 +00 +53 +00 +7d +00 +6f +00 +49 +10 +ac +00 +44 +10 +f9 +00 +37 +30 +41 +20 +38 +20 +bc +00 +83 +00 +39 +00 +00 +00 +00 +00 +41 +00 +c8 +00 +45 +00 +c6 +00 +52 +00 +ea +00 +a0 +00 +be +30 +e1 +10 +4d +50 +1e +20 +f6 +40 +f7 +10 +70 +60 +99 +20 +d1 +70 +b2 +20 +e1 +70 +c6 +20 +45 +70 +cf +10 +0c +40 +de +00 +65 +30 +c1 +10 +b8 +30 +a8 +00 +82 +10 +56 +00 +e3 +00 +65 +00 +4b +10 +58 +00 +d7 +00 +41 +00 +b1 +00 +45 +00 +d4 +00 +3e +00 +c0 +00 +53 +00 +0d +20 +59 +10 +86 +30 +2f +10 +5d +40 +a4 +10 +22 +50 +6d +10 +84 +20 +76 +00 +3d +10 +4f +00 +c3 +00 +3e +00 +97 +00 +39 +00 +9c +00 +38 +00 +a0 +00 +33 +00 +9c +00 +3b +00 +9b +00 +3e +00 +4f +10 +61 +10 +d8 +30 +60 +10 +52 +20 +44 +00 +92 +00 +31 +00 +78 +00 +2e +00 +79 +00 +2d +00 +6d +00 +23 +00 +79 +00 +32 +00 +84 +00 +4b +00 +d4 +00 +45 +00 +79 +00 +23 +00 +68 +00 +22 +00 +69 +00 +24 +00 +6d +00 +25 +00 +79 +00 +30 +00 +7b +00 +20 +00 +6b +00 +29 +00 +93 +00 +34 +00 +75 +00 +29 +00 +74 +00 +23 +00 +6e +00 +2a +00 +6e +00 +3c +00 +a4 +00 +34 +00 +8f +00 +32 +00 +82 +00 +22 +00 +6a +00 +29 +00 +77 +00 +26 +00 +69 +00 +30 +00 +f5 +00 +55 +00 +7f +00 +21 +00 +59 +00 +32 +00 +e5 +00 +a3 +00 +f0 +00 +2a +00 +6f +00 +2b +00 +67 +00 +29 +00 +78 +00 +9d +00 +11 +30 +dc +00 +15 +10 +27 +00 +54 +00 +27 +00 +5d +00 +23 +00 +4d +00 +1b +00 +56 +00 +25 +00 +60 +00 +37 +00 +d0 +00 +0a +10 +71 +40 +37 +10 +83 +20 +81 +00 +6d +10 +77 +00 +aa +10 +79 +00 +07 +10 +2f +00 +86 +00 +23 +00 +6e +00 +2b +00 +67 +00 +2a +00 +80 +00 +23 +00 +60 +00 +25 +00 +68 +00 +28 +00 +5d +00 +2b +00 +6b +00 +2d +00 +72 +00 +33 +00 +7b +00 +2e +00 +83 +00 +31 +00 +85 +00 +2b +00 +6f +00 +28 +00 +7b +00 +29 +00 +61 +00 +26 +00 +68 +00 +1e +00 +5d +00 +25 +00 +5b +00 +28 +00 +75 +00 +2e +00 +6e +00 +27 +00 +5a +00 +2d +00 +4c +00 +1e +00 +58 +00 +2b +00 +65 +00 +23 +00 +55 +00 +1e +00 +57 +00 +1a +00 +5f +00 +22 +00 +54 +00 +24 +00 +68 +00 +25 +00 +64 +00 +20 +00 +5b +00 +28 +00 +6b +00 +24 +00 +5f +00 +24 +00 +6f +00 +38 +00 +69 +00 +31 +00 +82 +00 +2d +00 +ad +00 +4f +00 +85 +00 +3a +00 +92 +00 +42 +00 +b5 +00 +53 +00 +ca +00 +55 +00 +30 +10 +c6 +00 +5d +40 +a4 +10 +cd +20 +81 +00 +07 +20 +03 +10 +ae +30 +c6 +00 +9b +10 +87 +00 +97 +10 +d3 +00 +4e +30 +dc +10 +f7 +40 +10 +10 +2b +20 +8b +00 +51 +10 +13 +10 +9c +50 +db +20 +93 +80 +9e +30 +bd +90 +8a +30 +57 +a0 +f6 +30 +8b +a0 +a3 +30 +14 +a0 +9c +30 +c6 +90 +96 +30 +62 +90 +29 +30 +c5 +60 +53 +10 +f2 +40 +3b +20 +92 +60 +87 +20 +43 +70 +47 +20 +c8 +50 +58 +10 +6c +30 +0b +10 +5e +20 +74 +00 +47 +10 +4c +00 +c4 +00 +41 +00 +a3 +00 +3a +00 +a1 +00 +3e +00 +98 +00 +3d +00 +a2 +00 +43 +00 +a0 +00 +40 +00 +bf +00 +4e +00 +0d +10 +53 +00 +db +00 +4d +00 +bd +00 +38 +00 +b1 +00 +40 +00 +03 +10 +aa +00 +1f +10 +41 +00 +93 +00 +35 +00 +88 +00 +2c +00 +85 +00 +26 +00 +8d +00 +3a +00 +9f +00 +36 +00 +73 +00 +27 +00 +61 +00 +4f +00 +2b +30 +33 +10 +e4 +10 +7e +00 +19 +10 +77 +00 +15 +10 +3d +00 +e4 +00 +5f +00 +c3 +10 +91 +10 +22 +40 +90 +00 +ef +00 +33 +00 +66 +00 +26 +00 +56 +00 +26 +00 +5a +00 +25 +00 +63 +00 +29 +00 +55 +00 +1b +00 +3c +00 +16 +00 +37 +00 +1a +00 +3b +00 +15 +00 +3d +00 +1c +00 +4f +00 +22 +00 +c4 +00 +40 +00 +6c +00 +16 +00 +4f +00 +1c +00 +47 +00 +1c +00 +4b +00 +22 +00 +50 +00 +1f +00 +4c +00 +1d +00 +45 +00 +1f +00 +49 +00 +21 +00 +46 +00 +18 +00 +40 +00 +19 +00 +47 +00 +1e +00 +56 +00 +1e +00 +54 +00 +1f +00 +46 +00 +1b +00 +43 +00 +19 +00 +40 +00 +1c +00 +55 +00 +1e +00 +57 +00 +19 +00 +5e +00 +24 +00 +65 +00 +2e +00 +a4 +00 +79 +00 +f5 +00 +5c +00 +9d +00 +32 +00 +7c +00 +31 +00 +5d +00 +26 +00 +69 +00 +26 +00 +67 +00 +28 +00 +74 +00 +21 +00 +65 +00 +24 +00 +5c +00 +26 +00 +59 +00 +26 +00 +58 +00 +1e +00 +55 +00 +2a +00 +7d +00 +1f +00 +57 +00 +1e +00 +50 +00 +2b +00 +b3 +00 +64 +00 +27 +10 +41 +00 +92 +00 +2b +00 +77 +00 +30 +00 +76 +00 +35 +00 +91 +00 +46 +00 +10 +10 +d1 +00 +c6 +20 +93 +00 +69 +10 +59 +00 +f5 +00 +4b +00 +a7 +00 +41 +00 +a9 +00 +4a +00 +df +00 +57 +00 +c9 +00 +43 +00 +c5 +00 +c1 +00 +e4 +40 +74 +20 +38 +40 +b5 +00 +36 +10 +4b +00 +f3 +00 +44 +00 +a3 +00 +2c +00 +73 +00 +31 +00 +71 +00 +48 +00 +96 +00 +3f +00 +8d +00 +30 +00 +7a +00 +32 +00 +8d +00 +3d +00 +bc +00 +4e +00 +1f +10 +51 +10 +5f +50 +ef +00 +1d +10 +34 +00 +6f +00 +00 +00 +00 +00 +b4 +00 +79 +00 +b3 +00 +8a +00 +cc +00 +35 +10 +d0 +10 +a8 +20 +d2 +40 +ad +30 +20 +60 +52 +30 +2d +50 +dd +30 +16 +70 +5d +40 +0f +70 +38 +40 +a6 +60 +5b +30 +89 +40 +42 +20 +82 +20 +ac +20 +70 +40 +e9 +10 +de +10 +d7 +00 +f2 +00 +c4 +00 +09 +10 +b1 +00 +eb +00 +83 +00 +ac +00 +97 +00 +c2 +00 +e5 +00 +25 +10 +f7 +00 +0e +10 +98 +10 +81 +30 +ae +30 +48 +60 +b0 +40 +cc +60 +53 +40 +df +40 +1e +20 +a4 +10 +01 +10 +d9 +00 +a4 +00 +ae +00 +64 +00 +9c +00 +53 +00 +9d +00 +71 +00 +96 +00 +58 +00 +a3 +00 +74 +00 +a3 +00 +be +00 +4c +10 +75 +10 +19 +30 +ef +00 +fc +00 +63 +00 +8e +00 +4a +00 +7d +00 +4e +00 +75 +00 +46 +00 +69 +00 +45 +00 +79 +00 +51 +00 +d1 +00 +93 +00 +b1 +00 +4d +00 +73 +00 +30 +00 +5c +00 +3c +00 +69 +00 +3d +00 +58 +00 +40 +00 +6a +00 +42 +00 +69 +00 +42 +00 +69 +00 +50 +00 +77 +00 +45 +00 +70 +00 +42 +00 +62 +00 +40 +00 +6e +00 +59 +00 +69 +00 +a7 +00 +23 +10 +2b +10 +fb +00 +b9 +00 +9b +00 +51 +00 +5e +00 +41 +00 +69 +00 +50 +00 +c5 +00 +92 +00 +fa +00 +64 +00 +68 +00 +3d +00 +59 +00 +63 +00 +00 +10 +6f +00 +a3 +00 +2f +00 +60 +00 +38 +00 +63 +00 +50 +00 +f5 +00 +a6 +00 +78 +10 +92 +00 +89 +00 +3b +00 +50 +00 +34 +00 +56 +00 +35 +00 +51 +00 +31 +00 +53 +00 +3f +00 +76 +00 +c0 +00 +46 +20 +32 +10 +f6 +10 +0d +10 +56 +10 +ab +00 +19 +10 +ad +00 +26 +10 +8d +00 +95 +00 +4a +00 +6e +00 +39 +00 +65 +00 +36 +00 +76 +00 +46 +00 +6a +00 +41 +00 +58 +00 +3c +00 +6e +00 +37 +00 +71 +00 +33 +00 +74 +00 +3f +00 +71 +00 +3e +00 +6e +00 +54 +00 +80 +00 +4c +00 +73 +00 +3e +00 +6f +00 +37 +00 +71 +00 +30 +00 +6c +00 +30 +00 +51 +00 +34 +00 +60 +00 +35 +00 +69 +00 +38 +00 +73 +00 +3e +00 +66 +00 +36 +00 +55 +00 +2d +00 +45 +00 +2e +00 +6e +00 +34 +00 +56 +00 +38 +00 +52 +00 +34 +00 +48 +00 +2b +00 +55 +00 +38 +00 +5f +00 +33 +00 +6b +00 +34 +00 +5e +00 +2c +00 +6b +00 +32 +00 +5f +00 +33 +00 +64 +00 +39 +00 +64 +00 +3d +00 +6c +00 +56 +00 +7b +00 +69 +00 +ba +00 +5a +00 +80 +00 +5c +00 +94 +00 +6a +00 +ad +00 +93 +00 +db +00 +08 +10 +5c +10 +44 +10 +3c +20 +c3 +10 +2c +20 +00 +20 +2f +20 +4e +10 +7e +10 +0a +10 +68 +10 +42 +10 +33 +20 +85 +10 +95 +20 +a7 +10 +48 +20 +78 +10 +83 +10 +2f +10 +d4 +20 +e5 +30 +1d +80 +48 +50 +13 +90 +72 +50 +7e +90 +37 +60 +c0 +90 +df +50 +6c +90 +36 +50 +5e +80 +c1 +40 +99 +70 +40 +40 +f3 +60 +70 +30 +a0 +40 +e1 +20 +51 +40 +ad +20 +f1 +40 +bd +20 +9c +40 +5d +20 +53 +30 +d7 +10 +4a +20 +20 +10 +7a +10 +bc +00 +df +00 +8d +00 +c7 +00 +94 +00 +b2 +00 +75 +00 +a1 +00 +79 +00 +a2 +00 +9b +00 +c3 +00 +ad +00 +b2 +00 +c6 +00 +db +00 +f0 +00 +24 +10 +d7 +00 +ee +00 +3b +10 +f1 +00 +c0 +00 +de +00 +51 +20 +19 +50 +02 +20 +58 +10 +b5 +00 +a3 +00 +76 +00 +94 +00 +6c +00 +bc +00 +45 +10 +c7 +10 +1e +10 +2b +10 +8d +00 +73 +00 +5d +00 +99 +00 +cb +00 +c9 +10 +ad +00 +12 +10 +b1 +00 +0b +10 +78 +10 +2b +20 +85 +10 +8a +10 +4f +10 +bf +30 +06 +10 +73 +10 +9b +00 +a3 +00 +4f +00 +55 +00 +3c +00 +64 +00 +40 +00 +59 +00 +33 +00 +5a +00 +2f +00 +53 +00 +24 +00 +31 +00 +1d +00 +34 +00 +25 +00 +34 +00 +26 +00 +4e +00 +29 +00 +61 +00 +6b +00 +d9 +00 +35 +00 +51 +00 +2b +00 +5b +00 +2f +00 +4a +00 +2a +00 +53 +00 +28 +00 +56 +00 +27 +00 +4b +00 +25 +00 +4a +00 +28 +00 +49 +00 +24 +00 +46 +00 +2c +00 +3f +00 +2c +00 +4c +00 +27 +00 +4e +00 +28 +00 +4d +00 +23 +00 +4b +00 +27 +00 +49 +00 +2c +00 +4b +00 +60 +00 +9d +00 +ac +00 +82 +00 +8b +00 +0c +10 +fe +00 +20 +10 +42 +10 +e4 +20 +21 +10 +2c +10 +85 +00 +88 +00 +4e +00 +5a +00 +2d +00 +55 +00 +31 +00 +5c +00 +35 +00 +5e +00 +3f +00 +55 +00 +37 +00 +66 +00 +2b +00 +5f +00 +2f +00 +53 +00 +2b +00 +4a +00 +2b +00 +58 +00 +2f +00 +5e +00 +34 +00 +5e +00 +38 +00 +64 +00 +55 +00 +a7 +00 +67 +00 +d0 +00 +53 +00 +80 +00 +41 +00 +79 +00 +55 +00 +78 +00 +7a +00 +b6 +00 +a7 +00 +1c +10 +e2 +00 +37 +10 +c3 +00 +cf +00 +7c +00 +ae +00 +76 +00 +9b +00 +7a +00 +b2 +00 +3c +10 +31 +20 +45 +10 +fd +00 +e6 +00 +23 +10 +bb +10 +49 +60 +11 +40 +4c +60 +77 +20 +46 +10 +4e +10 +d0 +00 +8c +00 +61 +00 +50 +00 +74 +00 +83 +00 +0e +10 +e6 +00 +d0 +00 +69 +00 +8d +00 +60 +00 +ab +00 +62 +00 +99 +00 +6d +00 +a3 +00 +b6 +00 +ca +10 +fe +00 +b4 +10 +b5 +00 +a1 +00 +49 +00 +00 +00 +00 +00 +46 +00 +b3 +00 +4c +00 +d1 +00 +4e +00 +48 +10 +20 +10 +eb +30 +bb +10 +50 +50 +23 +20 +b9 +40 +48 +10 +70 +40 +96 +10 +76 +40 +73 +10 +69 +40 +93 +10 +27 +40 +48 +10 +a9 +20 +c7 +00 +cd +30 +8b +10 +eb +20 +6c +00 +08 +10 +62 +00 +60 +10 +87 +00 +4e +10 +4a +00 +a3 +00 +40 +00 +c7 +00 +52 +00 +5d +10 +e8 +00 +60 +20 +7e +00 +87 +10 +b6 +10 +32 +60 +9a +20 +70 +70 +92 +20 +6d +70 +23 +20 +58 +40 +91 +00 +77 +10 +56 +00 +08 +10 +44 +00 +9b +00 +3b +00 +8f +00 +33 +00 +a0 +00 +36 +00 +9c +00 +3d +00 +a2 +00 +32 +00 +af +00 +60 +00 +7b +10 +90 +00 +52 +10 +56 +00 +9d +00 +2c +00 +8a +00 +2f +00 +8d +00 +2a +00 +70 +00 +29 +00 +6d +00 +2c +00 +76 +00 +56 +00 +10 +10 +47 +00 +88 +00 +2c +00 +68 +00 +28 +00 +68 +00 +25 +00 +6b +00 +27 +00 +69 +00 +23 +00 +6e +00 +26 +00 +60 +00 +1d +00 +6f +00 +25 +00 +68 +00 +2a +00 +70 +00 +27 +00 +76 +00 +2a +00 +7f +00 +30 +00 +c5 +00 +20 +10 +f7 +20 +13 +10 +6e +20 +55 +00 +87 +00 +27 +00 +67 +00 +26 +00 +6a +00 +2e +00 +8c +00 +39 +00 +78 +00 +21 +00 +5f +00 +1f +00 +6a +00 +2d +00 +83 +00 +24 +00 +61 +00 +20 +00 +4f +00 +20 +00 +5c +00 +2f +00 +a8 +00 +44 +00 +a8 +00 +23 +00 +5a +00 +20 +00 +4f +00 +1a +00 +62 +00 +1a +00 +50 +00 +20 +00 +53 +00 +2d +00 +8b +00 +45 +00 +0d +10 +75 +00 +42 +10 +58 +00 +e3 +00 +41 +00 +af +00 +43 +00 +a5 +00 +2b +00 +77 +00 +24 +00 +52 +00 +24 +00 +6d +00 +2c +00 +66 +00 +1d +00 +59 +00 +1c +00 +59 +00 +2f +00 +6f +00 +37 +00 +65 +00 +27 +00 +67 +00 +34 +00 +6b +00 +34 +00 +84 +00 +2e +00 +81 +00 +29 +00 +76 +00 +25 +00 +67 +00 +25 +00 +60 +00 +23 +00 +5f +00 +1c +00 +6e +00 +2c +00 +67 +00 +27 +00 +6a +00 +2b +00 +67 +00 +27 +00 +5e +00 +23 +00 +4b +00 +1c +00 +4f +00 +2c +00 +71 +00 +28 +00 +5f +00 +14 +00 +49 +00 +29 +00 +5f +00 +26 +00 +61 +00 +25 +00 +66 +00 +1f +00 +60 +00 +28 +00 +5a +00 +29 +00 +58 +00 +22 +00 +65 +00 +2e +00 +66 +00 +34 +00 +74 +00 +33 +00 +83 +00 +29 +00 +93 +00 +4c +00 +82 +00 +32 +00 +81 +00 +38 +00 +92 +00 +38 +00 +c2 +00 +a6 +00 +9e +10 +79 +00 +a3 +10 +ae +00 +7f +30 +d4 +10 +82 +50 +6f +10 +23 +20 +72 +00 +28 +10 +62 +00 +41 +10 +98 +00 +10 +20 +f8 +00 +c0 +20 +bf +00 +a8 +10 +74 +00 +51 +10 +28 +10 +61 +50 +09 +30 +c2 +80 +02 +30 +a7 +60 +bd +20 +a3 +80 +1c +30 +73 +80 +20 +20 +1c +60 +cc +10 +6e +50 +af +10 +d6 +40 +7a +10 +26 +40 +12 +10 +f9 +20 +f4 +00 +20 +30 +f2 +00 +4f +30 +f5 +00 +ec +20 +c1 +00 +75 +20 +a3 +00 +a8 +10 +6d +00 +2a +10 +63 +00 +f7 +00 +58 +00 +2c +10 +53 +00 +d5 +00 +4f +00 +b8 +00 +42 +00 +df +00 +b5 +00 +85 +10 +55 +00 +8d +10 +c1 +00 +53 +10 +72 +00 +69 +10 +0d +10 +d7 +30 +7b +00 +19 +10 +e5 +00 +0f +50 +7d +20 +f3 +40 +8d +00 +17 +10 +64 +00 +7b +10 +3b +00 +85 +00 +81 +00 +2f +30 +59 +10 +6b +30 +fe +00 +29 +10 +2f +00 +85 +00 +2e +00 +c8 +00 +50 +00 +f8 +00 +4b +00 +d8 +00 +b0 +00 +0e +30 +10 +10 +22 +20 +7d +00 +56 +10 +85 +00 +4a +10 +6d +00 +dc +00 +38 +00 +66 +00 +1e +00 +59 +00 +27 +00 +5d +00 +24 +00 +56 +00 +1c +00 +50 +00 +20 +00 +3d +00 +14 +00 +36 +00 +12 +00 +41 +00 +13 +00 +45 +00 +1b +00 +4e +00 +21 +00 +7a +00 +30 +00 +5f +00 +1e +00 +5c +00 +24 +00 +46 +00 +1b +00 +4e +00 +1f +00 +48 +00 +23 +00 +4a +00 +1c +00 +43 +00 +1f +00 +50 +00 +1a +00 +48 +00 +14 +00 +46 +00 +1a +00 +4a +00 +20 +00 +3d +00 +1e +00 +46 +00 +1f +00 +4c +00 +17 +00 +46 +00 +1b +00 +45 +00 +1e +00 +7a +00 +18 +10 +e1 +20 +8e +00 +ce +00 +95 +00 +4a +20 +ee +00 +b8 +20 +23 +10 +d5 +20 +8b +00 +cf +00 +26 +00 +6b +00 +23 +00 +5e +00 +18 +00 +51 +00 +1d +00 +55 +00 +18 +00 +57 +00 +27 +00 +57 +00 +1f +00 +50 +00 +22 +00 +4c +00 +17 +00 +48 +00 +20 +00 +60 +00 +20 +00 +56 +00 +1b +00 +5e +00 +2b +00 +67 +00 +21 +00 +6b +00 +30 +00 +92 +00 +3b +00 +7c +00 +20 +00 +63 +00 +36 +00 +80 +00 +7d +00 +2b +10 +4e +00 +bd +00 +47 +00 +e3 +00 +41 +00 +c9 +00 +44 +00 +a9 +00 +41 +00 +9b +00 +3d +00 +9b +00 +49 +00 +a1 +10 +05 +20 +e9 +40 +9f +00 +23 +10 +60 +00 +b3 +10 +ed +10 +f0 +70 +47 +30 +80 +60 +dc +00 +2f +20 +51 +00 +99 +00 +22 +00 +74 +00 +2d +00 +b5 +00 +65 +10 +73 +30 +50 +00 +af +00 +3c +00 +a7 +00 +8d +00 +cd +00 +33 +00 +88 +00 +35 +00 +d6 +00 +98 +00 +47 +10 +66 +00 +fe +00 +33 +00 +64 +00 +00 +00 +00 +00 +b3 +00 +87 +00 +c0 +00 +8e +00 +ee +00 +b0 +10 +6a +30 +fc +20 +f3 +40 +bb +30 +16 +60 +f0 +20 +56 +30 +e9 +10 +7e +20 +46 +20 +f7 +30 +d7 +20 +83 +30 +3b +20 +81 +30 +c5 +10 +74 +20 +db +10 +83 +40 +15 +20 +10 +20 +c2 +00 +7f +10 +87 +10 +d7 +30 +47 +10 +0e +10 +b9 +00 +e7 +00 +94 +10 +eb +20 +e5 +10 +de +10 +e7 +00 +10 +10 +21 +10 +69 +20 +58 +30 +58 +70 +af +40 +62 +70 +6b +40 +b8 +60 +b8 +20 +1b +30 +68 +20 +2d +30 +23 +10 +f4 +00 +85 +00 +92 +00 +66 +00 +9d +00 +5d +00 +a3 +00 +61 +00 +96 +00 +69 +00 +85 +00 +8d +00 +da +00 +b4 +00 +1f +10 +a4 +00 +e7 +00 +6f +00 +a5 +00 +50 +00 +8a +00 +4c +00 +7a +00 +43 +00 +83 +00 +46 +00 +74 +00 +45 +00 +82 +00 +4c +00 +88 +00 +57 +00 +7d +00 +4a +00 +6e +00 +3a +00 +69 +00 +3c +00 +75 +00 +41 +00 +6d +00 +38 +00 +66 +00 +3a +00 +5c +00 +42 +00 +6e +00 +4b +00 +68 +00 +3f +00 +6f +00 +39 +00 +6e +00 +3a +00 +72 +00 +8d +00 +f6 +10 +b8 +10 +57 +30 +00 +10 +36 +10 +65 +00 +69 +00 +3a +00 +56 +00 +43 +00 +74 +00 +46 +00 +8f +00 +48 +00 +72 +00 +41 +00 +5f +00 +55 +00 +8d +00 +48 +00 +67 +00 +3c +00 +4d +00 +36 +00 +52 +00 +4b +00 +8c +00 +a2 +00 +c0 +00 +5b +00 +6c +00 +38 +00 +49 +00 +32 +00 +54 +00 +2f +00 +4f +00 +2e +00 +58 +00 +35 +00 +61 +00 +66 +00 +a6 +00 +92 +00 +1e +10 +a7 +00 +e4 +00 +77 +00 +9a +00 +62 +00 +97 +00 +4f +00 +82 +00 +3f +00 +51 +00 +34 +00 +5b +00 +35 +00 +73 +00 +37 +00 +58 +00 +3b +00 +59 +00 +38 +00 +69 +00 +35 +00 +84 +00 +38 +00 +5e +00 +39 +00 +65 +00 +44 +00 +84 +00 +be +00 +5b +10 +64 +00 +7a +00 +39 +00 +68 +00 +34 +00 +63 +00 +37 +00 +6b +00 +38 +00 +58 +00 +3d +00 +6f +00 +39 +00 +73 +00 +39 +00 +56 +00 +39 +00 +6e +00 +33 +00 +4f +00 +30 +00 +39 +00 +30 +00 +74 +00 +39 +00 +63 +00 +2c +00 +5f +00 +2f +00 +4e +00 +25 +00 +60 +00 +33 +00 +5d +00 +35 +00 +58 +00 +35 +00 +51 +00 +2e +00 +5b +00 +34 +00 +60 +00 +3e +00 +6d +00 +3f +00 +72 +00 +4e +00 +b1 +00 +90 +00 +96 +00 +5a +00 +9d +00 +4e +00 +93 +00 +62 +00 +94 +00 +5f +00 +a1 +00 +ad +00 +ca +10 +89 +10 +67 +20 +90 +20 +a9 +20 +15 +20 +f8 +50 +e0 +20 +94 +40 +b1 +10 +c0 +10 +eb +00 +0f +10 +d7 +00 +1b +10 +15 +10 +99 +20 +29 +30 +f3 +40 +05 +20 +89 +10 +36 +10 +7b +10 +ba +10 +01 +40 +5d +30 +48 +50 +64 +30 +c1 +50 +1e +40 +61 +50 +a9 +30 +bf +40 +50 +30 +bc +30 +dd +20 +95 +30 +92 +20 +29 +30 +49 +20 +bc +20 +0e +20 +98 +20 +00 +20 +f4 +20 +19 +20 +c4 +20 +ec +10 +43 +20 +82 +10 +03 +20 +58 +10 +84 +10 +1e +10 +61 +10 +22 +10 +b2 +10 +75 +10 +60 +10 +fb +00 +72 +10 +e0 +00 +0d +10 +f4 +10 +36 +30 +86 +20 +e7 +20 +d7 +20 +61 +50 +00 +20 +d5 +10 +1d +30 +f6 +50 +4a +30 +4f +40 +ea +10 +ed +30 +0a +30 +da +50 +ee +10 +93 +30 +a9 +10 +6b +10 +b6 +00 +e4 +00 +75 +00 +b9 +00 +b1 +00 +c2 +10 +fe +00 +ad +10 +9f +00 +b9 +00 +6b +00 +7f +00 +68 +00 +b1 +00 +8f +00 +bb +00 +f0 +00 +48 +20 +cf +00 +2d +10 +9a +00 +da +00 +a1 +00 +f5 +00 +a1 +00 +cc +00 +7c +00 +84 +00 +56 +00 +72 +00 +49 +00 +5f +00 +38 +00 +54 +00 +2f +00 +4c +00 +2c +00 +42 +00 +26 +00 +37 +00 +1f +00 +45 +00 +23 +00 +3c +00 +2c +00 +3f +00 +34 +00 +5d +00 +34 +00 +62 +00 +3b +00 +4d +00 +61 +00 +81 +00 +2e +00 +49 +00 +30 +00 +4e +00 +2e +00 +4c +00 +24 +00 +44 +00 +29 +00 +45 +00 +25 +00 +4c +00 +23 +00 +4d +00 +29 +00 +49 +00 +2a +00 +46 +00 +23 +00 +4b +00 +29 +00 +4b +00 +29 +00 +46 +00 +2b +00 +43 +00 +54 +00 +8d +00 +69 +00 +a4 +10 +23 +10 +75 +30 +84 +10 +6b +20 +2b +20 +f0 +30 +75 +20 +3e +40 +98 +10 +60 +10 +85 +00 +8e +00 +45 +00 +60 +00 +27 +00 +59 +00 +2f +00 +48 +00 +2f +00 +44 +00 +27 +00 +61 +00 +2f +00 +51 +00 +31 +00 +4e +00 +29 +00 +54 +00 +29 +00 +56 +00 +33 +00 +53 +00 +2a +00 +55 +00 +3b +00 +6a +00 +7a +00 +c4 +00 +46 +00 +69 +00 +45 +00 +7c +00 +57 +00 +67 +00 +4f +00 +7b +00 +a8 +00 +92 +10 +b9 +00 +de +00 +79 +00 +a9 +00 +81 +00 +a4 +00 +72 +00 +92 +00 +5a +00 +91 +00 +56 +00 +8b +00 +69 +00 +a8 +00 +d6 +00 +25 +30 +b6 +20 +d2 +40 +09 +20 +4a +10 +be +10 +59 +30 +f9 +30 +a6 +70 +80 +40 +d9 +60 +7e +20 +54 +10 +ac +00 +78 +00 +5e +00 +76 +00 +a4 +00 +af +10 +da +00 +31 +10 +88 +00 +95 +00 +56 +00 +9a +00 +5a +00 +8a +00 +8a +00 +cf +00 +06 +10 +7f +10 +cd +00 +08 +10 +7c +00 +86 +00 +3c +00 +00 +00 +00 +00 +45 +00 +b6 +00 +40 +00 +d0 +00 +5e +00 +cc +10 +59 +10 +9f +40 +96 +10 +49 +50 +d1 +10 +e5 +30 +cc +00 +0c +20 +a1 +00 +67 +20 +0a +10 +28 +30 +e4 +00 +94 +20 +cb +00 +f1 +10 +97 +00 +c5 +10 +d3 +00 +12 +20 +94 +00 +2d +10 +70 +00 +a2 +10 +f2 +00 +4e +20 +77 +00 +2a +10 +b7 +00 +be +20 +b0 +10 +b8 +30 +9e +00 +21 +10 +55 +00 +f7 +00 +90 +00 +53 +30 +7a +20 +f3 +60 +a9 +20 +19 +70 +bf +10 +38 +30 +21 +10 +bc +30 +1c +10 +36 +20 +57 +00 +bb +00 +3c +00 +99 +00 +32 +00 +8c +00 +37 +00 +91 +00 +30 +00 +88 +00 +37 +00 +99 +00 +42 +00 +ce +00 +5d +00 +ee +00 +5c +00 +be +00 +39 +00 +87 +00 +31 +00 +87 +00 +33 +00 +76 +00 +28 +00 +79 +00 +2c +00 +74 +00 +30 +00 +82 +00 +2d +00 +82 +00 +30 +00 +7a +00 +24 +00 +65 +00 +24 +00 +61 +00 +28 +00 +6f +00 +2b +00 +76 +00 +27 +00 +63 +00 +26 +00 +64 +00 +22 +00 +72 +00 +1d +00 +64 +00 +29 +00 +6d +00 +2d +00 +67 +00 +26 +00 +93 +00 +87 +00 +f0 +10 +b0 +00 +60 +10 +42 +00 +96 +00 +28 +00 +64 +00 +22 +00 +60 +00 +2b +00 +75 +00 +2f +00 +78 +00 +23 +00 +63 +00 +20 +00 +bb +00 +30 +00 +7f +00 +26 +00 +5e +00 +21 +00 +4c +00 +1e +00 +5d +00 +41 +00 +f9 +00 +46 +00 +86 +00 +20 +00 +52 +00 +27 +00 +4c +00 +1e +00 +5b +00 +26 +00 +51 +00 +29 +00 +59 +00 +20 +00 +72 +00 +27 +00 +a6 +00 +3c +00 +b2 +00 +3a +00 +9a +00 +30 +00 +79 +00 +35 +00 +77 +00 +27 +00 +60 +00 +24 +00 +4b +00 +1c +00 +63 +00 +23 +00 +5c +00 +25 +00 +57 +00 +2d +00 +65 +00 +33 +00 +6d +00 +32 +00 +73 +00 +27 +00 +5e +00 +26 +00 +66 +00 +2a +00 +db +00 +93 +00 +eb +00 +2a +00 +68 +00 +29 +00 +60 +00 +26 +00 +69 +00 +22 +00 +57 +00 +2f +00 +75 +00 +2c +00 +75 +00 +21 +00 +65 +00 +24 +00 +5f +00 +26 +00 +53 +00 +22 +00 +52 +00 +1c +00 +5b +00 +1d +00 +66 +00 +1e +00 +64 +00 +23 +00 +65 +00 +1e +00 +56 +00 +28 +00 +5d +00 +22 +00 +59 +00 +26 +00 +58 +00 +24 +00 +4a +00 +24 +00 +50 +00 +24 +00 +63 +00 +22 +00 +71 +00 +2c +00 +86 +00 +60 +00 +3a +10 +49 +00 +88 +00 +38 +00 +7a +00 +2e +00 +9d +00 +45 +00 +a9 +00 +43 +00 +cd +00 +8b +00 +b8 +20 +3a +20 +71 +60 +df +10 +05 +30 +30 +10 +e9 +20 +ca +10 +c3 +30 +85 +00 +2f +10 +5f +00 +f1 +00 +64 +00 +2b +10 +a9 +00 +06 +40 +bc +20 +fd +50 +b5 +00 +5f +10 +7a +00 +d3 +10 +10 +10 +c9 +30 +43 +10 +9d +30 +75 +10 +85 +40 +60 +10 +38 +40 +3e +10 +e7 +30 +32 +10 +38 +30 +f3 +00 +00 +30 +d7 +00 +bd +20 +cb +00 +6f +20 +c6 +00 +7d +20 +dd +00 +cc +20 +dc +00 +a0 +20 +ed +00 +03 +30 +11 +10 +b9 +20 +c5 +00 +e4 +10 +7c +00 +c4 +10 +8a +10 +df +30 +8b +00 +8b +10 +19 +10 +d9 +10 +8f +00 +5f +30 +4b +20 +c2 +50 +9c +10 +69 +40 +6f +10 +73 +20 +2b +10 +ad +50 +b3 +20 +b3 +60 +5a +10 +5e +20 +b3 +10 +c0 +40 +12 +10 +8d +20 +d8 +00 +a2 +20 +80 +00 +02 +10 +3f +00 +a0 +00 +39 +00 +b6 +00 +58 +00 +0e +10 +5f +00 +c1 +00 +37 +00 +7a +00 +2d +00 +85 +00 +32 +00 +a9 +00 +4a +00 +06 +10 +7f +00 +2b +10 +56 +00 +e0 +00 +46 +00 +b0 +00 +31 +00 +c0 +00 +36 +00 +94 +00 +34 +00 +bf +00 +3c +00 +75 +00 +29 +00 +70 +00 +22 +00 +5d +00 +20 +00 +50 +00 +1a +00 +38 +00 +16 +00 +41 +00 +20 +00 +3a +00 +1b +00 +3f +00 +1f +00 +5d +00 +2c +00 +69 +00 +24 +00 +4e +00 +20 +00 +ab +00 +34 +00 +64 +00 +1d +00 +44 +00 +21 +00 +45 +00 +1a +00 +49 +00 +17 +00 +48 +00 +1b +00 +44 +00 +1c +00 +51 +00 +1d +00 +50 +00 +1f +00 +53 +00 +1e +00 +4f +00 +1e +00 +51 +00 +1d +00 +45 +00 +19 +00 +50 +00 +1e +00 +7d +00 +40 +00 +7f +00 +46 +00 +1a +10 +47 +10 +cc +20 +f6 +10 +bb +40 +a6 +10 +06 +40 +fb +10 +6f +30 +61 +00 +cd +00 +2c +00 +63 +00 +21 +00 +4f +00 +1f +00 +48 +00 +1c +00 +4d +00 +26 +00 +54 +00 +1f +00 +49 +00 +24 +00 +58 +00 +24 +00 +54 +00 +1d +00 +68 +00 +1f +00 +4d +00 +20 +00 +61 +00 +22 +00 +5d +00 +28 +00 +8e +00 +3d +00 +71 +00 +29 +00 +61 +00 +28 +00 +76 +00 +2b +00 +85 +00 +56 +00 +8d +10 +5d +00 +cf +00 +46 +00 +a7 +00 +2f +00 +95 +00 +30 +00 +9b +00 +31 +00 +87 +00 +2b +00 +8e +00 +2c +00 +86 +00 +39 +00 +de +00 +b7 +00 +65 +40 +66 +20 +cd +40 +18 +10 +85 +20 +05 +10 +66 +60 +5e +30 +c0 +70 +78 +20 +11 +40 +69 +00 +c1 +00 +32 +00 +73 +00 +47 +00 +41 +10 +67 +00 +18 +10 +52 +00 +b8 +00 +34 +00 +7d +00 +38 +00 +84 +00 +33 +00 +cd +00 +22 +10 +8c +30 +68 +00 +ed +00 +3d +00 +90 +00 +29 +00 +59 +00 +00 +00 +00 +00 +be +00 +7a +00 +be +00 +97 +00 +e6 +00 +a6 +10 +ad +30 +96 +20 +3e +40 +5d +30 +e3 +40 +58 +20 +3d +20 +74 +10 +90 +10 +3a +10 +a0 +10 +8d +10 +5c +30 +98 +10 +db +10 +fa +00 +2a +10 +ef +00 +67 +10 +d9 +00 +0c +10 +b2 +00 +d0 +00 +be +00 +26 +10 +31 +10 +af +20 +38 +10 +d8 +10 +1e +20 +4a +50 +23 +20 +43 +20 +d8 +00 +e5 +00 +db +00 +28 +10 +91 +10 +02 +30 +7f +20 +82 +40 +8c +20 +e0 +30 +89 +20 +37 +40 +f0 +20 +16 +50 +f4 +10 +8e +10 +d1 +00 +d6 +00 +74 +00 +97 +00 +6e +00 +91 +00 +8a +00 +a1 +00 +81 +00 +9d +00 +6a +00 +98 +00 +7c +00 +c4 +00 +75 +00 +c4 +00 +54 +00 +9f +00 +5b +00 +90 +00 +48 +00 +83 +00 +43 +00 +75 +00 +42 +00 +73 +00 +53 +00 +7d +00 +91 +00 +11 +10 +b1 +00 +99 +00 +50 +00 +6a +00 +44 +00 +5f +00 +39 +00 +5d +00 +3e +00 +5a +00 +32 +00 +62 +00 +49 +00 +69 +00 +3f +00 +61 +00 +34 +00 +60 +00 +3b +00 +59 +00 +42 +00 +6d +00 +3a +00 +6d +00 +5e +00 +a7 +00 +8c +00 +2c +10 +9d +00 +a8 +00 +54 +00 +76 +00 +3e +00 +5b +00 +3c +00 +66 +00 +3e +00 +6d +00 +4b +00 +5f +00 +33 +00 +65 +00 +4a +00 +82 +00 +4b +00 +75 +00 +42 +00 +51 +00 +3c +00 +54 +00 +3d +00 +6c +00 +60 +00 +90 +00 +45 +00 +56 +00 +3c +00 +62 +00 +2f +00 +4e +00 +2f +00 +42 +00 +3c +00 +5b +00 +36 +00 +54 +00 +45 +00 +6a +00 +64 +00 +9a +00 +7e +00 +90 +00 +56 +00 +69 +00 +40 +00 +76 +00 +47 +00 +7a +00 +37 +00 +50 +00 +2c +00 +4d +00 +35 +00 +4f +00 +43 +00 +55 +00 +53 +00 +5e +00 +3f +00 +61 +00 +34 +00 +78 +00 +32 +00 +62 +00 +35 +00 +68 +00 +38 +00 +70 +00 +50 +00 +90 +00 +4c +00 +93 +00 +41 +00 +55 +00 +36 +00 +64 +00 +3d +00 +6f +00 +32 +00 +76 +00 +37 +00 +7b +00 +32 +00 +6b +00 +34 +00 +4f +00 +34 +00 +4f +00 +2f +00 +50 +00 +21 +00 +3c +00 +33 +00 +60 +00 +37 +00 +66 +00 +2b +00 +5b +00 +37 +00 +51 +00 +33 +00 +52 +00 +2c +00 +62 +00 +33 +00 +56 +00 +2f +00 +55 +00 +32 +00 +4c +00 +32 +00 +52 +00 +3a +00 +72 +00 +38 +00 +64 +00 +6b +00 +62 +10 +8c +00 +b5 +00 +55 +00 +83 +00 +4a +00 +96 +00 +57 +00 +af +00 +6f +00 +cd +00 +06 +10 +4b +10 +74 +10 +af +40 +d7 +20 +31 +60 +62 +20 +7f +20 +73 +10 +ff +20 +bc +10 +1b +20 +03 +10 +f2 +00 +a7 +00 +e4 +00 +d0 +00 +42 +10 +a0 +10 +62 +30 +d1 +10 +b0 +20 +d7 +10 +c1 +10 +e2 +20 +02 +50 +a5 +30 +d6 +30 +90 +40 +c8 +60 +22 +50 +44 +60 +07 +50 +24 +60 +87 +40 +70 +50 +8d +30 +87 +30 +15 +30 +13 +30 +ef +20 +16 +30 +c3 +20 +aa +30 +cf +20 +17 +40 +c3 +20 +a2 +30 +b9 +10 +d4 +20 +19 +30 +b1 +50 +0f +30 +ef +40 +82 +10 +a6 +10 +2d +20 +c2 +40 +37 +20 +52 +20 +2a +20 +cd +30 +c1 +20 +e0 +20 +b3 +20 +a5 +40 +e9 +10 +a4 +20 +d4 +10 +07 +20 +29 +20 +f5 +30 +4e +30 +2b +50 +fc +10 +b1 +20 +68 +10 +f7 +10 +3a +10 +05 +20 +3a +10 +b2 +10 +0b +10 +42 +10 +fd +00 +de +00 +85 +00 +88 +00 +8a +00 +cf +00 +aa +00 +df +00 +8f +00 +97 +00 +5c +00 +6b +00 +58 +00 +97 +00 +c9 +00 +8e +10 +94 +00 +bc +00 +7b +00 +d8 +00 +76 +00 +9e +00 +72 +00 +8a +00 +58 +00 +81 +00 +5f +00 +95 +00 +b1 +00 +1e +10 +58 +00 +61 +00 +37 +00 +5f +00 +32 +00 +52 +00 +2b +00 +43 +00 +24 +00 +31 +00 +26 +00 +40 +00 +21 +00 +44 +00 +28 +00 +51 +00 +33 +00 +53 +00 +28 +00 +4c +00 +27 +00 +4e +00 +32 +00 +53 +00 +31 +00 +53 +00 +31 +00 +53 +00 +30 +00 +42 +00 +24 +00 +4a +00 +24 +00 +4b +00 +27 +00 +45 +00 +22 +00 +54 +00 +26 +00 +56 +00 +22 +00 +4e +00 +29 +00 +53 +00 +36 +00 +4e +00 +25 +00 +4e +00 +23 +00 +53 +00 +2b +00 +54 +00 +55 +00 +89 +00 +91 +00 +47 +10 +5b +10 +ed +40 +81 +20 +b8 +40 +ab +10 +26 +20 +02 +10 +3f +10 +8e +00 +7e +00 +46 +00 +57 +00 +2d +00 +5a +00 +2d +00 +50 +00 +2b +00 +43 +00 +26 +00 +5c +00 +2a +00 +60 +00 +2c +00 +5a +00 +2d +00 +64 +00 +32 +00 +54 +00 +21 +00 +56 +00 +30 +00 +57 +00 +33 +00 +54 +00 +38 +00 +69 +00 +3a +00 +5a +00 +3b +00 +5d +00 +58 +00 +99 +00 +45 +10 +4b +20 +6e +10 +32 +10 +ac +00 +af +00 +6d +00 +7d +00 +50 +00 +7c +00 +77 +00 +95 +00 +75 +00 +82 +00 +72 +00 +92 +00 +74 +00 +a5 +00 +b1 +00 +56 +10 +6a +10 +a6 +40 +91 +20 +a9 +60 +b5 +20 +91 +20 +b3 +20 +3b +60 +3d +20 +5b +30 +60 +10 +78 +10 +b0 +00 +8d +00 +99 +00 +ff +00 +da +10 +c2 +20 +37 +10 +0d +10 +bd +00 +91 +00 +66 +00 +94 +00 +6a +00 +d3 +00 +ba +00 +23 +10 +c3 +00 +a9 +10 +af +00 +ad +00 +7c +00 +70 +00 +47 +00 +00 +00 +00 +00 +3a +00 +b6 +00 +44 +00 +bc +00 +60 +00 +85 +10 +e8 +00 +05 +30 +a2 +10 +8a +50 +9b +10 +61 +30 +c0 +00 +bd +10 +52 +00 +1a +10 +61 +00 +5e +10 +9b +00 +a8 +10 +60 +00 +07 +10 +57 +00 +f9 +00 +58 +00 +f9 +00 +4a +00 +ba +00 +49 +00 +e1 +00 +5f +00 +96 +10 +e2 +00 +b8 +10 +b5 +00 +92 +20 +96 +10 +c1 +30 +05 +10 +97 +10 +53 +00 +f2 +00 +57 +00 +6e +10 +9c +00 +99 +20 +ea +00 +c5 +20 +67 +10 +e1 +30 +54 +10 +41 +40 +d8 +10 +2b +40 +91 +00 +3f +10 +44 +00 +b7 +00 +3e +00 +bc +00 +47 +00 +db +00 +46 +00 +ba +00 +3d +00 +92 +00 +31 +00 +93 +00 +31 +00 +ac +00 +34 +00 +a0 +00 +2f +00 +92 +00 +35 +00 +86 +00 +34 +00 +82 +00 +34 +00 +7a +00 +31 +00 +82 +00 +29 +00 +ae +00 +ff +00 +59 +20 +4e +00 +8e +00 +21 +00 +6c +00 +22 +00 +58 +00 +24 +00 +60 +00 +29 +00 +65 +00 +23 +00 +5b +00 +28 +00 +70 +00 +31 +00 +66 +00 +1c +00 +62 +00 +25 +00 +5a +00 +20 +00 +5e +00 +28 +00 +63 +00 +29 +00 +b6 +00 +5f +00 +28 +10 +38 +00 +80 +00 +26 +00 +5e +00 +21 +00 +62 +00 +23 +00 +6b +00 +22 +00 +5e +00 +25 +00 +61 +00 +23 +00 +6a +00 +25 +00 +6e +00 +27 +00 +58 +00 +26 +00 +5f +00 +22 +00 +55 +00 +24 +00 +6e +00 +2e +00 +70 +00 +20 +00 +56 +00 +23 +00 +4f +00 +19 +00 +48 +00 +17 +00 +4f +00 +23 +00 +53 +00 +1f +00 +64 +00 +29 +00 +62 +00 +44 +00 +f8 +00 +37 +00 +9a +00 +32 +00 +73 +00 +23 +00 +61 +00 +25 +00 +55 +00 +27 +00 +50 +00 +20 +00 +44 +00 +1d +00 +62 +00 +2e +00 +8a +00 +29 +00 +74 +00 +28 +00 +68 +00 +2d +00 +67 +00 +22 +00 +62 +00 +26 +00 +5e +00 +2f +00 +80 +00 +2e +00 +7e +00 +25 +00 +72 +00 +27 +00 +62 +00 +22 +00 +58 +00 +32 +00 +75 +00 +2e +00 +80 +00 +28 +00 +5a +00 +22 +00 +5c +00 +25 +00 +53 +00 +1b +00 +52 +00 +1d +00 +4d +00 +17 +00 +48 +00 +20 +00 +65 +00 +22 +00 +63 +00 +20 +00 +50 +00 +1d +00 +45 +00 +19 +00 +64 +00 +21 +00 +5f +00 +2a +00 +4d +00 +1d +00 +59 +00 +21 +00 +51 +00 +22 +00 +6c +00 +23 +00 +6a +00 +2c +00 +82 +00 +7b +00 +10 +10 +45 +00 +a0 +00 +39 +00 +95 +00 +37 +00 +93 +00 +42 +00 +96 +00 +50 +00 +f9 +10 +cf +00 +99 +10 +c4 +00 +89 +20 +16 +10 +b5 +20 +9b +00 +c3 +10 +e0 +00 +37 +30 +8a +00 +67 +10 +56 +00 +ec +00 +56 +00 +ff +00 +66 +00 +f5 +10 +25 +10 +86 +20 +c9 +00 +64 +20 +59 +10 +15 +50 +c1 +20 +ef +60 +7b +20 +9a +70 +e5 +20 +14 +80 +cb +20 +11 +80 +eb +20 +e2 +70 +bd +20 +ac +60 +23 +20 +a3 +50 +20 +20 +1f +60 +22 +20 +d4 +50 +cc +10 +de +40 +39 +20 +c6 +50 +0c +10 +39 +20 +f5 +00 +c6 +40 +8d +20 +74 +60 +9a +10 +8b +20 +cb +00 +f4 +30 +bd +10 +51 +40 +57 +10 +e6 +30 +47 +10 +af +50 +67 +20 +3e +60 +41 +10 +d4 +20 +34 +10 +7c +30 +30 +10 +16 +40 +9b +10 +fb +40 +14 +10 +a1 +20 +9f +00 +82 +10 +6a +00 +6f +10 +78 +00 +85 +10 +67 +00 +3b +10 +be +00 +41 +20 +4f +00 +c9 +00 +2d +00 +96 +00 +82 +00 +6c +20 +69 +00 +b6 +00 +2a +00 +86 +00 +21 +00 +82 +00 +59 +00 +68 +10 +53 +00 +c4 +00 +36 +00 +b6 +00 +3e +00 +a2 +00 +2c +00 +74 +00 +2b +00 +68 +00 +2b +00 +82 +00 +4f +00 +d5 +10 +52 +00 +91 +00 +24 +00 +75 +00 +27 +00 +5a +00 +1f +00 +50 +00 +1c +00 +3c +00 +16 +00 +3a +00 +18 +00 +3d +00 +13 +00 +40 +00 +25 +00 +4b +00 +1f +00 +58 +00 +1e +00 +41 +00 +10 +00 +46 +00 +1d +00 +48 +00 +16 +00 +58 +00 +30 +00 +65 +00 +24 +00 +46 +00 +18 +00 +3c +00 +19 +00 +47 +00 +1e +00 +50 +00 +23 +00 +4f +00 +19 +00 +4c +00 +18 +00 +3e +00 +26 +00 +b3 +00 +2b +00 +4b +00 +1e +00 +4a +00 +18 +00 +4e +00 +20 +00 +64 +00 +23 +00 +92 +00 +52 +00 +6b +10 +79 +10 +99 +30 +60 +10 +39 +20 +71 +00 +23 +10 +47 +00 +98 +00 +25 +00 +5c +00 +20 +00 +4b +00 +1d +00 +45 +00 +21 +00 +4b +00 +22 +00 +49 +00 +25 +00 +54 +00 +1b +00 +56 +00 +24 +00 +5b +00 +24 +00 +5b +00 +1f +00 +51 +00 +26 +00 +56 +00 +1b +00 +54 +00 +28 +00 +5f +00 +20 +00 +69 +00 +21 +00 +64 +00 +2f +00 +7e +00 +75 +00 +07 +40 +4f +20 +de +30 +68 +00 +eb +00 +2e +00 +84 +00 +2d +00 +74 +00 +2b +00 +af +00 +a0 +00 +f2 +00 +40 +00 +a5 +00 +34 +00 +92 +00 +36 +00 +af +00 +4c +00 +d5 +10 +10 +10 +82 +20 +88 +10 +0b +40 +a7 +00 +45 +20 +f0 +00 +ba +20 +a1 +00 +89 +10 +52 +00 +ae +00 +39 +00 +d5 +00 +7a +00 +e7 +20 +f8 +00 +7d +20 +b9 +00 +14 +10 +3d +00 +8f +00 +5e +00 +16 +10 +dd +00 +d0 +10 +63 +00 +23 +10 +60 +00 +cc +00 +32 +00 +d4 +00 +68 +00 +87 +00 +00 +00 +00 +00 +a5 +00 +72 +00 +c4 +00 +9a +00 +cb +00 +e5 +00 +71 +10 +63 +10 +de +20 +02 +30 +6c +40 +03 +30 +ad +30 +75 +10 +36 +10 +e4 +00 +09 +10 +e8 +00 +12 +10 +b3 +00 +03 +10 +8e +00 +ce +00 +a5 +00 +f4 +00 +9d +00 +b6 +00 +76 +00 +bc +00 +a7 +00 +fd +00 +d2 +00 +21 +10 +b8 +00 +2e +10 +f3 +00 +b8 +10 +19 +10 +b4 +10 +d5 +00 +48 +10 +b9 +00 +e7 +00 +17 +10 +77 +10 +c5 +10 +cc +20 +38 +20 +d8 +20 +82 +10 +d1 +10 +cf +10 +c3 +30 +7d +20 +c4 +20 +aa +10 +53 +10 +c6 +00 +db +00 +d1 +00 +e4 +00 +ca +10 +da +20 +0a +10 +af +00 +6d +00 +95 +00 +66 +00 +95 +00 +62 +00 +8e +00 +50 +00 +8a +00 +4c +00 +86 +00 +4b +00 +85 +00 +55 +00 +7f +00 +62 +00 +a3 +00 +4d +00 +7f +00 +17 +10 +4e +20 +21 +10 +56 +10 +79 +00 +70 +00 +37 +00 +5d +00 +38 +00 +6c +00 +3b +00 +67 +00 +3c +00 +64 +00 +3d +00 +67 +00 +3e +00 +77 +00 +3e +00 +68 +00 +39 +00 +55 +00 +30 +00 +63 +00 +38 +00 +50 +00 +48 +00 +78 +00 +75 +00 +c0 +00 +73 +00 +9f +00 +4f +00 +74 +00 +41 +00 +5c +00 +3e +00 +54 +00 +36 +00 +5d +00 +33 +00 +5f +00 +3b +00 +58 +00 +3a +00 +5f +00 +3a +00 +6e +00 +79 +00 +16 +10 +50 +00 +5a +00 +35 +00 +69 +00 +39 +00 +57 +00 +3d +00 +55 +00 +28 +00 +4b +00 +36 +00 +48 +00 +2e +00 +45 +00 +28 +00 +4d +00 +2d +00 +68 +00 +37 +00 +59 +00 +3b +00 +75 +00 +4b +00 +8c +00 +70 +00 +93 +00 +41 +00 +68 +00 +3c +00 +4f +00 +3a +00 +5e +00 +31 +00 +4d +00 +2f +00 +4a +00 +b6 +00 +c6 +10 +c3 +00 +d1 +00 +54 +00 +69 +00 +38 +00 +64 +00 +35 +00 +4b +00 +2e +00 +58 +00 +32 +00 +6c +00 +40 +00 +8f +00 +4e +00 +76 +00 +33 +00 +5d +00 +37 +00 +58 +00 +3a +00 +82 +00 +36 +00 +69 +00 +2e +00 +58 +00 +31 +00 +55 +00 +2a +00 +4b +00 +26 +00 +46 +00 +2c +00 +52 +00 +2f +00 +5b +00 +2b +00 +47 +00 +34 +00 +4e +00 +30 +00 +4d +00 +2f +00 +61 +00 +35 +00 +58 +00 +27 +00 +66 +00 +32 +00 +55 +00 +35 +00 +5b +00 +32 +00 +5d +00 +2f +00 +56 +00 +3d +00 +6c +00 +37 +00 +74 +00 +8e +00 +dc +00 +b4 +00 +d4 +00 +81 +00 +97 +00 +5a +00 +99 +00 +50 +00 +a7 +00 +68 +00 +df +00 +b1 +10 +fa +20 +76 +10 +bf +10 +6f +10 +1f +20 +3d +10 +98 +10 +5a +10 +05 +20 +e9 +10 +ff +20 +f8 +00 +25 +10 +ae +00 +f6 +00 +dd +00 +0d +10 +ab +10 +39 +20 +f4 +20 +9e +40 +6d +40 +c1 +60 +46 +50 +4f +80 +d8 +50 +c5 +80 +0c +60 +e0 +80 +11 +60 +e1 +80 +2d +60 +39 +90 +12 +60 +25 +90 +cd +50 +da +80 +b6 +50 +a0 +80 +ee +40 +4a +70 +c1 +20 +3d +30 +08 +20 +19 +30 +0c +20 +e1 +20 +cb +10 +22 +20 +4c +30 +e0 +60 +b0 +20 +70 +30 +76 +10 +ae +10 +33 +10 +25 +20 +99 +10 +e4 +40 +e6 +20 +f9 +20 +73 +20 +9b +50 +55 +30 +80 +30 +81 +20 +0e +50 +0c +30 +ec +40 +db +20 +64 +50 +36 +30 +f3 +40 +c9 +10 +d8 +10 +08 +10 +2d +10 +19 +10 +7f +10 +a0 +10 +e8 +10 +a2 +10 +19 +30 +b6 +10 +9a +10 +d8 +00 +bc +00 +96 +00 +41 +10 +0a +10 +83 +20 +ec +00 +00 +10 +8c +00 +98 +00 +63 +00 +8b +00 +64 +00 +ab +00 +7a +00 +b5 +00 +7f +00 +98 +00 +59 +00 +6f +00 +43 +00 +58 +00 +43 +00 +6c +00 +7a +00 +b8 +00 +5b +00 +e2 +00 +51 +00 +88 +00 +46 +00 +57 +00 +32 +00 +5b +00 +30 +00 +49 +00 +2c +00 +38 +00 +27 +00 +40 +00 +1f +00 +3d +00 +23 +00 +56 +00 +2d +00 +51 +00 +30 +00 +47 +00 +31 +00 +35 +00 +38 +00 +50 +00 +24 +00 +4d +00 +31 +00 +4a +00 +2a +00 +5a +00 +23 +00 +49 +00 +26 +00 +4a +00 +2f +00 +45 +00 +1f +00 +42 +00 +24 +00 +44 +00 +2c +00 +3d +00 +1e +00 +49 +00 +30 +00 +66 +00 +29 +00 +5b +00 +28 +00 +4b +00 +2a +00 +57 +00 +51 +00 +63 +00 +61 +00 +92 +00 +bc +00 +5a +10 +f1 +00 +19 +20 +28 +10 +34 +10 +b9 +00 +9f +00 +5a +00 +64 +00 +32 +00 +55 +00 +33 +00 +64 +00 +34 +00 +5c +00 +23 +00 +4f +00 +29 +00 +5b +00 +27 +00 +57 +00 +2b +00 +55 +00 +30 +00 +5d +00 +2b +00 +51 +00 +2b +00 +52 +00 +2a +00 +5d +00 +29 +00 +58 +00 +33 +00 +64 +00 +41 +00 +77 +00 +66 +00 +7d +00 +89 +00 +eb +00 +0c +20 +bc +60 +da +20 +73 +20 +25 +10 +ad +00 +74 +00 +78 +00 +51 +00 +77 +00 +8c +00 +5c +20 +cf +10 +7a +20 +4b +10 +da +00 +d6 +00 +b0 +00 +d0 +00 +d7 +00 +06 +10 +ef +10 +61 +10 +ed +10 +99 +10 +ec +20 +c7 +10 +b2 +10 +3c +10 +66 +10 +df +00 +b5 +00 +cc +00 +52 +10 +70 +20 +c6 +40 +77 +30 +81 +50 +3a +30 +44 +40 +68 +10 +36 +10 +7a +00 +ae +00 +6e +00 +f4 +00 +84 +00 +ed +00 +7a +00 +c2 +00 +6e +00 +84 +00 +7b +00 +a9 +10 +77 +00 +00 +00 +00 +00 +35 +00 +ba +00 +43 +00 +b2 +00 +47 +00 +ff +00 +65 +00 +4d +10 +bc +00 +4a +30 +7d +10 +3f +50 +5a +10 +ee +10 +5d +00 +ec +00 +4c +00 +dc +00 +52 +00 +ff +00 +48 +00 +c1 +00 +40 +00 +d5 +00 +3d +00 +b1 +00 +47 +00 +b9 +00 +48 +00 +c5 +00 +5d +00 +81 +10 +6e +00 +f7 +00 +50 +00 +fb +00 +67 +00 +37 +10 +5a +00 +ed +00 +56 +00 +c3 +00 +4d +00 +e2 +00 +88 +00 +f2 +10 +ca +00 +bc +20 +8d +00 +8f +10 +7a +00 +14 +20 +85 +10 +49 +30 +45 +10 +04 +40 +90 +00 +1e +10 +66 +00 +4c +10 +9f +00 +42 +30 +07 +10 +0d +20 +4b +00 +ae +00 +32 +00 +8c +00 +30 +00 +8f +00 +43 +00 +a8 +00 +37 +00 +91 +00 +3c +00 +94 +00 +3b +00 +97 +00 +31 +00 +a4 +00 +3e +00 +91 +00 +3e +00 +58 +10 +41 +10 +d2 +20 +5a +00 +a0 +00 +35 +00 +71 +00 +26 +00 +76 +00 +21 +00 +65 +00 +22 +00 +61 +00 +28 +00 +61 +00 +24 +00 +6c +00 +2b +00 +6e +00 +29 +00 +61 +00 +18 +00 +5a +00 +1a +00 +5b +00 +19 +00 +5a +00 +26 +00 +6c +00 +2b +00 +77 +00 +33 +00 +6e +00 +28 +00 +6e +00 +1e +00 +66 +00 +23 +00 +57 +00 +22 +00 +50 +00 +1b +00 +5b +00 +22 +00 +65 +00 +20 +00 +5c +00 +22 +00 +9d +00 +43 +00 +93 +00 +1f +00 +4b +00 +23 +00 +5a +00 +24 +00 +5b +00 +24 +00 +51 +00 +20 +00 +54 +00 +13 +00 +40 +00 +1f +00 +47 +00 +23 +00 +56 +00 +1b +00 +55 +00 +20 +00 +62 +00 +2c +00 +6f +00 +2f +00 +c0 +00 +4b +00 +8b +00 +26 +00 +5a +00 +24 +00 +50 +00 +25 +00 +55 +00 +1e +00 +46 +00 +26 +00 +44 +10 +39 +10 +34 +20 +53 +00 +97 +00 +2e +00 +6a +00 +23 +00 +66 +00 +1e +00 +61 +00 +17 +00 +64 +00 +2d +00 +74 +00 +28 +00 +6c +00 +26 +00 +5e +00 +29 +00 +58 +00 +24 +00 +6a +00 +22 +00 +5f +00 +28 +00 +6c +00 +31 +00 +6a +00 +25 +00 +56 +00 +21 +00 +44 +00 +1e +00 +4c +00 +20 +00 +49 +00 +22 +00 +51 +00 +22 +00 +52 +00 +21 +00 +53 +00 +1a +00 +52 +00 +1a +00 +51 +00 +20 +00 +56 +00 +20 +00 +56 +00 +26 +00 +68 +00 +26 +00 +5e +00 +27 +00 +49 +00 +2b +00 +6d +00 +2e +00 +6a +00 +32 +00 +fe +00 +fc +00 +a6 +10 +48 +00 +b9 +00 +3c +00 +91 +00 +2b +00 +95 +00 +41 +00 +aa +00 +80 +00 +5c +30 +a9 +10 +d5 +20 +ae +00 +2c +30 +b2 +00 +ed +10 +76 +00 +73 +10 +8e +00 +d0 +10 +9b +00 +91 +10 +65 +00 +0e +10 +62 +00 +23 +10 +77 +00 +bb +20 +0b +20 +f8 +60 +17 +30 +2a +80 +53 +30 +10 +90 +70 +30 +68 +90 +9b +30 +cd +90 +b4 +30 +ef +90 +cd +30 +e5 +90 +9c +30 +f3 +90 +ae +30 +db +90 +7f +30 +6d +90 +8e +30 +a5 +80 +cd +10 +8c +30 +ee +00 +a1 +20 +e5 +00 +7a +20 +c8 +00 +65 +20 +32 +10 +ba +40 +06 +20 +01 +40 +d6 +00 +fd +10 +83 +00 +6f +10 +8a +00 +e4 +10 +96 +10 +62 +50 +23 +10 +f5 +20 +35 +20 +84 +60 +51 +10 +b5 +30 +14 +20 +d7 +30 +19 +10 +bb +30 +14 +20 +ed +40 +28 +10 +4e +20 +6c +00 +0f +10 +6d +00 +da +10 +d4 +00 +64 +20 +50 +10 +18 +30 +14 +10 +ff +20 +a8 +00 +34 +10 +59 +00 +d6 +00 +54 +00 +dc +00 +67 +00 +30 +10 +a5 +00 +15 +10 +42 +00 +96 +00 +2b +00 +8c +00 +41 +00 +a8 +00 +3d +00 +a2 +00 +38 +00 +b0 +00 +29 +00 +69 +00 +1f +00 +53 +00 +28 +00 +9b +00 +3a +00 +9d +00 +2b +00 +85 +00 +27 +00 +60 +00 +23 +00 +60 +00 +1b +00 +4f +00 +17 +00 +3c +00 +17 +00 +3d +00 +14 +00 +37 +00 +1a +00 +3d +00 +20 +00 +52 +00 +1c +00 +5c +00 +20 +00 +44 +00 +1c +00 +45 +00 +1b +00 +3c +00 +1d +00 +47 +00 +21 +00 +42 +00 +21 +00 +48 +00 +1d +00 +42 +00 +19 +00 +57 +00 +20 +00 +58 +00 +1a +00 +4e +00 +18 +00 +44 +00 +1c +00 +43 +00 +1e +00 +66 +00 +2a +00 +87 +00 +21 +00 +45 +00 +18 +00 +4e +00 +1f +00 +99 +00 +2a +00 +5f +00 +20 +00 +89 +00 +49 +00 +0d +10 +5a +00 +e9 +00 +2a +00 +9f +00 +24 +00 +74 +00 +25 +00 +5c +00 +29 +00 +4c +00 +24 +00 +60 +00 +24 +00 +5b +00 +25 +00 +5d +00 +28 +00 +64 +00 +24 +00 +55 +00 +2d +00 +6c +00 +26 +00 +64 +00 +23 +00 +5f +00 +24 +00 +49 +00 +20 +00 +50 +00 +23 +00 +4c +00 +29 +00 +66 +00 +36 +00 +ba +00 +3d +00 +a8 +00 +48 +00 +69 +20 +6c +20 +5b +60 +f1 +00 +92 +10 +37 +00 +7d +00 +30 +00 +7e +00 +35 +00 +c7 +00 +1b +10 +75 +40 +b6 +10 +08 +40 +7a +00 +18 +10 +41 +00 +bf +00 +49 +00 +0b +10 +6a +00 +51 +10 +7b +00 +4a +20 +03 +10 +d0 +10 +63 +00 +1c +10 +44 +00 +b3 +00 +3c +00 +2b +10 +f4 +00 +c7 +50 +eb +20 +f2 +60 +97 +20 +73 +60 +83 +10 +42 +20 +58 +00 +be +00 +39 +00 +94 +00 +3b +00 +b9 +00 +5a +00 +c0 +00 +40 +00 +8c +00 +36 +00 +8e +00 +51 +00 +b0 +00 +00 +00 +00 +00 +aa +00 +7a +00 +af +00 +8c +00 +b3 +00 +c4 +00 +f8 +00 +16 +10 +48 +10 +df +10 +01 +40 +10 +30 +13 +40 +84 +10 +30 +10 +36 +10 +3e +10 +c8 +00 +e8 +00 +bc +00 +e3 +00 +9d +00 +dc +00 +76 +00 +a4 +00 +6c +00 +ae +00 +73 +00 +ac +00 +d2 +00 +bd +10 +05 +10 +9d +10 +a8 +00 +b3 +00 +aa +00 +1a +10 +bd +00 +f1 +00 +7f +00 +b9 +00 +bc +00 +f1 +00 +36 +10 +ba +10 +fa +10 +35 +20 +63 +10 +95 +10 +05 +10 +56 +10 +f7 +10 +53 +30 +7e +20 +5b +40 +c2 +20 +f0 +20 +8a +10 +f3 +10 +e8 +20 +4f +40 +bf +20 +7e +30 +6b +10 +0b +10 +81 +00 +9c +00 +67 +00 +94 +00 +69 +00 +a8 +00 +69 +00 +96 +00 +60 +00 +a3 +00 +55 +00 +8e +00 +6d +00 +9d +00 +62 +00 +98 +00 +54 +00 +7a +00 +bd +00 +f1 +10 +41 +10 +9f +10 +a0 +00 +7b +00 +41 +00 +64 +00 +3d +00 +5b +00 +36 +00 +5c +00 +3e +00 +52 +00 +33 +00 +63 +00 +3a +00 +66 +00 +39 +00 +6c +00 +36 +00 +50 +00 +3c +00 +5e +00 +37 +00 +4f +00 +3e +00 +5c +00 +4a +00 +63 +00 +52 +00 +9e +00 +48 +00 +50 +00 +6c +00 +aa +00 +49 +00 +66 +00 +43 +00 +54 +00 +41 +00 +5a +00 +58 +00 +95 +00 +8e +00 +85 +00 +47 +00 +67 +00 +44 +00 +63 +00 +36 +00 +59 +00 +3b +00 +58 +00 +35 +00 +5a +00 +32 +00 +54 +00 +2a +00 +4e +00 +3c +00 +4f +00 +2c +00 +46 +00 +30 +00 +41 +00 +2f +00 +49 +00 +32 +00 +50 +00 +3d +00 +67 +00 +3a +00 +63 +00 +3b +00 +88 +00 +38 +00 +63 +00 +39 +00 +4e +00 +2b +00 +4e +00 +23 +00 +41 +00 +34 +00 +5f +00 +7f +00 +55 +10 +7a +00 +fd +00 +5b +00 +74 +00 +37 +00 +6f +00 +3c +00 +68 +00 +33 +00 +76 +00 +33 +00 +6c +00 +37 +00 +74 +00 +38 +00 +6b +00 +2f +00 +61 +00 +28 +00 +64 +00 +2c +00 +71 +00 +2d +00 +66 +00 +36 +00 +62 +00 +33 +00 +5d +00 +2c +00 +51 +00 +2f +00 +46 +00 +2b +00 +54 +00 +2a +00 +48 +00 +3f +00 +45 +00 +37 +00 +54 +00 +29 +00 +48 +00 +2d +00 +56 +00 +34 +00 +48 +00 +2e +00 +56 +00 +2e +00 +5a +00 +30 +00 +60 +00 +2d +00 +56 +00 +35 +00 +68 +00 +41 +00 +6a +00 +48 +00 +7c +00 +0d +10 +08 +40 +12 +20 +fc +10 +d8 +00 +a4 +00 +6a +00 +83 +00 +6f +00 +c6 +00 +8e +00 +0c +10 +5d +20 +3a +60 +d7 +20 +89 +20 +77 +10 +b7 +10 +54 +10 +27 +20 +7c +20 +9f +20 +ff +00 +25 +10 +fb +00 +1f +10 +50 +10 +45 +10 +4a +20 +8e +30 +05 +40 +89 +70 +54 +50 +fd +80 +f2 +50 +57 +90 +6a +60 +d0 +90 +84 +60 +f0 +90 +9c +60 +4e +a0 +99 +60 +47 +a0 +e2 +60 +2e +a0 +db +60 +1a +a0 +7d +60 +00 +a0 +94 +60 +91 +90 +75 +40 +91 +40 +02 +30 +2f +30 +c3 +30 +32 +50 +b4 +30 +cc +40 +e2 +30 +73 +60 +ec +30 +fc +40 +60 +20 +a2 +20 +63 +10 +6a +10 +12 +10 +5e +10 +31 +10 +02 +20 +82 +10 +ec +20 +58 +30 +c4 +50 +72 +40 +fe +60 +d0 +30 +a4 +40 +e4 +10 +14 +20 +a1 +10 +0c +30 +a3 +10 +48 +20 +26 +10 +40 +10 +1e +10 +05 +20 +42 +10 +62 +10 +41 +10 +f9 +20 +9c +10 +a4 +20 +72 +20 +b5 +30 +05 +10 +f3 +00 +df +00 +37 +10 +33 +10 +37 +10 +17 +10 +7a +10 +1e +10 +58 +10 +8f +00 +86 +00 +93 +00 +6e +10 +1e +10 +dc +00 +81 +00 +8a +00 +64 +00 +9d +00 +3f +00 +6a +00 +66 +00 +81 +00 +8a +00 +7c +00 +5b +00 +77 +00 +48 +00 +7b +00 +3c +00 +6d +00 +2e +00 +4e +00 +32 +00 +48 +00 +27 +00 +47 +00 +24 +00 +41 +00 +25 +00 +3a +00 +28 +00 +45 +00 +2e +00 +57 +00 +2e +00 +47 +00 +24 +00 +3b +00 +2d +00 +3f +00 +25 +00 +43 +00 +26 +00 +44 +00 +22 +00 +48 +00 +2e +00 +46 +00 +24 +00 +4c +00 +2b +00 +4d +00 +35 +00 +49 +00 +3b +00 +4d +00 +2d +00 +54 +00 +31 +00 +48 +00 +36 +00 +86 +00 +6a +00 +b2 +00 +35 +00 +3e +00 +32 +00 +4c +00 +2f +00 +5b +00 +3f +00 +52 +00 +6b +00 +86 +00 +88 +00 +b2 +00 +79 +00 +83 +00 +5f +00 +65 +00 +3d +00 +66 +00 +28 +00 +52 +00 +2a +00 +45 +00 +28 +00 +47 +00 +25 +00 +48 +00 +1f +00 +4d +00 +2b +00 +54 +00 +30 +00 +52 +00 +2e +00 +5b +00 +2b +00 +54 +00 +2b +00 +5d +00 +2a +00 +4f +00 +2e +00 +5f +00 +3e +00 +63 +00 +cd +00 +53 +10 +66 +20 +9a +20 +31 +10 +d9 +00 +50 +10 +6f +40 +de +20 +bd +40 +8f +10 +04 +10 +87 +00 +81 +00 +5b +00 +80 +00 +d6 +00 +dc +10 +90 +20 +94 +60 +16 +40 +7f +50 +be +20 +a6 +10 +df +10 +19 +10 +fa +10 +b2 +10 +49 +20 +5a +20 +1c +20 +b1 +20 +3d +10 +11 +10 +ea +00 +98 +00 +8f +00 +88 +00 +b9 +00 +c1 +10 +35 +20 +f1 +50 +24 +20 +92 +30 +da +10 +d1 +20 +0f +10 +07 +10 +81 +00 +94 +00 +5c +00 +93 +00 +5c +00 +9e +00 +63 +00 +8c +00 +5d +00 +7c +00 +47 +00 +91 +00 +5a +00 +00 +00 +00 +00 +49 +00 +ad +00 +48 +00 +ba +00 +46 +00 +07 +10 +4c +00 +e1 +00 +53 +00 +ab +10 +7f +10 +b6 +40 +25 +10 +1e +20 +be +00 +42 +30 +08 +10 +6a +10 +4b +00 +f6 +00 +56 +00 +c9 +00 +42 +00 +b5 +00 +36 +00 +a5 +00 +3a +00 +9a +00 +59 +00 +de +10 +a5 +00 +6d +10 +57 +00 +e5 +00 +37 +00 +b1 +00 +46 +00 +dd +00 +43 +00 +bb +00 +52 +00 +35 +10 +c6 +00 +2f +20 +a7 +00 +21 +30 +24 +10 +ec +10 +5f +00 +07 +10 +ba +00 +00 +30 +fb +00 +0a +30 +f9 +10 +4d +50 +f0 +00 +00 +20 +39 +10 +50 +50 +5f +20 +60 +60 +47 +10 +42 +20 +5f +00 +cf +00 +3a +00 +8f +00 +3c +00 +aa +00 +3f +00 +b4 +00 +40 +00 +9e +00 +42 +00 +ae +00 +3d +00 +a8 +00 +43 +00 +c6 +00 +2e +00 +7f +00 +2e +00 +a4 +00 +c5 +00 +15 +30 +c4 +00 +1a +10 +2e +00 +6a +00 +1c +00 +61 +00 +20 +00 +65 +00 +21 +00 +5d +00 +1f +00 +69 +00 +30 +00 +64 +00 +28 +00 +6b +00 +22 +00 +61 +00 +21 +00 +5e +00 +1e +00 +5f +00 +1f +00 +59 +00 +23 +00 +53 +00 +22 +00 +79 +00 +2a +00 +85 +00 +22 +00 +8c +00 +95 +00 +eb +00 +24 +00 +5c +00 +25 +00 +58 +00 +27 +00 +92 +00 +54 +00 +da +00 +3c +00 +74 +00 +29 +00 +6d +00 +28 +00 +6b +00 +19 +00 +4c +00 +22 +00 +5d +00 +1c +00 +55 +00 +22 +00 +64 +00 +21 +00 +59 +00 +1f +00 +40 +00 +18 +00 +49 +00 +17 +00 +45 +00 +1b +00 +58 +00 +20 +00 +4f +00 +25 +00 +55 +00 +2a +00 +63 +00 +27 +00 +73 +00 +22 +00 +50 +00 +18 +00 +52 +00 +1c +00 +44 +00 +1c +00 +49 +00 +25 +00 +8b +00 +40 +00 +bf +00 +3a +00 +7e +00 +2b +00 +73 +00 +30 +00 +75 +00 +20 +00 +5d +00 +2a +00 +75 +00 +27 +00 +6a +00 +26 +00 +60 +00 +1c +00 +69 +00 +2a +00 +5f +00 +22 +00 +59 +00 +29 +00 +59 +00 +2a +00 +5e +00 +28 +00 +5d +00 +1e +00 +54 +00 +20 +00 +4e +00 +1d +00 +47 +00 +1e +00 +58 +00 +1c +00 +50 +00 +26 +00 +5d +00 +14 +00 +57 +00 +25 +00 +4c +00 +22 +00 +54 +00 +23 +00 +45 +00 +22 +00 +53 +00 +1f +00 +57 +00 +2a +00 +56 +00 +20 +00 +5d +00 +2a +00 +6f +00 +30 +00 +89 +00 +36 +00 +f1 +00 +6d +10 +d4 +40 +10 +10 +f2 +10 +5b +00 +a3 +00 +43 +00 +b9 +00 +47 +00 +ad +00 +63 +00 +2f +20 +ab +20 +71 +60 +0a +10 +cf +10 +72 +00 +5d +10 +72 +10 +1e +50 +f2 +00 +c2 +10 +87 +00 +5c +10 +dc +00 +ab +30 +13 +10 +f7 +30 +9b +20 +bd +70 +4d +30 +41 +90 +a0 +30 +e9 +90 +9b +30 +0b +a0 +de +30 +22 +a0 +ce +30 +a2 +a0 +ca +30 +54 +a0 +bf +30 +92 +a0 +cb +30 +77 +a0 +b8 +30 +0a +a0 +b7 +30 +49 +a0 +52 +30 +b4 +60 +96 +10 +b7 +40 +52 +20 +13 +70 +ce +20 +cc +70 +cb +20 +b8 +70 +9e +20 +70 +60 +b2 +20 +34 +60 +c4 +00 +cb +10 +7d +00 +2b +10 +71 +00 +42 +10 +79 +00 +9a +10 +c2 +00 +e6 +30 +a7 +20 +e3 +70 +d0 +20 +55 +60 +16 +10 +4c +20 +8c +00 +9c +10 +9d +00 +ba +10 +84 +00 +50 +10 +5a +00 +3d +10 +01 +10 +97 +10 +71 +00 +4b +10 +a1 +00 +b4 +10 +2e +10 +56 +40 +4a +10 +f9 +10 +55 +00 +0e +10 +43 +10 +79 +30 +ae +00 +bb +10 +10 +10 +9d +20 +7b +00 +c9 +00 +3c +00 +9e +00 +fa +00 +b0 +20 +59 +00 +bb +00 +2c +00 +9d +00 +33 +00 +7d +00 +2e +00 +9f +00 +ac +00 +01 +10 +2b +00 +6f +00 +25 +00 +73 +00 +2c +00 +69 +00 +27 +00 +68 +00 +1c +00 +4d +00 +1f +00 +3b +00 +16 +00 +3c +00 +1c +00 +3d +00 +15 +00 +39 +00 +20 +00 +52 +00 +1f +00 +54 +00 +23 +00 +3f +00 +15 +00 +3f +00 +1a +00 +3e +00 +18 +00 +49 +00 +1f +00 +4a +00 +1c +00 +45 +00 +23 +00 +44 +00 +1d +00 +41 +00 +27 +00 +6b +00 +21 +00 +5d +00 +1f +00 +44 +00 +1a +00 +4f +00 +16 +00 +4f +00 +2d +00 +64 +00 +23 +00 +55 +00 +20 +00 +43 +00 +20 +00 +48 +00 +1c +00 +5b +00 +1b +00 +5b +00 +24 +00 +5f +00 +26 +00 +61 +00 +26 +00 +65 +00 +21 +00 +52 +00 +20 +00 +44 +00 +1d +00 +58 +00 +1f +00 +5e +00 +19 +00 +46 +00 +1b +00 +4e +00 +1b +00 +45 +00 +1e +00 +4b +00 +22 +00 +49 +00 +24 +00 +4d +00 +21 +00 +58 +00 +27 +00 +4a +00 +24 +00 +62 +00 +22 +00 +62 +00 +37 +00 +a0 +10 +1d +20 +a2 +60 +0c +20 +27 +20 +46 +00 +39 +10 +3e +10 +49 +40 +6c +10 +59 +20 +56 +00 +be +00 +3a +00 +84 +00 +37 +00 +f2 +00 +7b +10 +83 +50 +ad +20 +d8 +70 +0c +30 +1a +70 +4e +20 +2a +50 +7e +10 +66 +40 +4a +20 +e9 +40 +1d +10 +d9 +20 +53 +10 +53 +20 +65 +00 +58 +10 +49 +00 +8b +00 +31 +00 +9a +00 +70 +00 +03 +20 +fc +00 +8e +20 +ab +00 +f4 +10 +84 +00 +5f +10 +41 +00 +ad +00 +31 +00 +81 +00 +28 +00 +7c +00 +3a +00 +77 +00 +2e +00 +79 +00 +2a +00 +72 +00 +30 +00 +ac +00 +00 +00 +00 +00 +a8 +00 +74 +00 +ba +00 +74 +00 +c6 +00 +2d +10 +d0 +10 +e5 +00 +e9 +00 +b3 +10 +6d +30 +26 +30 +c5 +40 +f9 +10 +5e +20 +33 +10 +94 +20 +95 +10 +e3 +10 +3f +10 +ed +00 +d7 +00 +e4 +00 +a5 +00 +a8 +00 +67 +00 +96 +00 +71 +00 +bc +00 +a2 +00 +20 +10 +99 +00 +c7 +00 +81 +00 +a0 +00 +7b +00 +ad +00 +9e +00 +bf +00 +95 +00 +c6 +00 +af +10 +5a +40 +62 +20 +30 +30 +66 +20 +ae +30 +54 +10 +5e +10 +05 +10 +95 +10 +07 +20 +36 +30 +e1 +20 +3f +50 +35 +30 +78 +40 +43 +30 +d6 +40 +46 +40 +58 +70 +6c +40 +31 +60 +1f +20 +62 +10 +b1 +00 +c3 +00 +94 +00 +b3 +00 +7a +00 +b9 +00 +76 +00 +b1 +00 +69 +00 +ab +00 +7a +00 +c4 +00 +f0 +00 +7e +10 +81 +00 +8f +00 +59 +00 +7a +00 +89 +00 +41 +10 +af +10 +84 +30 +ef +00 +c5 +00 +59 +00 +62 +00 +37 +00 +62 +00 +37 +00 +64 +00 +34 +00 +51 +00 +30 +00 +65 +00 +3a +00 +60 +00 +3b +00 +5f +00 +3c +00 +5e +00 +33 +00 +52 +00 +35 +00 +51 +00 +39 +00 +61 +00 +39 +00 +51 +00 +3f +00 +65 +00 +3a +00 +66 +00 +4d +00 +8e +00 +4a +00 +84 +00 +40 +00 +69 +00 +68 +00 +d1 +00 +10 +10 +51 +20 +8f +00 +84 +00 +52 +00 +5d +00 +38 +00 +5c +00 +3f +00 +4f +00 +34 +00 +51 +00 +31 +00 +56 +00 +35 +00 +62 +00 +3e +00 +53 +00 +3f +00 +4d +00 +2a +00 +41 +00 +27 +00 +41 +00 +29 +00 +4c +00 +2d +00 +56 +00 +2f +00 +5f +00 +38 +00 +53 +00 +30 +00 +6d +00 +32 +00 +55 +00 +34 +00 +46 +00 +2f +00 +48 +00 +2a +00 +4e +00 +3c +00 +52 +00 +42 +00 +91 +00 +42 +00 +9d +00 +44 +00 +62 +00 +34 +00 +66 +00 +35 +00 +66 +00 +36 +00 +5d +00 +35 +00 +59 +00 +3a +00 +63 +00 +3f +00 +63 +00 +2b +00 +58 +00 +32 +00 +69 +00 +2d +00 +65 +00 +37 +00 +67 +00 +30 +00 +68 +00 +2c +00 +56 +00 +35 +00 +6e +00 +30 +00 +42 +00 +2a +00 +4e +00 +32 +00 +4d +00 +34 +00 +7b +00 +3e +00 +65 +00 +46 +00 +4d +00 +38 +00 +5c +00 +34 +00 +54 +00 +30 +00 +54 +00 +31 +00 +55 +00 +2b +00 +52 +00 +32 +00 +58 +00 +3b +00 +6d +00 +47 +00 +7c +00 +68 +00 +98 +00 +7f +00 +4c +10 +cd +00 +69 +20 +20 +10 +60 +10 +31 +10 +2d +20 +9c +00 +d8 +00 +9d +00 +db +00 +9a +10 +16 +50 +29 +20 +f8 +20 +79 +10 +ce +10 +60 +10 +e3 +10 +0f +10 +f8 +10 +73 +10 +a2 +20 +8c +20 +1c +30 +81 +30 +43 +60 +e8 +40 +32 +80 +87 +50 +6b +90 +a9 +60 +67 +a0 +90 +60 +62 +a0 +df +60 +6f +a0 +f8 +60 +8a +a0 +14 +70 +91 +a0 +29 +70 +52 +a0 +1a +70 +b5 +a0 +09 +70 +7f +a0 +e8 +60 +a4 +a0 +f0 +60 +b1 +90 +54 +60 +95 +80 +a8 +50 +2a +80 +3a +40 +fa +50 +0f +30 +a3 +50 +c5 +20 +85 +40 +93 +20 +df +50 +f3 +20 +36 +30 +9e +10 +5f +10 +fb +00 +fe +00 +f3 +00 +35 +10 +e4 +10 +3d +30 +fd +30 +0d +60 +09 +40 +39 +60 +88 +30 +e3 +30 +00 +20 +9e +10 +32 +10 +4b +10 +f9 +00 +59 +10 +11 +10 +06 +10 +b3 +10 +c5 +30 +2e +10 +27 +10 +d1 +00 +16 +10 +d2 +00 +69 +10 +18 +10 +10 +20 +fe +00 +c3 +10 +09 +20 +89 +40 +b1 +20 +18 +40 +0c +20 +6c +30 +ae +10 +c3 +10 +ae +00 +b6 +00 +47 +10 +bd +20 +a1 +10 +af +10 +c9 +00 +a1 +00 +57 +00 +81 +00 +61 +00 +83 +00 +93 +10 +d9 +20 +f5 +00 +b8 +00 +67 +00 +63 +00 +42 +00 +78 +00 +40 +00 +7c +00 +37 +00 +56 +00 +2e +00 +3e +00 +26 +00 +3a +00 +22 +00 +33 +00 +1c +00 +40 +00 +24 +00 +4f +00 +32 +00 +5d +00 +26 +00 +4a +00 +25 +00 +3a +00 +2e +00 +40 +00 +22 +00 +44 +00 +2a +00 +4d +00 +30 +00 +4a +00 +25 +00 +46 +00 +26 +00 +47 +00 +28 +00 +55 +00 +5c +00 +70 +10 +69 +00 +6c +00 +2e +00 +52 +00 +2b +00 +48 +00 +2b +00 +4b +00 +27 +00 +45 +00 +31 +00 +45 +00 +25 +00 +46 +00 +33 +00 +57 +00 +28 +00 +58 +00 +3e +00 +59 +00 +41 +00 +57 +00 +36 +00 +4a +00 +2e +00 +48 +00 +2d +00 +53 +00 +38 +00 +47 +00 +2d +00 +50 +00 +33 +00 +53 +00 +25 +00 +44 +00 +2f +00 +52 +00 +23 +00 +46 +00 +26 +00 +54 +00 +2d +00 +4f +00 +2e +00 +47 +00 +27 +00 +57 +00 +2f +00 +4d +00 +31 +00 +59 +00 +57 +00 +a2 +00 +33 +20 +ff +60 +2b +30 +4b +40 +66 +10 +07 +10 +01 +10 +6d +10 +7d +10 +b3 +20 +ae +10 +d0 +20 +db +00 +9e +00 +7c +00 +9a +00 +90 +10 +26 +30 +ee +30 +10 +80 +00 +50 +94 +80 +de +40 +62 +80 +d0 +40 +d2 +70 +f5 +40 +13 +80 +f9 +30 +07 +40 +12 +20 +e5 +40 +0f +20 +db +10 +de +00 +0f +10 +6c +00 +87 +00 +80 +00 +dd +00 +e9 +00 +c2 +10 +22 +10 +a3 +10 +30 +10 +34 +10 +dc +00 +b5 +00 +99 +00 +87 +00 +6c +00 +81 +00 +7b +00 +7e +00 +7b +00 +91 +00 +51 +00 +6f +00 +59 +00 +6e +00 +8c +00 +00 +00 +00 +00 +42 +00 +b0 +00 +40 +00 +b7 +00 +4e +00 +32 +10 +bb +00 +8f +10 +4d +00 +a4 +10 +61 +10 +af +50 +a8 +10 +59 +30 +ad +00 +95 +10 +88 +00 +20 +20 +51 +10 +b0 +20 +93 +00 +5a +10 +5a +00 +05 +10 +3d +00 +9f +00 +40 +00 +8e +00 +37 +00 +b8 +00 +4d +00 +cb +00 +40 +00 +a8 +00 +37 +00 +8d +00 +3c +00 +c3 +00 +57 +00 +e0 +00 +53 +00 +17 +20 +96 +10 +76 +30 +81 +10 +1a +40 +df +00 +b2 +10 +59 +00 +f9 +00 +b1 +00 +66 +30 +2b +10 +ac +30 +c0 +10 +bc +50 +39 +20 +68 +60 +84 +20 +57 +70 +c3 +20 +c3 +70 +6c +20 +6c +40 +79 +00 +15 +10 +4c +00 +e0 +00 +42 +00 +bc +00 +4f +00 +e7 +00 +3e +00 +a8 +00 +33 +00 +bf +00 +97 +00 +86 +20 +b3 +00 +24 +10 +32 +00 +88 +00 +2d +00 +92 +00 +53 +00 +e5 +10 +e3 +00 +93 +10 +35 +00 +73 +00 +1e +00 +5f +00 +1f +00 +5b +00 +1e +00 +5e +00 +19 +00 +67 +00 +1d +00 +69 +00 +1f +00 +62 +00 +23 +00 +60 +00 +21 +00 +62 +00 +21 +00 +5a +00 +23 +00 +53 +00 +29 +00 +59 +00 +1f +00 +58 +00 +1d +00 +5c +00 +2a +00 +6a +00 +31 +00 +6d +00 +20 +00 +5d +00 +25 +00 +9f +00 +be +00 +c3 +10 +7f +00 +ff +00 +33 +00 +79 +00 +23 +00 +60 +00 +1d +00 +59 +00 +1e +00 +4d +00 +29 +00 +5a +00 +22 +00 +54 +00 +1c +00 +61 +00 +39 +00 +8d +00 +21 +00 +4c +00 +14 +00 +48 +00 +1b +00 +4a +00 +20 +00 +4f +00 +20 +00 +49 +00 +1c +00 +62 +00 +23 +00 +4e +00 +1a +00 +61 +00 +1b +00 +4f +00 +1c +00 +4a +00 +1f +00 +4b +00 +23 +00 +5b +00 +22 +00 +5e +00 +2a +00 +86 +00 +31 +00 +73 +00 +28 +00 +67 +00 +25 +00 +56 +00 +2a +00 +5f +00 +22 +00 +5b +00 +20 +00 +59 +00 +1e +00 +5f +00 +25 +00 +5a +00 +25 +00 +5d +00 +21 +00 +5d +00 +23 +00 +58 +00 +22 +00 +63 +00 +24 +00 +62 +00 +2a +00 +62 +00 +20 +00 +50 +00 +1a +00 +4d +00 +1c +00 +4d +00 +1e +00 +51 +00 +40 +00 +96 +00 +34 +00 +83 +00 +22 +00 +57 +00 +20 +00 +58 +00 +25 +00 +53 +00 +20 +00 +5c +00 +21 +00 +5d +00 +21 +00 +5c +00 +2d +00 +6e +00 +2e +00 +7e +00 +36 +00 +a4 +00 +46 +00 +b0 +00 +53 +00 +2e +10 +9f +00 +d1 +10 +d5 +00 +96 +20 +bc +00 +53 +10 +51 +00 +d8 +00 +5e +00 +b8 +10 +d7 +10 +c7 +30 +e7 +00 +2e +20 +80 +00 +61 +10 +87 +00 +74 +10 +cd +00 +75 +20 +f4 +10 +82 +50 +c9 +10 +bb +60 +07 +30 +39 +80 +71 +30 +69 +90 +c4 +30 +b8 +a0 +ec +30 +5f +a0 +bd +30 +89 +a0 +d1 +30 +8c +a0 +bb +30 +7d +a0 +d5 +30 +6c +a0 +96 +30 +7a +a0 +ce +30 +93 +a0 +d2 +30 +7c +a0 +bb +30 +85 +a0 +c1 +30 +40 +a0 +72 +30 +26 +90 +d8 +20 +3d +50 +49 +10 +60 +30 +01 +10 +ef +20 +e2 +00 +d2 +20 +c1 +10 +e2 +40 +f8 +00 +36 +20 +7f +00 +38 +10 +71 +00 +0d +10 +a9 +00 +f4 +30 +90 +20 +44 +70 +dc +20 +c5 +60 +ff +10 +9b +50 +c0 +10 +7b +30 +7b +00 +16 +10 +59 +00 +15 +10 +ad +00 +70 +20 +d7 +00 +3b +30 +f9 +00 +cf +10 +6e +00 +fc +00 +47 +00 +05 +10 +5f +00 +46 +10 +6d +00 +26 +10 +ee +00 +30 +40 +05 +20 +6c +40 +fc +00 +77 +20 +dd +00 +a3 +20 +7c +00 +12 +10 +56 +00 +98 +20 +7a +10 +8b +30 +13 +10 +95 +10 +35 +00 +93 +00 +2d +00 +7a +00 +97 +00 +04 +40 +b5 +10 +29 +20 +4b +00 +86 +00 +1f +00 +5a +00 +32 +00 +72 +00 +24 +00 +57 +00 +1e +00 +4d +00 +14 +00 +39 +00 +0f +00 +3a +00 +15 +00 +3a +00 +16 +00 +3a +00 +19 +00 +55 +00 +24 +00 +4c +00 +1c +00 +46 +00 +1c +00 +4a +00 +1a +00 +41 +00 +1b +00 +5a +00 +27 +00 +57 +00 +1f +00 +3f +00 +1f +00 +44 +00 +17 +00 +51 +00 +1e +00 +63 +00 +5d +00 +d2 +00 +29 +00 +62 +00 +1f +00 +4e +00 +17 +00 +48 +00 +1e +00 +4a +00 +1d +00 +4a +00 +17 +00 +36 +00 +22 +00 +47 +00 +1e +00 +4d +00 +25 +00 +5c +00 +22 +00 +4e +00 +16 +00 +4a +00 +1c +00 +54 +00 +13 +00 +48 +00 +1d +00 +50 +00 +18 +00 +45 +00 +17 +00 +52 +00 +1e +00 +4b +00 +18 +00 +46 +00 +1e +00 +52 +00 +1e +00 +48 +00 +21 +00 +4e +00 +25 +00 +51 +00 +25 +00 +55 +00 +22 +00 +54 +00 +1a +00 +4f +00 +27 +00 +69 +00 +63 +00 +de +30 +f9 +20 +da +50 +dc +00 +ac +10 +3d +00 +bf +00 +60 +00 +ae +20 +46 +10 +5d +30 +ea +00 +4a +10 +3f +00 +a0 +00 +50 +00 +86 +20 +7a +20 +75 +60 +32 +30 +c8 +80 +97 +20 +30 +70 +9b +20 +80 +80 +8f +30 +ef +80 +30 +30 +bd +60 +0c +10 +64 +20 +6f +10 +9f +20 +7e +00 +0c +10 +41 +00 +82 +00 +37 +00 +91 +00 +3e +00 +e4 +00 +71 +00 +53 +10 +64 +00 +37 +10 +8a +00 +07 +10 +60 +00 +1b +10 +3d +00 +8c +00 +47 +00 +4b +10 +85 +00 +ed +00 +38 +00 +7b +00 +54 +00 +c1 +00 +28 +00 +a5 +00 +00 +00 +00 +00 +b6 +00 +7b +00 +bc +00 +6f +00 +b9 +00 +c0 +00 +bf +10 +10 +10 +2a +10 +32 +20 +8a +40 +23 +30 +ba +40 +84 +10 +7b +10 +0b +10 +9a +10 +3e +20 +3d +40 +82 +20 +2c +30 +c4 +20 +12 +40 +57 +10 +f7 +00 +63 +00 +a7 +00 +64 +00 +92 +00 +63 +00 +a4 +00 +69 +00 +a7 +00 +65 +00 +a2 +00 +69 +00 +a1 +00 +89 +00 +6c +10 +a5 +10 +a5 +10 +8b +10 +b4 +20 +97 +10 +33 +30 +75 +10 +ed +10 +60 +10 +5b +10 +4f +10 +66 +20 +4b +20 +20 +30 +5c +20 +04 +40 +01 +30 +66 +60 +aa +40 +96 +70 +50 +50 +33 +80 +19 +50 +01 +80 +ae +30 +be +20 +86 +10 +55 +10 +02 +10 +f5 +00 +d4 +00 +17 +10 +1d +10 +2e +10 +94 +00 +af +00 +8c +00 +1b +10 +9e +00 +29 +10 +7f +00 +9c +00 +56 +00 +7f +00 +7e +00 +a9 +00 +98 +00 +0f +10 +97 +00 +a6 +00 +50 +00 +6c +00 +41 +00 +56 +00 +39 +00 +6e +00 +3d +00 +4d +00 +34 +00 +5f +00 +3d +00 +69 +00 +39 +00 +63 +00 +34 +00 +4c +00 +32 +00 +5f +00 +36 +00 +62 +00 +2f +00 +55 +00 +2e +00 +53 +00 +32 +00 +59 +00 +39 +00 +60 +00 +47 +00 +7c +00 +51 +00 +5f +00 +4b +00 +5d +00 +74 +00 +29 +10 +76 +00 +e7 +00 +5b +00 +86 +00 +39 +00 +5f +00 +38 +00 +59 +00 +3b +00 +45 +00 +31 +00 +5d +00 +34 +00 +5e +00 +2d +00 +52 +00 +45 +00 +b8 +00 +3e +00 +5e +00 +2c +00 +42 +00 +28 +00 +53 +00 +2f +00 +53 +00 +2f +00 +50 +00 +2c +00 +5a +00 +36 +00 +5e +00 +35 +00 +4b +00 +2d +00 +48 +00 +29 +00 +45 +00 +31 +00 +47 +00 +59 +00 +b5 +00 +44 +00 +55 +00 +3b +00 +6d +00 +3b +00 +59 +00 +3c +00 +63 +00 +3a +00 +5e +00 +2c +00 +67 +00 +33 +00 +54 +00 +32 +00 +60 +00 +3e +00 +5e +00 +34 +00 +55 +00 +35 +00 +55 +00 +2c +00 +54 +00 +29 +00 +5d +00 +32 +00 +5b +00 +31 +00 +62 +00 +58 +00 +7d +00 +2f +00 +45 +00 +2e +00 +4c +00 +33 +00 +45 +00 +32 +00 +4e +00 +36 +00 +6d +00 +44 +00 +7b +00 +32 +00 +51 +00 +32 +00 +57 +00 +30 +00 +52 +00 +2e +00 +5d +00 +32 +00 +5a +00 +36 +00 +6e +00 +32 +00 +61 +00 +4c +00 +8b +00 +9c +00 +f1 +10 +83 +00 +c2 +00 +7a +00 +cc +00 +9e +00 +24 +10 +db +00 +b7 +10 +d0 +00 +3b +10 +bb +00 +fc +00 +6e +10 +15 +30 +d4 +10 +a5 +20 +30 +10 +f0 +10 +0f +10 +44 +10 +c2 +00 +27 +10 +d7 +00 +97 +20 +85 +10 +a6 +30 +2c +20 +43 +40 +38 +40 +28 +80 +ce +50 +b3 +90 +9d +60 +5c +a0 +09 +70 +c2 +a0 +17 +70 +a6 +a0 +3b +70 +63 +a0 +36 +70 +90 +a0 +26 +70 +94 +a0 +1f +70 +a2 +a0 +58 +70 +7e +a0 +3c +70 +d2 +a0 +28 +70 +ad +a0 +34 +70 +9b +a0 +ee +60 +e4 +90 +af +50 +8f +60 +d5 +20 +56 +30 +41 +20 +da +20 +dc +10 +42 +20 +c7 +10 +6e +20 +99 +20 +77 +50 +00 +30 +76 +20 +30 +20 +a4 +10 +50 +10 +54 +10 +37 +30 +f1 +60 +64 +40 +a0 +70 +07 +40 +97 +60 +4c +30 +c0 +30 +a8 +10 +76 +10 +d9 +00 +d4 +00 +a5 +00 +18 +10 +c9 +10 +d7 +40 +6e +20 +8e +20 +49 +10 +52 +10 +e1 +00 +d5 +00 +a3 +00 +de +00 +9a +00 +00 +10 +c4 +00 +36 +10 +4c +10 +53 +30 +20 +10 +df +10 +13 +10 +66 +10 +07 +10 +67 +10 +04 +10 +1c +10 +e6 +00 +5d +10 +d5 +00 +20 +20 +22 +10 +30 +10 +a1 +00 +8a +00 +bd +00 +10 +10 +b2 +10 +8c +50 +77 +10 +2c +10 +ad +00 +6e +00 +56 +00 +6b +00 +33 +00 +6d +00 +2f +00 +4b +00 +26 +00 +41 +00 +2c +00 +36 +00 +23 +00 +3b +00 +24 +00 +37 +00 +22 +00 +45 +00 +23 +00 +48 +00 +27 +00 +54 +00 +2b +00 +47 +00 +2a +00 +4c +00 +2a +00 +4c +00 +33 +00 +54 +00 +48 +00 +57 +00 +38 +00 +4a +00 +38 +00 +3d +00 +2b +00 +4b +00 +2e +00 +5a +00 +39 +00 +6d +00 +35 +00 +56 +00 +24 +00 +46 +00 +2d +00 +4d +00 +25 +00 +40 +00 +2a +00 +46 +00 +29 +00 +3e +00 +36 +00 +57 +00 +34 +00 +61 +00 +31 +00 +57 +00 +31 +00 +4a +00 +2e +00 +52 +00 +50 +00 +c0 +00 +89 +00 +77 +00 +3b +00 +40 +00 +24 +00 +4f +00 +27 +00 +4c +00 +24 +00 +43 +00 +27 +00 +4e +00 +28 +00 +55 +00 +30 +00 +4b +00 +28 +00 +4d +00 +24 +00 +4c +00 +2b +00 +4a +00 +28 +00 +53 +00 +29 +00 +56 +00 +46 +00 +a9 +00 +28 +10 +16 +40 +bd +10 +39 +20 +10 +10 +e0 +00 +c2 +00 +b9 +00 +f1 +00 +40 +20 +17 +10 +cc +10 +15 +10 +fc +00 +e0 +00 +98 +10 +13 +30 +2e +70 +6f +30 +d5 +40 +2a +30 +99 +40 +32 +30 +46 +60 +d0 +40 +54 +90 +12 +50 +20 +80 +35 +30 +a2 +20 +95 +10 +ef +10 +e8 +00 +45 +10 +a7 +00 +97 +00 +6a +00 +82 +00 +6a +00 +87 +00 +b1 +00 +d2 +00 +b7 +00 +d8 +00 +0d +10 +bc +10 +2e +20 +43 +40 +99 +10 +fd +00 +90 +00 +9c +00 +15 +10 +24 +40 +1f +10 +bf +00 +66 +00 +96 +00 +63 +00 +93 +00 +5f +00 +00 +00 +00 +00 +41 +00 +ac +00 +40 +00 +ab +00 +44 +00 +cf +00 +98 +00 +91 +10 +89 +00 +24 +20 +65 +10 +a6 +40 +04 +10 +07 +20 +59 +00 +39 +10 +22 +10 +53 +40 +b6 +10 +ba +40 +98 +10 +22 +50 +a4 +10 +d0 +20 +67 +00 +c4 +00 +42 +00 +a5 +00 +38 +00 +9b +00 +36 +00 +a6 +00 +2d +00 +92 +00 +36 +00 +8b +00 +31 +00 +bd +00 +73 +00 +28 +20 +6f +10 +29 +30 +a2 +00 +ba +10 +87 +00 +79 +10 +99 +00 +77 +20 +8e +00 +86 +10 +40 +10 +71 +30 +5d +10 +fd +30 +ec +00 +e7 +20 +3d +20 +2e +70 +eb +20 +da +70 +f7 +20 +4a +80 +ca +20 +52 +70 +42 +10 +b6 +20 +3c +10 +59 +20 +61 +00 +5c +10 +70 +00 +5b +10 +63 +00 +ec +00 +3d +00 +c3 +00 +54 +00 +f1 +00 +50 +00 +c3 +00 +2f +00 +97 +00 +2b +00 +91 +00 +33 +00 +db +00 +50 +00 +be +00 +28 +00 +68 +00 +2b +00 +72 +00 +27 +00 +61 +00 +1f +00 +5e +00 +1d +00 +6b +00 +25 +00 +70 +00 +23 +00 +6c +00 +20 +00 +60 +00 +26 +00 +4e +00 +20 +00 +53 +00 +1e +00 +51 +00 +28 +00 +60 +00 +24 +00 +5a +00 +1f +00 +62 +00 +22 +00 +62 +00 +29 +00 +81 +00 +28 +00 +72 +00 +23 +00 +71 +00 +35 +00 +95 +00 +35 +00 +91 +00 +27 +00 +68 +00 +1a +00 +5a +00 +1e +00 +4f +00 +1d +00 +55 +00 +1c +00 +56 +00 +27 +00 +56 +00 +21 +00 +65 +00 +20 +00 +56 +00 +1a +00 +50 +00 +1c +00 +40 +00 +19 +00 +3e +00 +14 +00 +51 +00 +1f +00 +53 +00 +29 +00 +50 +00 +22 +00 +4a +00 +24 +00 +4a +00 +1e +00 +4e +00 +1b +00 +51 +00 +25 +00 +92 +00 +4e +00 +90 +00 +23 +00 +51 +00 +28 +00 +62 +00 +2c +00 +6d +00 +30 +00 +67 +00 +1e +00 +5a +00 +25 +00 +4d +00 +1e +00 +63 +00 +26 +00 +67 +00 +25 +00 +56 +00 +23 +00 +5c +00 +20 +00 +58 +00 +1c +00 +59 +00 +27 +00 +56 +00 +24 +00 +55 +00 +2d +00 +70 +00 +2a +00 +62 +00 +19 +00 +4a +00 +20 +00 +53 +00 +21 +00 +40 +00 +1f +00 +51 +00 +1c +00 +5a +00 +1f +00 +5c +00 +21 +00 +60 +00 +1f +00 +58 +00 +1f +00 +52 +00 +28 +00 +67 +00 +2f +00 +62 +00 +20 +00 +52 +00 +26 +00 +74 +00 +54 +00 +06 +10 +8e +00 +21 +10 +4a +00 +ba +00 +45 +00 +c7 +00 +60 +00 +29 +10 +75 +00 +1d +10 +6b +00 +03 +10 +ea +00 +a5 +30 +d3 +10 +ff +20 +9e +00 +a7 +10 +77 +00 +4f +10 +5c +00 +14 +10 +6d +00 +3d +10 +78 +00 +70 +10 +ba +00 +2e +20 +47 +10 +1b +60 +31 +30 +85 +90 +d6 +30 +74 +a0 +f9 +30 +93 +a0 +ea +30 +a4 +a0 +ca +30 +fa +a0 +cf +30 +6b +a0 +d0 +30 +c4 +a0 +fe +30 +c2 +a0 +c5 +30 +ba +a0 +d6 +30 +85 +a0 +c1 +30 +66 +a0 +dc +30 +cd +a0 +be +30 +86 +a0 +b0 +30 +ef +80 +9d +10 +c1 +30 +fb +00 +71 +30 +4a +10 +71 +20 +89 +00 +b2 +10 +be +00 +c3 +30 +9f +20 +4d +70 +59 +20 +f3 +50 +64 +10 +e2 +10 +a6 +00 +36 +40 +c1 +20 +9d +60 +a9 +10 +c4 +40 +f6 +10 +19 +50 +e6 +00 +d5 +10 +69 +00 +01 +10 +4f +00 +c0 +00 +71 +00 +4f +20 +bb +10 +2d +50 +a9 +10 +48 +20 +7d +00 +4d +10 +4f +00 +c5 +00 +4b +00 +c5 +00 +3f +00 +d3 +00 +54 +00 +38 +10 +7d +00 +a5 +10 +72 +00 +46 +10 +57 +00 +81 +10 +c9 +00 +da +20 +7b +00 +1c +10 +60 +00 +18 +10 +73 +00 +3f +10 +66 +00 +10 +10 +49 +00 +cc +00 +52 +00 +8c +10 +65 +10 +73 +20 +76 +00 +f8 +00 +2f +00 +70 +00 +26 +00 +6c +00 +22 +00 +5f +00 +24 +00 +4a +00 +16 +00 +3c +00 +18 +00 +35 +00 +11 +00 +31 +00 +17 +00 +33 +00 +18 +00 +3f +00 +1c +00 +50 +00 +24 +00 +44 +00 +17 +00 +41 +00 +1d +00 +4c +00 +1b +00 +6e +00 +3a +00 +93 +00 +22 +00 +4a +00 +21 +00 +53 +00 +1a +00 +3b +00 +1d +00 +48 +00 +22 +00 +64 +00 +20 +00 +5d +00 +19 +00 +3d +00 +1c +00 +50 +00 +14 +00 +48 +00 +18 +00 +42 +00 +1f +00 +4b +00 +1d +00 +4e +00 +27 +00 +62 +00 +3c +00 +6e +00 +21 +00 +53 +00 +1d +00 +44 +00 +15 +00 +6c +00 +ce +00 +60 +20 +42 +00 +76 +00 +21 +00 +54 +00 +1f +00 +48 +00 +1d +00 +4b +00 +23 +00 +5c +00 +21 +00 +4f +00 +26 +00 +4b +00 +20 +00 +51 +00 +21 +00 +53 +00 +24 +00 +4d +00 +1f +00 +57 +00 +1d +00 +4d +00 +23 +00 +5f +00 +2d +00 +22 +10 +95 +00 +ec +10 +7b +00 +10 +10 +31 +00 +8f +00 +37 +00 +eb +00 +71 +00 +40 +10 +78 +00 +ab +20 +94 +00 +22 +10 +f9 +00 +25 +50 +cb +20 +e9 +50 +1f +10 +1e +30 +35 +10 +f6 +30 +a4 +10 +26 +70 +6f +30 +59 +70 +95 +20 +5d +40 +98 +00 +91 +10 +5d +00 +1f +10 +52 +00 +b8 +00 +3b +00 +87 +00 +39 +00 +92 +00 +39 +00 +b0 +00 +3f +00 +b1 +00 +3e +00 +05 +10 +6a +10 +09 +60 +66 +20 +3b +30 +55 +00 +b9 +00 +32 +00 +02 +10 +1d +10 +32 +20 +45 +00 +8f +00 +34 +00 +7a +00 +2f +00 +7f +00 +00 +00 +00 +00 +ba +00 +72 +00 +ab +00 +6d +00 +a5 +00 +b5 +00 +87 +10 +e7 +10 +1c +30 +a4 +20 +8a +40 +35 +20 +2e +20 +fc +00 +13 +10 +ed +00 +e6 +10 +29 +20 +22 +40 +d4 +20 +18 +40 +37 +20 +04 +30 +fe +00 +29 +10 +76 +00 +af +00 +61 +00 +9b +00 +65 +00 +97 +00 +5b +00 +95 +00 +56 +00 +99 +00 +a4 +00 +f0 +00 +a0 +00 +c7 +00 +53 +10 +5a +30 +7b +10 +e2 +10 +13 +10 +2b +10 +8d +10 +a4 +20 +c1 +20 +dc +30 +f2 +10 +f0 +20 +d2 +10 +63 +30 +dc +10 +4d +20 +74 +20 +31 +50 +96 +40 +f0 +70 +3e +50 +6d +80 +0d +50 +f3 +70 +36 +40 +47 +50 +ad +30 +97 +50 +d4 +20 +8b +20 +03 +30 +8d +30 +8b +10 +39 +10 +b3 +00 +c5 +00 +72 +00 +b6 +00 +71 +00 +c0 +00 +67 +00 +a6 +00 +6e +00 +92 +00 +5e +00 +9a +00 +82 +00 +d9 +00 +85 +00 +8c +00 +48 +00 +71 +00 +3f +00 +5f +00 +36 +00 +5a +00 +3c +00 +60 +00 +40 +00 +69 +00 +3c +00 +62 +00 +3e +00 +5d +00 +32 +00 +53 +00 +3a +00 +52 +00 +2d +00 +5a +00 +2f +00 +4f +00 +34 +00 +5e +00 +33 +00 +60 +00 +41 +00 +51 +00 +3f +00 +72 +00 +4e +00 +84 +00 +66 +00 +6e +00 +5d +00 +7f +00 +4f +00 +8f +00 +51 +00 +6f +00 +43 +00 +57 +00 +31 +00 +58 +00 +38 +00 +4a +00 +32 +00 +5c +00 +41 +00 +5f +00 +64 +00 +75 +00 +40 +00 +55 +00 +30 +00 +50 +00 +33 +00 +52 +00 +33 +00 +43 +00 +26 +00 +46 +00 +34 +00 +56 +00 +2b +00 +5d +00 +2e +00 +57 +00 +30 +00 +4a +00 +22 +00 +4b +00 +2e +00 +51 +00 +31 +00 +5d +00 +42 +00 +6d +00 +38 +00 +66 +00 +30 +00 +5c +00 +3b +00 +66 +00 +36 +00 +67 +00 +2b +00 +5a +00 +33 +00 +59 +00 +30 +00 +4f +00 +39 +00 +6b +00 +2b +00 +62 +00 +2c +00 +53 +00 +32 +00 +54 +00 +31 +00 +52 +00 +2f +00 +65 +00 +39 +00 +50 +00 +2e +00 +64 +00 +2d +00 +5c +00 +2d +00 +55 +00 +34 +00 +5d +00 +32 +00 +46 +00 +28 +00 +46 +00 +27 +00 +54 +00 +37 +00 +5b +00 +34 +00 +5e +00 +2b +00 +4f +00 +2a +00 +54 +00 +2c +00 +54 +00 +43 +00 +86 +00 +37 +00 +5c +00 +36 +00 +5b +00 +72 +00 +3f +10 +82 +00 +ce +00 +72 +00 +c4 +00 +74 +00 +ab +00 +69 +00 +ba +00 +90 +00 +fc +00 +84 +00 +e7 +00 +c0 +00 +dd +20 +32 +20 +7f +30 +3c +10 +b3 +10 +ef +00 +1f +10 +a7 +00 +e5 +00 +8e +00 +f2 +00 +a5 +00 +25 +10 +d1 +00 +60 +10 +39 +10 +ea +10 +f2 +20 +3a +60 +a8 +50 +43 +a0 +f5 +60 +a8 +a0 +37 +70 +a4 +a0 +3e +70 +83 +a0 +45 +70 +b7 +a0 +17 +70 +69 +a0 +33 +70 +5f +a0 +5c +70 +98 +a0 +4f +70 +bb +a0 +5b +70 +be +a0 +3d +70 +d3 +a0 +1e +70 +a0 +a0 +f4 +60 +55 +a0 +f2 +40 +d9 +40 +f4 +20 +2f +30 +d0 +30 +09 +50 +0c +20 +da +10 +81 +10 +ec +10 +8b +30 +5c +70 +f4 +40 +20 +80 +d5 +40 +91 +60 +a2 +20 +6b +20 +2c +30 +cd +50 +76 +20 +6a +30 +86 +20 +49 +40 +1d +20 +2c +20 +38 +10 +2a +10 +b1 +00 +d2 +00 +a2 +00 +ee +00 +f6 +00 +74 +20 +76 +20 +5f +50 +56 +20 +0d +30 +7f +10 +27 +10 +a8 +00 +a2 +00 +89 +00 +d6 +00 +7a +00 +b0 +00 +a0 +00 +1e +10 +b8 +00 +16 +10 +c1 +00 +dc +00 +eb +00 +a6 +20 +0c +20 +af +20 +f8 +00 +0b +10 +b8 +00 +11 +10 +75 +10 +fa +20 +c6 +20 +b4 +30 +b5 +20 +1f +30 +60 +20 +a9 +20 +ec +10 +e5 +20 +9d +10 +0b +10 +7a +00 +71 +00 +36 +00 +66 +00 +30 +00 +51 +00 +2d +00 +41 +00 +20 +00 +47 +00 +2d +00 +35 +00 +23 +00 +3b +00 +20 +00 +39 +00 +24 +00 +43 +00 +29 +00 +4c +00 +2c +00 +3b +00 +25 +00 +45 +00 +39 +00 +78 +00 +d2 +00 +a2 +10 +e0 +00 +b4 +00 +a5 +00 +84 +00 +7a +00 +51 +00 +2f +00 +45 +00 +30 +00 +4c +00 +2e +00 +55 +00 +31 +00 +50 +00 +2b +00 +4b +00 +22 +00 +4a +00 +21 +00 +4d +00 +32 +00 +4c +00 +24 +00 +58 +00 +25 +00 +62 +00 +3e +00 +21 +10 +4f +00 +69 +00 +34 +00 +47 +00 +27 +00 +4c +00 +44 +00 +a6 +00 +80 +00 +e3 +00 +4f +00 +5a +00 +34 +00 +57 +00 +25 +00 +4f +00 +2b +00 +40 +00 +27 +00 +52 +00 +2a +00 +52 +00 +3b +00 +63 +00 +38 +00 +4d +00 +2d +00 +5c +00 +26 +00 +56 +00 +2e +00 +57 +00 +28 +00 +4f +00 +4b +00 +7b +00 +b6 +00 +8a +10 +5e +10 +56 +10 +d8 +00 +93 +00 +76 +00 +8b +00 +86 +00 +c9 +00 +a7 +00 +01 +10 +37 +10 +eb +20 +1b +10 +31 +10 +69 +10 +fe +30 +05 +20 +f9 +20 +90 +20 +69 +40 +0b +30 +ba +40 +e5 +40 +4a +90 +dc +40 +66 +60 +71 +20 +dc +10 +2d +10 +f9 +00 +bf +00 +d4 +00 +87 +00 +7f +00 +a1 +00 +dc +00 +d0 +00 +bc +00 +d0 +00 +e2 +00 +8d +00 +c1 +00 +06 +10 +3a +40 +0b +30 +f8 +50 +88 +10 +68 +10 +c5 +00 +a5 +00 +a2 +00 +ef +00 +89 +00 +ef +00 +74 +00 +77 +00 +3d +00 +65 +00 +3d +00 +00 +00 +00 +00 +3e +00 +ad +00 +45 +00 +a8 +00 +35 +00 +c7 +00 +a0 +00 +43 +30 +2a +10 +7c +30 +a9 +10 +89 +30 +8d +00 +2f +10 +59 +00 +ef +00 +7f +00 +a4 +20 +e7 +10 +73 +50 +b4 +10 +eb +30 +a4 +00 +46 +10 +47 +00 +b2 +00 +40 +00 +a6 +00 +34 +00 +96 +00 +2f +00 +93 +00 +36 +00 +94 +00 +3a +00 +cb +00 +c7 +00 +94 +10 +5a +00 +b1 +10 +e2 +00 +0e +20 +70 +00 +27 +10 +73 +00 +39 +20 +76 +10 +5e +40 +4d +10 +cd +30 +e2 +00 +30 +20 +da +00 +fe +10 +7f +00 +61 +20 +f1 +10 +c4 +60 +c9 +20 +15 +80 +a9 +20 +eb +60 +61 +20 +5b +60 +de +10 +31 +60 +72 +20 +19 +60 +01 +20 +41 +60 +3e +20 +6b +40 +8a +00 +1d +10 +47 +00 +b5 +00 +33 +00 +a7 +00 +30 +00 +9b +00 +33 +00 +a2 +00 +3d +00 +95 +00 +34 +00 +b5 +00 +39 +00 +c9 +00 +32 +00 +7d +00 +2e +00 +70 +00 +23 +00 +72 +00 +23 +00 +65 +00 +25 +00 +6d +00 +27 +00 +6a +00 +25 +00 +5b +00 +24 +00 +5b +00 +17 +00 +5d +00 +18 +00 +52 +00 +21 +00 +58 +00 +20 +00 +5c +00 +1a +00 +5a +00 +23 +00 +59 +00 +2d +00 +72 +00 +32 +00 +84 +00 +46 +00 +f4 +00 +34 +00 +79 +00 +2a +00 +78 +00 +28 +00 +6a +00 +29 +00 +80 +00 +31 +00 +6b +00 +17 +00 +48 +00 +1e +00 +55 +00 +1b +00 +66 +00 +40 +00 +81 +10 +51 +00 +7e +00 +1f +00 +5a +00 +1c +00 +40 +00 +1e +00 +49 +00 +1f +00 +52 +00 +1f +00 +57 +00 +23 +00 +51 +00 +1f +00 +5c +00 +1d +00 +51 +00 +19 +00 +3e +00 +15 +00 +49 +00 +25 +00 +4e +00 +1d +00 +66 +00 +2c +00 +65 +00 +2b +00 +5a +00 +24 +00 +58 +00 +28 +00 +76 +00 +23 +00 +5f +00 +1c +00 +52 +00 +23 +00 +54 +00 +27 +00 +68 +00 +23 +00 +67 +00 +28 +00 +58 +00 +1e +00 +55 +00 +20 +00 +4e +00 +1b +00 +58 +00 +20 +00 +54 +00 +1a +00 +5a +00 +1f +00 +57 +00 +1e +00 +53 +00 +20 +00 +5c +00 +1c +00 +45 +00 +1b +00 +4f +00 +22 +00 +43 +00 +1f +00 +56 +00 +21 +00 +51 +00 +23 +00 +59 +00 +1b +00 +5c +00 +22 +00 +4b +00 +28 +00 +7a +00 +2a +00 +6a +00 +29 +00 +6e +00 +25 +00 +96 +00 +63 +00 +d5 +00 +49 +00 +a3 +00 +38 +00 +95 +00 +32 +00 +88 +00 +45 +00 +c4 +00 +4b +00 +d4 +00 +3e +00 +d1 +00 +a8 +00 +90 +20 +d3 +00 +a9 +10 +7d +00 +1a +10 +51 +00 +e7 +00 +52 +00 +ca +00 +58 +00 +df +00 +64 +00 +fb +00 +6e +00 +6c +10 +c6 +00 +46 +40 +ec +20 +07 +90 +9c +30 +6a +a0 +dd +30 +98 +a0 +c8 +30 +a1 +a0 +e8 +30 +b2 +a0 +e7 +30 +b1 +a0 +b1 +30 +7d +a0 +b5 +30 +99 +a0 +cb +30 +8e +a0 +e4 +30 +e0 +a0 +e2 +30 +d4 +a0 +c7 +30 +91 +a0 +a0 +30 +a0 +a0 +b4 +30 +f6 +70 +6b +10 +ae +30 +e4 +10 +09 +70 +ea +10 +f5 +20 +9e +00 +9b +10 +1c +10 +fe +50 +0e +30 +bf +80 +65 +30 +93 +80 +b1 +20 +11 +50 +8d +10 +0a +50 +36 +10 +e6 +20 +df +00 +e0 +20 +bc +00 +26 +20 +88 +00 +55 +10 +5b +00 +c9 +00 +4a +00 +c4 +00 +41 +00 +13 +10 +97 +00 +b4 +20 +f7 +10 +a6 +50 +36 +10 +e6 +10 +66 +00 +f7 +00 +42 +00 +a4 +00 +39 +00 +a1 +00 +2a +00 +b7 +00 +55 +00 +ec +00 +46 +00 +cf +00 +3f +00 +d7 +00 +c5 +00 +78 +30 +f5 +00 +87 +10 +3e +00 +cd +00 +60 +00 +21 +20 +1f +20 +98 +60 +38 +20 +46 +50 +97 +20 +56 +60 +7c +10 +28 +40 +8f +10 +5f +30 +63 +00 +b6 +00 +2e +00 +6d +00 +2d +00 +69 +00 +20 +00 +48 +00 +13 +00 +47 +00 +1c +00 +42 +00 +1c +00 +3d +00 +14 +00 +3e +00 +19 +00 +3d +00 +10 +00 +3d +00 +1c +00 +44 +00 +1c +00 +47 +00 +18 +00 +50 +00 +47 +00 +86 +10 +0c +10 +9b +10 +5d +00 +23 +20 +9f +00 +c5 +00 +24 +00 +47 +00 +16 +00 +5c +00 +1e +00 +4e +00 +21 +00 +4d +00 +1c +00 +51 +00 +24 +00 +4b +00 +1e +00 +44 +00 +22 +00 +52 +00 +18 +00 +4f +00 +1c +00 +4b +00 +26 +00 +61 +00 +30 +00 +6e +00 +2e +00 +5d +00 +1e +00 +4d +00 +1e +00 +4c +00 +30 +00 +91 +00 +36 +00 +7f +00 +38 +00 +7e +00 +25 +00 +4e +00 +1d +00 +45 +00 +1f +00 +43 +00 +1a +00 +43 +00 +1e +00 +8a +00 +5f +00 +6a +00 +19 +00 +4e +00 +28 +00 +54 +00 +20 +00 +54 +00 +1c +00 +52 +00 +20 +00 +62 +00 +2a +00 +91 +00 +8d +00 +7a +30 +c2 +00 +f5 +00 +36 +00 +7a +00 +2d +00 +95 +00 +33 +00 +b4 +00 +4d +00 +04 +20 +27 +10 +a1 +10 +63 +00 +7d +10 +a4 +00 +24 +20 +c1 +00 +6b +30 +28 +10 +d9 +30 +b8 +20 +47 +80 +1a +30 +15 +60 +1f +10 +65 +20 +79 +00 +25 +10 +5b +00 +d8 +00 +43 +00 +9a +00 +40 +00 +25 +10 +1b +10 +e8 +10 +93 +00 +28 +10 +52 +00 +c4 +00 +3f +00 +07 +10 +6a +10 +cb +40 +24 +10 +23 +20 +60 +00 +5c +10 +9d +00 +05 +10 +4d +00 +00 +10 +4a +00 +93 +00 +2a +00 +6d +00 +26 +00 +55 +00 +00 +00 +00 +00 +be +00 +6b +00 +a6 +00 +68 +00 +a2 +00 +fc +00 +13 +20 +1a +20 +3e +30 +74 +20 +35 +40 +c5 +10 +b3 +10 +ce +00 +c7 +00 +aa +00 +f7 +00 +7b +10 +a0 +30 +e4 +20 +b3 +50 +3a +20 +53 +20 +cb +00 +b4 +00 +84 +00 +ab +00 +7b +00 +ac +00 +6c +00 +7f +00 +68 +00 +c1 +00 +7c +00 +a0 +00 +b5 +00 +0c +20 +de +00 +20 +10 +3e +10 +14 +20 +4c +10 +5d +10 +55 +10 +e6 +10 +81 +20 +0c +40 +ac +20 +9a +30 +9f +20 +6d +30 +c1 +10 +d2 +10 +14 +10 +48 +10 +c0 +10 +a9 +30 +5e +30 +3e +70 +ec +30 +7b +50 +b1 +20 +a7 +30 +0c +30 +d9 +50 +81 +40 +61 +70 +c0 +40 +65 +70 +5b +40 +c8 +60 +e2 +20 +fb +30 +23 +10 +d1 +00 +7f +00 +96 +00 +77 +00 +95 +00 +95 +00 +a6 +00 +b8 +00 +b8 +00 +8c +00 +97 +00 +88 +00 +b1 +00 +7f +00 +93 +00 +55 +00 +7c +00 +3b +00 +6c +00 +38 +00 +74 +00 +42 +00 +74 +00 +46 +00 +6d +00 +3f +00 +6b +00 +42 +00 +67 +00 +34 +00 +59 +00 +29 +00 +5a +00 +3a +00 +5b +00 +32 +00 +50 +00 +33 +00 +61 +00 +3d +00 +70 +00 +3c +00 +88 +00 +61 +00 +d8 +00 +36 +10 +84 +10 +6c +10 +27 +10 +ab +00 +7a +00 +4c +00 +59 +00 +4c +00 +62 +00 +58 +00 +07 +10 +5e +00 +6b +00 +37 +00 +58 +00 +36 +00 +65 +00 +49 +00 +86 +00 +7d +00 +3f +10 +6c +00 +59 +00 +38 +00 +52 +00 +2e +00 +41 +00 +21 +00 +4b +00 +2f +00 +49 +00 +2b +00 +67 +00 +32 +00 +54 +00 +36 +00 +54 +00 +33 +00 +47 +00 +2a +00 +3d +00 +29 +00 +52 +00 +31 +00 +4d +00 +34 +00 +6a +00 +31 +00 +62 +00 +36 +00 +55 +00 +35 +00 +69 +00 +2c +00 +56 +00 +32 +00 +54 +00 +2c +00 +5a +00 +41 +00 +5d +00 +3d +00 +5a +00 +3d +00 +67 +00 +3d +00 +53 +00 +4a +00 +5f +00 +3e +00 +51 +00 +2f +00 +69 +00 +36 +00 +55 +00 +3c +00 +63 +00 +38 +00 +59 +00 +39 +00 +56 +00 +2c +00 +57 +00 +33 +00 +4d +00 +29 +00 +4a +00 +29 +00 +47 +00 +29 +00 +50 +00 +3c +00 +50 +00 +31 +00 +55 +00 +2f +00 +58 +00 +2b +00 +4c +00 +34 +00 +63 +00 +3b +00 +5d +00 +38 +00 +59 +00 +48 +00 +79 +00 +4d +00 +a3 +00 +55 +00 +8a +00 +55 +00 +84 +00 +6a +00 +a3 +00 +75 +00 +b8 +00 +79 +00 +b1 +00 +83 +00 +e7 +00 +b6 +00 +68 +10 +d2 +00 +2f +10 +ae +00 +d9 +00 +88 +00 +d2 +00 +8d +00 +d7 +00 +a3 +00 +00 +10 +d9 +00 +f9 +00 +5d +10 +16 +20 +64 +40 +56 +80 +f7 +50 +51 +a0 +14 +70 +e9 +a0 +30 +70 +a8 +a0 +1e +70 +a5 +a0 +84 +70 +ae +a0 +5a +70 +3c +a0 +54 +70 +65 +a0 +22 +70 +75 +a0 +0d +70 +e4 +a0 +50 +70 +d9 +a0 +67 +70 +9a +a0 +15 +70 +44 +a0 +04 +70 +6f +a0 +fc +50 +a0 +60 +27 +50 +4b +70 +3f +50 +4d +70 +af +20 +58 +20 +c4 +10 +72 +30 +0e +40 +15 +80 +a4 +40 +9a +80 +36 +40 +9f +60 +94 +20 +cc +30 +0b +20 +69 +20 +c8 +10 +c4 +20 +4f +30 +ad +30 +bb +20 +18 +20 +5f +10 +fc +00 +f9 +00 +83 +10 +04 +10 +76 +10 +d6 +00 +2c +10 +3a +10 +5d +30 +cc +20 +d2 +40 +55 +10 +26 +10 +a1 +00 +ac +00 +5a +00 +94 +00 +6c +00 +a5 +00 +7c +00 +a5 +00 +8a +00 +a0 +00 +75 +00 +9d +00 +96 +00 +15 +10 +77 +10 +c7 +30 +4d +10 +1a +10 +b3 +00 +dc +00 +8e +10 +64 +50 +95 +30 +5e +70 +a2 +30 +0f +60 +74 +20 +dd +20 +82 +10 +55 +20 +fb +00 +2c +10 +82 +00 +87 +00 +51 +00 +69 +00 +36 +00 +5b +00 +2f +00 +4b +00 +30 +00 +49 +00 +2a +00 +4b +00 +28 +00 +42 +00 +24 +00 +3a +00 +28 +00 +41 +00 +28 +00 +3f +00 +1c +00 +45 +00 +22 +00 +52 +00 +34 +00 +67 +00 +57 +00 +d2 +00 +88 +00 +5a +10 +db +10 +96 +30 +d5 +00 +91 +00 +56 +00 +44 +00 +35 +00 +50 +00 +26 +00 +4a +00 +33 +00 +4c +00 +2e +00 +5c +00 +28 +00 +50 +00 +30 +00 +57 +00 +2a +00 +48 +00 +26 +00 +55 +00 +2d +00 +4d +00 +2f +00 +6f +00 +2e +00 +5c +00 +35 +00 +4f +00 +2b +00 +40 +00 +3b +00 +67 +00 +37 +00 +78 +00 +3f +00 +89 +00 +45 +00 +5c +00 +2d +00 +44 +00 +23 +00 +4d +00 +29 +00 +49 +00 +24 +00 +4f +00 +36 +00 +60 +00 +33 +00 +59 +00 +32 +00 +4b +00 +31 +00 +4d +00 +29 +00 +59 +00 +29 +00 +4d +00 +3e +00 +69 +00 +6d +00 +9e +00 +9e +00 +c0 +10 +8e +00 +87 +00 +62 +00 +79 +00 +75 +00 +84 +00 +7a +00 +b4 +00 +06 +10 +0f +30 +a7 +10 +57 +10 +0c +10 +44 +10 +19 +10 +93 +10 +f4 +10 +3e +30 +67 +20 +7e +40 +09 +30 +21 +40 +4f +20 +7c +20 +6e +10 +48 +10 +1b +10 +19 +20 +4c +10 +ef +00 +e4 +00 +d6 +00 +29 +20 +fd +50 +7c +30 +c9 +50 +7f +20 +52 +10 +f7 +00 +d6 +00 +02 +10 +21 +20 +c0 +10 +cc +20 +a1 +10 +38 +10 +1f +10 +82 +20 +38 +10 +39 +10 +ba +00 +98 +00 +5d +00 +7b +00 +4c +00 +8a +00 +3e +00 +00 +00 +00 +00 +3c +00 +bf +00 +41 +00 +ab +00 +49 +00 +19 +10 +bd +00 +e2 +20 +0d +10 +61 +30 +33 +10 +69 +20 +53 +00 +dc +00 +38 +00 +b6 +00 +4a +00 +80 +10 +a9 +00 +a6 +20 +c1 +10 +7e +30 +96 +00 +0a +10 +44 +00 +ae +00 +3f +00 +c6 +00 +49 +00 +97 +00 +38 +00 +a6 +00 +47 +00 +cf +00 +42 +00 +f6 +00 +a5 +00 +57 +10 +5a +00 +87 +10 +06 +10 +4d +20 +88 +00 +f1 +10 +27 +10 +b3 +30 +cb +10 +85 +40 +a3 +10 +43 +40 +e4 +00 +78 +20 +91 +00 +75 +10 +5e +00 +bb +10 +cd +00 +35 +30 +e9 +10 +6d +50 +03 +10 +a4 +20 +d4 +00 +30 +30 +50 +20 +ed +60 +bb +20 +d5 +70 +c0 +20 +9a +70 +43 +20 +a5 +40 +d7 +00 +b4 +10 +4f +00 +ab +00 +42 +00 +9c +00 +3b +00 +ce +00 +86 +00 +ab +10 +62 +00 +cb +00 +39 +00 +a5 +00 +37 +00 +a8 +00 +28 +00 +81 +00 +32 +00 +6c +00 +25 +00 +5d +00 +2c +00 +5a +00 +28 +00 +75 +00 +21 +00 +64 +00 +28 +00 +6b +00 +1e +00 +62 +00 +22 +00 +58 +00 +1f +00 +5b +00 +20 +00 +56 +00 +1c +00 +5d +00 +25 +00 +58 +00 +28 +00 +69 +00 +3f +00 +8e +00 +61 +00 +90 +20 +8c +10 +16 +40 +8c +00 +e9 +00 +2b +00 +6d +00 +26 +00 +6b +00 +24 +00 +69 +00 +2f +00 +71 +00 +22 +00 +59 +00 +21 +00 +5c +00 +22 +00 +69 +00 +2e +00 +8f +00 +2e +00 +86 +00 +20 +00 +45 +00 +15 +00 +54 +00 +1e +00 +47 +00 +11 +00 +54 +00 +1a +00 +58 +00 +27 +00 +59 +00 +25 +00 +50 +00 +1b +00 +43 +00 +18 +00 +38 +00 +19 +00 +44 +00 +1e +00 +50 +00 +19 +00 +56 +00 +21 +00 +67 +00 +1f +00 +5e +00 +24 +00 +5d +00 +1f +00 +5c +00 +1f +00 +56 +00 +21 +00 +60 +00 +25 +00 +65 +00 +29 +00 +58 +00 +28 +00 +65 +00 +29 +00 +75 +00 +2a +00 +8d +00 +31 +00 +5a +00 +1b +00 +5a +00 +26 +00 +63 +00 +24 +00 +61 +00 +24 +00 +59 +00 +21 +00 +5c +00 +27 +00 +59 +00 +23 +00 +57 +00 +20 +00 +45 +00 +21 +00 +4a +00 +1d +00 +4a +00 +21 +00 +53 +00 +19 +00 +4d +00 +1d +00 +5b +00 +2a +00 +58 +00 +1f +00 +50 +00 +26 +00 +51 +00 +28 +00 +5c +00 +25 +00 +6a +00 +23 +00 +76 +00 +21 +00 +87 +00 +35 +00 +90 +00 +31 +00 +98 +00 +4b +00 +d0 +00 +52 +00 +ce +00 +4f +00 +a6 +00 +4b +00 +10 +10 +64 +00 +28 +10 +50 +00 +d3 +00 +4c +00 +b4 +00 +4e +00 +e2 +00 +88 +00 +41 +10 +5c +00 +1f +10 +85 +00 +7c +10 +20 +10 +50 +60 +6d +30 +a8 +90 +bc +30 +a1 +a0 +ff +30 +fe +a0 +e0 +30 +92 +a0 +b7 +30 +90 +a0 +df +30 +ad +a0 +e0 +30 +5b +a0 +cc +30 +7e +a0 +dd +30 +c3 +a0 +ee +30 +c6 +a0 +1d +40 +24 +b0 +e7 +30 +a3 +a0 +e3 +30 +86 +a0 +c0 +30 +05 +a0 +63 +30 +1e +90 +3d +30 +e2 +80 +23 +30 +ba +50 +ca +00 +00 +20 +05 +10 +f7 +40 +2a +30 +e3 +70 +ef +10 +1f +50 +3a +10 +fa +20 +ce +00 +1a +20 +ab +00 +f0 +10 +62 +10 +6a +50 +3c +20 +70 +60 +47 +10 +05 +20 +66 +00 +14 +10 +bf +00 +e7 +10 +8b +00 +0d +10 +51 +00 +23 +10 +c8 +00 +48 +30 +0a +10 +46 +20 +8b +00 +4a +10 +3e +00 +a8 +00 +3d +00 +a7 +00 +30 +00 +93 +00 +37 +00 +95 +00 +39 +00 +96 +00 +2e +00 +a7 +00 +53 +00 +f7 +10 +0d +10 +87 +20 +5c +00 +cf +00 +46 +00 +5f +10 +f7 +10 +4b +60 +8e +20 +54 +50 +59 +10 +a7 +20 +a0 +00 +ae +10 +74 +00 +2a +10 +52 +00 +b6 +00 +2d +00 +8b +00 +32 +00 +65 +00 +24 +00 +54 +00 +22 +00 +4f +00 +19 +00 +3e +00 +1a +00 +49 +00 +23 +00 +4e +00 +11 +00 +37 +00 +16 +00 +33 +00 +17 +00 +36 +00 +19 +00 +3b +00 +18 +00 +4b +00 +1e +00 +7e +00 +38 +00 +c7 +00 +a8 +00 +db +20 +3f +10 +9c +10 +38 +00 +78 +00 +23 +00 +49 +00 +19 +00 +4e +00 +1d +00 +4b +00 +27 +00 +60 +00 +2a +00 +5c +00 +1e +00 +4c +00 +1e +00 +4e +00 +1d +00 +57 +00 +2c +00 +4d +00 +1b +00 +53 +00 +2d +00 +65 +00 +20 +00 +4d +00 +1d +00 +51 +00 +1c +00 +48 +00 +20 +00 +56 +00 +22 +00 +5f +00 +2c +00 +50 +00 +18 +00 +4f +00 +18 +00 +4b +00 +1e +00 +53 +00 +1e +00 +45 +00 +23 +00 +57 +00 +20 +00 +53 +00 +22 +00 +46 +00 +1a +00 +4c +00 +23 +00 +46 +00 +1a +00 +4c +00 +19 +00 +47 +00 +2b +00 +69 +00 +29 +00 +aa +00 +51 +00 +b4 +00 +35 +00 +8b +00 +37 +00 +95 +00 +2f +00 +8a +00 +3e +00 +3c +10 +e8 +10 +db +40 +8e +00 +15 +10 +4c +00 +ff +00 +96 +00 +88 +20 +f3 +00 +88 +20 +27 +10 +c9 +40 +12 +10 +4e +20 +6e +00 +39 +10 +65 +00 +24 +10 +b3 +10 +52 +40 +a5 +00 +03 +10 +6d +00 +e0 +30 +15 +30 +62 +80 +14 +30 +d3 +40 +9e +00 +b5 +10 +4e +00 +25 +10 +9a +00 +7c +30 +e5 +10 +d2 +20 +61 +00 +ed +00 +81 +00 +7f +20 +f1 +00 +01 +10 +30 +00 +84 +00 +2c +00 +7c +00 +3c +00 +72 +00 +00 +00 +00 +00 +b3 +00 +73 +00 +a9 +00 +92 +00 +4c +10 +25 +10 +e0 +10 +65 +10 +3f +20 +fb +10 +e9 +20 +2f +10 +1c +10 +84 +00 +a4 +00 +77 +00 +b8 +00 +c9 +00 +49 +10 +36 +10 +48 +20 +2e +10 +76 +10 +be +00 +d5 +00 +53 +10 +d6 +10 +0f +10 +e6 +00 +af +00 +af +00 +e2 +00 +1d +10 +82 +00 +a7 +00 +ad +00 +05 +20 +4a +10 +46 +10 +f5 +10 +60 +30 +6a +20 +6e +30 +9e +10 +30 +20 +ba +20 +4b +50 +d5 +20 +8d +40 +c0 +10 +02 +20 +11 +10 +3d +10 +fd +00 +00 +10 +06 +10 +68 +10 +c4 +10 +03 +30 +09 +20 +b5 +20 +dd +10 +71 +20 +5f +30 +ab +50 +5b +40 +86 +70 +5d +40 +9c +70 +e9 +30 +38 +50 +3e +20 +0a +20 +1c +10 +e6 +00 +92 +00 +a4 +00 +75 +00 +fa +00 +54 +10 +f7 +20 +64 +20 +0f +30 +24 +10 +04 +10 +88 +10 +a2 +10 +a9 +00 +9c +00 +59 +00 +7c +00 +43 +00 +6a +00 +3d +00 +65 +00 +40 +00 +75 +00 +40 +00 +64 +00 +3b +00 +72 +00 +33 +00 +62 +00 +35 +00 +62 +00 +30 +00 +5b +00 +33 +00 +5c +00 +2b +00 +5c +00 +35 +00 +57 +00 +43 +00 +5c +00 +42 +00 +75 +00 +4f +00 +93 +00 +90 +10 +e6 +40 +33 +30 +26 +30 +45 +10 +a6 +00 +58 +00 +6b +00 +42 +00 +63 +00 +38 +00 +6f +00 +35 +00 +62 +00 +32 +00 +55 +00 +38 +00 +5b +00 +3e +00 +8e +00 +56 +00 +79 +00 +4f +00 +62 +00 +44 +00 +55 +00 +3f +00 +50 +00 +23 +00 +51 +00 +2b +00 +48 +00 +3e +00 +6a +00 +35 +00 +53 +00 +33 +00 +41 +00 +29 +00 +42 +00 +28 +00 +3a +00 +2a +00 +4e +00 +33 +00 +53 +00 +34 +00 +56 +00 +31 +00 +5c +00 +29 +00 +51 +00 +3b +00 +61 +00 +30 +00 +63 +00 +31 +00 +61 +00 +31 +00 +5e +00 +37 +00 +60 +00 +37 +00 +6e +00 +39 +00 +63 +00 +7a +00 +b1 +10 +30 +10 +d1 +00 +5f +00 +69 +00 +33 +00 +57 +00 +60 +00 +74 +10 +68 +00 +6d +00 +2e +00 +54 +00 +32 +00 +58 +00 +32 +00 +59 +00 +36 +00 +4d +00 +35 +00 +4a +00 +2c +00 +4c +00 +31 +00 +49 +00 +31 +00 +45 +00 +32 +00 +59 +00 +7b +00 +c0 +00 +49 +00 +57 +00 +36 +00 +52 +00 +2f +00 +5b +00 +34 +00 +5b +00 +34 +00 +70 +00 +6b +00 +87 +00 +78 +00 +8b +00 +7e +00 +aa +00 +d2 +00 +f6 +00 +32 +10 +47 +10 +7d +00 +aa +00 +64 +00 +af +00 +8f +00 +fe +00 +8f +00 +d3 +00 +79 +00 +ab +00 +7f +00 +b5 +00 +de +00 +8d +20 +27 +10 +35 +10 +ff +10 +7d +40 +08 +30 +c3 +20 +27 +40 +9e +80 +2f +60 +3d +a0 +46 +70 +f3 +a0 +60 +70 +c2 +a0 +44 +70 +c4 +a0 +74 +70 +e3 +a0 +5f +70 +bb +a0 +4d +70 +a4 +a0 +6f +70 +b3 +a0 +56 +70 +8f +a0 +51 +70 +ff +a0 +42 +70 +b1 +a0 +62 +70 +ae +a0 +1b +70 +a6 +a0 +dd +60 +32 +a0 +69 +60 +ab +90 +3d +60 +61 +90 +ea +40 +50 +40 +49 +20 +26 +20 +15 +30 +06 +70 +d1 +30 +5c +40 +d2 +20 +bb +30 +fc +20 +fb +30 +00 +20 +b2 +10 +bb +10 +8f +20 +36 +20 +ec +50 +4a +40 +6c +70 +cb +20 +c1 +10 +f8 +00 +0b +10 +9c +00 +e6 +00 +af +00 +d5 +00 +cb +00 +2a +10 +f4 +00 +7c +10 +ec +00 +2b +10 +a5 +00 +d1 +00 +79 +00 +a7 +00 +95 +00 +ac +00 +64 +00 +90 +00 +45 +00 +95 +00 +5a +00 +84 +00 +68 +00 +af +00 +db +00 +6d +20 +cb +00 +21 +10 +a4 +00 +ba +00 +f1 +00 +b0 +10 +18 +20 +e2 +50 +6a +20 +16 +30 +e1 +10 +28 +20 +25 +10 +0d +10 +e2 +00 +bb +00 +8b +00 +86 +00 +53 +00 +87 +00 +3d +00 +64 +00 +2f +00 +48 +00 +29 +00 +43 +00 +25 +00 +47 +00 +24 +00 +5a +00 +31 +00 +4f +00 +2a +00 +36 +00 +25 +00 +35 +00 +24 +00 +38 +00 +22 +00 +3b +00 +2f +00 +4f +00 +4e +00 +7f +00 +76 +00 +f1 +00 +e7 +00 +85 +20 +82 +10 +80 +10 +a0 +00 +5f +00 +39 +00 +49 +00 +3c +00 +5d +00 +49 +00 +82 +00 +2c +00 +55 +00 +27 +00 +4b +00 +28 +00 +40 +00 +2a +00 +45 +00 +27 +00 +50 +00 +2b +00 +42 +00 +2b +00 +55 +00 +34 +00 +54 +00 +2a +00 +4c +00 +2b +00 +43 +00 +2d +00 +53 +00 +2c +00 +46 +00 +25 +00 +56 +00 +27 +00 +4e +00 +24 +00 +43 +00 +29 +00 +50 +00 +37 +00 +5f +00 +31 +00 +43 +00 +2b +00 +53 +00 +45 +00 +4a +00 +5d +00 +4e +00 +3c +00 +53 +00 +2c +00 +4e +00 +2d +00 +53 +00 +33 +00 +71 +00 +44 +00 +71 +00 +53 +00 +88 +00 +62 +00 +a1 +00 +44 +10 +42 +20 +c3 +00 +96 +00 +7a +00 +ba +00 +f2 +00 +ec +20 +19 +10 +e8 +10 +d9 +00 +ba +00 +94 +00 +08 +10 +d0 +00 +97 +10 +21 +10 +cb +10 +5f +10 +31 +20 +4e +10 +41 +10 +f2 +00 +15 +10 +f9 +00 +c6 +20 +32 +20 +9e +40 +73 +10 +60 +10 +4f +30 +3e +80 +46 +50 +8d +90 +2e +40 +fa +20 +58 +20 +bc +20 +38 +10 +2c +10 +0a +20 +94 +60 +41 +30 +23 +30 +59 +10 +1b +10 +0d +10 +a8 +20 +d5 +00 +d5 +00 +6a +00 +75 +00 +4e +00 +6b +00 +53 +00 +00 +00 +00 +00 +43 +00 +ae +00 +42 +00 +bd +00 +b1 +00 +18 +20 +78 +00 +66 +10 +1b +10 +08 +40 +d3 +00 +81 +10 +49 +00 +b0 +00 +3d +00 +ad +00 +45 +00 +c0 +00 +57 +00 +39 +10 +74 +00 +35 +10 +4c +00 +d7 +00 +7e +00 +91 +20 +22 +10 +25 +30 +7a +00 +f9 +00 +7d +00 +f0 +10 +6f +00 +fa +00 +45 +00 +b6 +00 +77 +00 +d8 +10 +ee +00 +b1 +30 +b9 +10 +ff +40 +1e +10 +02 +20 +0e +10 +be +40 +ac +10 +ec +30 +27 +10 +72 +20 +6d +00 +4c +10 +84 +00 +0a +20 +69 +00 +ef +00 +59 +00 +60 +10 +eb +00 +38 +30 +98 +00 +98 +10 +2b +10 +50 +50 +54 +20 +e0 +60 +55 +20 +a6 +50 +d3 +10 +fb +40 +ab +10 +fd +30 +e0 +00 +f3 +10 +5b +00 +bc +00 +38 +00 +98 +00 +74 +00 +ef +10 +63 +10 +47 +40 +2d +10 +db +10 +05 +10 +82 +40 +74 +10 +11 +20 +4b +00 +8c +00 +27 +00 +72 +00 +1f +00 +60 +00 +27 +00 +62 +00 +28 +00 +67 +00 +27 +00 +61 +00 +27 +00 +57 +00 +20 +00 +5b +00 +25 +00 +5c +00 +24 +00 +5b +00 +21 +00 +4c +00 +28 +00 +63 +00 +20 +00 +6c +00 +2a +00 +81 +00 +29 +00 +67 +00 +32 +00 +5a +10 +fa +10 +96 +60 +b0 +10 +ee +20 +3f +00 +87 +00 +27 +00 +66 +00 +26 +00 +56 +00 +1f +00 +63 +00 +21 +00 +6a +00 +28 +00 +5a +00 +29 +00 +65 +00 +28 +00 +73 +00 +4e +00 +c1 +00 +21 +00 +80 +00 +2b +00 +4e +00 +1e +00 +42 +00 +22 +00 +46 +00 +28 +00 +6b +00 +20 +00 +56 +00 +20 +00 +45 +00 +1d +00 +46 +00 +1c +00 +35 +00 +23 +00 +46 +00 +1e +00 +51 +00 +26 +00 +57 +00 +27 +00 +5e +00 +22 +00 +54 +00 +22 +00 +65 +00 +2a +00 +6a +00 +23 +00 +5e +00 +23 +00 +67 +00 +26 +00 +6c +00 +24 +00 +74 +00 +22 +00 +63 +00 +22 +00 +91 +00 +08 +10 +49 +30 +7e +00 +ba +00 +29 +00 +67 +00 +25 +00 +7a +00 +6f +00 +e3 +00 +28 +00 +57 +00 +21 +00 +58 +00 +1c +00 +5e +00 +28 +00 +59 +00 +1f +00 +48 +00 +1c +00 +43 +00 +1c +00 +4a +00 +1c +00 +47 +00 +22 +00 +4c +00 +22 +00 +e6 +00 +90 +00 +c1 +00 +28 +00 +53 +00 +1f +00 +55 +00 +29 +00 +5f +00 +1f +00 +68 +00 +33 +00 +cf +00 +b2 +00 +22 +10 +3f +00 +c7 +00 +0f +10 +dd +20 +8f +00 +b2 +10 +6d +00 +dc +00 +4b +00 +a1 +00 +3e +00 +b3 +00 +48 +00 +c0 +00 +44 +00 +bd +00 +39 +00 +c8 +00 +54 +00 +44 +10 +a9 +00 +7f +10 +ce +00 +6b +30 +51 +20 +b7 +60 +85 +10 +28 +50 +45 +30 +b1 +90 +bd +30 +bb +a0 +eb +30 +ca +a0 +e0 +30 +84 +a0 +cd +30 +97 +a0 +d2 +30 +b5 +a0 +da +30 +b9 +a0 +c3 +30 +da +a0 +ec +30 +d5 +a0 +ff +30 +fa +a0 +f9 +30 +cf +a0 +f4 +30 +94 +a0 +e2 +30 +84 +a0 +bc +30 +76 +a0 +a5 +30 +1f +a0 +b1 +30 +4a +a0 +b1 +30 +58 +90 +2b +20 +f8 +30 +28 +10 +90 +30 +83 +20 +95 +60 +32 +10 +6d +30 +92 +10 +34 +50 +ee +10 +5d +30 +b0 +00 +80 +20 +ac +00 +15 +20 +0a +20 +8d +70 +db +20 +33 +50 +ac +00 +52 +10 +59 +00 +e7 +00 +4d +00 +cd +00 +47 +00 +bd +00 +55 +00 +2a +10 +63 +00 +06 +10 +5d +00 +bc +00 +41 +00 +b9 +00 +9c +00 +5c +20 +5a +00 +a5 +00 +34 +00 +8e +00 +32 +00 +87 +00 +3e +00 +87 +00 +3b +00 +da +00 +78 +00 +2f +10 +61 +00 +e1 +00 +40 +00 +d4 +00 +75 +00 +39 +20 +fa +00 +82 +20 +a4 +00 +1f +20 +b5 +00 +66 +10 +8b +00 +89 +10 +4b +00 +d3 +00 +38 +00 +86 +00 +30 +00 +69 +00 +2c +00 +64 +00 +23 +00 +4d +00 +20 +00 +4d +00 +1d +00 +50 +00 +1c +00 +4e +00 +20 +00 +44 +00 +1c +00 +38 +00 +13 +00 +3a +00 +1a +00 +38 +00 +17 +00 +4a +00 +22 +00 +5b +00 +21 +00 +8a +00 +3c +00 +f7 +00 +b3 +00 +6a +30 +b0 +00 +f6 +00 +22 +00 +60 +00 +1e +00 +57 +00 +27 +00 +76 +00 +33 +00 +59 +00 +1c +00 +44 +00 +19 +00 +4a +00 +1c +00 +54 +00 +21 +00 +48 +00 +1c +00 +54 +00 +1f +00 +50 +00 +26 +00 +5a +00 +1e +00 +50 +00 +1b +00 +50 +00 +1a +00 +49 +00 +1d +00 +47 +00 +1e +00 +4d +00 +24 +00 +5b +00 +21 +00 +4a +00 +1a +00 +43 +00 +23 +00 +6d +00 +27 +00 +5f +00 +25 +00 +47 +00 +1f +00 +76 +00 +59 +00 +b9 +00 +21 +00 +53 +00 +1f +00 +4e +00 +25 +00 +56 +00 +1f +00 +67 +00 +33 +00 +77 +00 +25 +00 +6f +00 +2b +00 +7c +00 +5d +00 +45 +30 +6e +10 +b3 +10 +32 +00 +8b +00 +4a +00 +32 +10 +85 +00 +71 +10 +6b +00 +f2 +00 +34 +00 +a6 +00 +54 +00 +22 +10 +7a +00 +2f +10 +6b +00 +4c +10 +61 +00 +21 +10 +66 +00 +1e +10 +04 +10 +fe +10 +ac +00 +ae +20 +1d +10 +ba +10 +aa +00 +99 +50 +85 +30 +c7 +90 +d7 +30 +38 +80 +50 +10 +90 +30 +3d +10 +96 +10 +4c +00 +d7 +10 +67 +20 +32 +70 +6e +10 +de +10 +66 +00 +67 +10 +02 +10 +96 +10 +43 +00 +99 +00 +30 +00 +8c +00 +38 +00 +72 +00 +00 +00 +00 +00 +a8 +00 +77 +00 +b1 +00 +a9 +00 +ce +10 +3a +10 +84 +10 +d8 +10 +48 +30 +d6 +10 +37 +30 +1d +10 +e9 +00 +6a +00 +89 +00 +6c +00 +8d +00 +9a +00 +d5 +00 +c1 +00 +02 +10 +bc +00 +cb +00 +85 +00 +e2 +00 +82 +10 +0c +40 +af +20 +06 +30 +b8 +10 +e3 +10 +d2 +10 +3a +20 +c0 +00 +b4 +00 +85 +00 +e5 +00 +2f +10 +01 +30 +39 +20 +b5 +40 +e1 +10 +46 +20 +41 +10 +b6 +20 +19 +20 +15 +40 +a7 +20 +cc +30 +54 +10 +5f +10 +e9 +00 +9e +10 +e0 +00 +9a +10 +de +00 +fa +00 +10 +10 +fa +10 +37 +10 +8d +10 +4f +10 +06 +20 +ad +20 +9f +50 +db +20 +e6 +30 +36 +20 +e0 +20 +53 +20 +f3 +30 +df +20 +e7 +40 +de +10 +ca +10 +8f +00 +a3 +00 +8c +00 +f4 +00 +1d +20 +70 +40 +10 +20 +a1 +20 +39 +10 +70 +20 +ba +10 +12 +30 +e9 +00 +e6 +00 +57 +00 +76 +00 +41 +00 +60 +00 +3c +00 +64 +00 +3c +00 +67 +00 +3a +00 +67 +00 +38 +00 +5e +00 +40 +00 +60 +00 +34 +00 +50 +00 +2f +00 +5b +00 +2c +00 +4e +00 +37 +00 +61 +00 +2e +00 +6b +00 +35 +00 +76 +00 +53 +00 +79 +00 +5d +00 +7d +00 +9a +10 +67 +40 +6d +30 +da +60 +0c +20 +81 +10 +82 +00 +7c +00 +47 +00 +70 +00 +3c +00 +70 +00 +36 +00 +60 +00 +47 +00 +61 +00 +35 +00 +5c +00 +36 +00 +58 +00 +3a +00 +73 +00 +44 +00 +6f +00 +39 +00 +58 +00 +2e +00 +48 +00 +25 +00 +51 +00 +35 +00 +74 +00 +42 +00 +60 +00 +30 +00 +4e +00 +2a +00 +45 +00 +27 +00 +4d +00 +2a +00 +4a +00 +34 +00 +58 +00 +33 +00 +56 +00 +33 +00 +51 +00 +32 +00 +57 +00 +37 +00 +52 +00 +2f +00 +68 +00 +30 +00 +5d +00 +38 +00 +70 +00 +45 +00 +6f +00 +43 +00 +78 +00 +41 +00 +72 +00 +3f +00 +8e +00 +80 +00 +f8 +00 +78 +00 +08 +10 +7b +00 +71 +00 +47 +00 +58 +00 +45 +00 +6f +00 +37 +00 +6b +00 +3e +00 +50 +00 +36 +00 +5c +00 +3c +00 +5c +00 +2e +00 +5a +00 +34 +00 +49 +00 +3a +00 +50 +00 +37 +00 +46 +00 +2e +00 +51 +00 +37 +00 +57 +00 +50 +00 +8e +00 +42 +00 +7b +00 +3a +00 +53 +00 +31 +00 +69 +00 +3e +00 +5e +00 +4a +00 +6e +00 +a0 +00 +f9 +20 +4e +10 +45 +10 +01 +10 +78 +20 +c1 +20 +fe +30 +23 +10 +00 +10 +8e +00 +a4 +00 +66 +00 +a5 +00 +95 +00 +c1 +00 +72 +00 +a9 +00 +9b +00 +27 +10 +c1 +10 +37 +30 +17 +20 +46 +20 +fa +20 +df +40 +46 +40 +98 +70 +ff +40 +98 +60 +26 +40 +7f +70 +19 +60 +44 +a0 +3e +70 +ca +a0 +70 +70 +96 +a0 +6c +70 +de +a0 +47 +70 +b0 +a0 +42 +70 +e0 +a0 +45 +70 +1b +b0 +7b +70 +bf +a0 +7c +70 +eb +a0 +79 +70 +b9 +a0 +30 +70 +cb +a0 +68 +70 +9e +a0 +5e +70 +90 +a0 +22 +70 +92 +a0 +f3 +60 +55 +a0 +a3 +60 +0d +a0 +e2 +40 +37 +80 +a6 +40 +24 +70 +74 +40 +c9 +60 +68 +40 +d4 +50 +98 +20 +65 +20 +e4 +20 +0a +60 +2b +30 +4c +40 +a2 +10 +8c +10 +ca +10 +44 +40 +3e +40 +76 +70 +6d +20 +64 +20 +24 +10 +0a +10 +d7 +00 +f5 +00 +c5 +00 +bf +00 +9c +00 +d0 +00 +b3 +00 +e7 +00 +a5 +00 +cc +00 +b9 +00 +0b +10 +7f +00 +29 +10 +b5 +00 +28 +10 +6f +00 +a6 +00 +5f +00 +84 +00 +47 +00 +89 +00 +5f +00 +82 +00 +86 +00 +cd +00 +96 +00 +1b +10 +d9 +00 +e1 +00 +e6 +00 +15 +10 +74 +10 +0d +20 +a1 +10 +a8 +10 +8c +10 +e1 +10 +f4 +10 +21 +40 +97 +20 +3e +20 +f3 +00 +b2 +00 +5d +00 +6e +00 +39 +00 +76 +00 +30 +00 +6b +00 +2d +00 +51 +00 +27 +00 +42 +00 +2b +00 +4c +00 +27 +00 +5b +00 +2e +00 +50 +00 +28 +00 +3e +00 +26 +00 +47 +00 +23 +00 +45 +00 +30 +00 +4f +00 +3b +00 +70 +00 +12 +10 +39 +10 +08 +10 +47 +10 +5a +10 +e2 +30 +ee +00 +9d +00 +73 +00 +e4 +00 +58 +00 +53 +00 +34 +00 +5c +00 +2b +00 +49 +00 +20 +00 +46 +00 +2e +00 +44 +00 +27 +00 +4f +00 +22 +00 +5e +00 +29 +00 +53 +00 +27 +00 +5b +00 +2d +00 +4d +00 +30 +00 +56 +00 +30 +00 +43 +00 +27 +00 +4f +00 +28 +00 +44 +00 +25 +00 +4b +00 +22 +00 +46 +00 +24 +00 +4d +00 +25 +00 +4f +00 +2c +00 +64 +00 +28 +00 +4b +00 +31 +00 +5e +00 +c0 +00 +15 +30 +ed +00 +b9 +00 +49 +00 +50 +00 +29 +00 +58 +00 +35 +00 +6d +00 +42 +00 +73 +00 +3d +00 +69 +00 +43 +00 +68 +00 +6d +00 +b6 +00 +36 +10 +b6 +40 +1e +10 +f2 +00 +b0 +00 +87 +10 +51 +10 +64 +10 +cf +00 +01 +10 +88 +00 +9f +00 +68 +00 +a9 +00 +82 +00 +d7 +00 +a9 +00 +ec +00 +c4 +00 +d6 +00 +9f +00 +f3 +00 +b6 +00 +b1 +10 +3a +10 +ee +10 +69 +10 +ae +20 +7f +10 +fa +10 +11 +40 +1f +90 +2a +60 +99 +a0 +8b +50 +e6 +40 +06 +30 +64 +20 +64 +10 +13 +10 +67 +10 +9d +20 +a2 +20 +f2 +40 +94 +10 +44 +10 +10 +10 +48 +20 +c7 +00 +e0 +00 +bd +00 +ab +10 +6f +10 +da +10 +7f +00 +00 +00 +00 +00 +3c +00 +ad +00 +3e +00 +ad +00 +bc +00 +a4 +20 +a8 +00 +5a +20 +37 +10 +89 +20 +87 +00 +60 +10 +45 +00 +b2 +00 +31 +00 +78 +00 +35 +00 +a9 +00 +3b +00 +c1 +00 +49 +00 +cf +00 +3e +00 +ac +00 +48 +00 +50 +10 +69 +10 +f1 +40 +84 +10 +ff +30 +22 +10 +5e +30 +b3 +00 +5b +10 +4d +00 +a8 +00 +3b +00 +64 +10 +0f +10 +79 +20 +d9 +00 +44 +20 +7f +00 +3a +10 +7f +00 +e2 +10 +df +00 +66 +30 +ce +00 +c4 +10 +56 +00 +d6 +00 +51 +00 +24 +10 +6f +00 +ed +00 +46 +00 +de +00 +61 +00 +3e +10 +5a +00 +f7 +00 +7c +00 +46 +20 +01 +10 +09 +30 +cf +00 +4b +20 +9e +00 +3a +20 +19 +10 +2e +40 +df +10 +80 +30 +7e +00 +f6 +00 +42 +00 +a5 +00 +8e +00 +bd +30 +ed +10 +d7 +30 +91 +00 +26 +10 +a3 +00 +05 +20 +8e +00 +27 +10 +2d +00 +83 +00 +27 +00 +73 +00 +2d +00 +59 +00 +21 +00 +62 +00 +22 +00 +62 +00 +24 +00 +60 +00 +26 +00 +67 +00 +20 +00 +5b +00 +1e +00 +5b +00 +20 +00 +5b +00 +20 +00 +62 +00 +27 +00 +65 +00 +22 +00 +70 +00 +26 +00 +81 +00 +24 +00 +75 +00 +3f +00 +a0 +10 +ff +10 +92 +60 +0a +20 +26 +30 +66 +00 +a8 +00 +2d +00 +66 +00 +24 +00 +6c +00 +1d +00 +60 +00 +22 +00 +65 +00 +22 +00 +64 +00 +20 +00 +58 +00 +22 +00 +57 +00 +20 +00 +51 +00 +1a +00 +58 +00 +20 +00 +49 +00 +18 +00 +3f +00 +1f +00 +5a +00 +20 +00 +62 +00 +23 +00 +5d +00 +22 +00 +3b +00 +17 +00 +4c +00 +14 +00 +37 +00 +1f +00 +62 +00 +1d +00 +74 +00 +29 +00 +5e +00 +1d +00 +59 +00 +1e +00 +59 +00 +21 +00 +5f +00 +27 +00 +5a +00 +21 +00 +4f +00 +22 +00 +6b +00 +27 +00 +63 +00 +26 +00 +62 +00 +2d +00 +6b +00 +47 +00 +be +00 +49 +00 +c6 +00 +33 +00 +a1 +00 +23 +00 +72 +00 +36 +00 +5a +00 +22 +00 +57 +00 +1f +00 +56 +00 +22 +00 +5f +00 +26 +00 +65 +00 +25 +00 +59 +00 +1c +00 +52 +00 +27 +00 +91 +00 +26 +00 +59 +00 +1d +00 +5a +00 +1d +00 +5b +00 +1e +00 +6d +00 +2f +00 +77 +00 +20 +00 +56 +00 +22 +00 +5b +00 +2c +00 +5f +00 +2a +00 +6f +00 +28 +00 +a2 +00 +88 +00 +7c +10 +c3 +00 +45 +20 +0b +10 +00 +50 +bf +10 +51 +20 +5a +00 +bf +00 +36 +00 +8d +00 +52 +00 +5d +10 +45 +00 +b5 +00 +45 +00 +d5 +00 +d9 +00 +3a +40 +01 +20 +4f +40 +cf +10 +63 +60 +d8 +20 +64 +70 +26 +30 +40 +90 +df +20 +3c +50 +cb +20 +37 +90 +e7 +30 +90 +a0 +d9 +30 +a4 +a0 +d3 +30 +ba +a0 +fa +30 +09 +b0 +ed +30 +8f +a0 +dc +30 +d6 +a0 +1e +40 +d1 +a0 +d7 +30 +e1 +a0 +d8 +30 +92 +a0 +df +30 +b9 +a0 +f3 +30 +0b +b0 +f1 +30 +a8 +a0 +dd +30 +b2 +a0 +d8 +30 +91 +a0 +bd +30 +44 +a0 +c9 +20 +cc +50 +95 +10 +ee +40 +d6 +20 +02 +80 +81 +20 +28 +60 +73 +10 +4d +30 +d0 +00 +d9 +30 +8e +20 +7b +50 +3a +10 +36 +20 +89 +00 +f1 +10 +14 +20 +9b +70 +23 +20 +94 +30 +9d +00 +61 +10 +60 +00 +6d +10 +83 +00 +18 +10 +4f +00 +c0 +00 +39 +00 +c0 +00 +42 +00 +d4 +00 +73 +00 +c0 +10 +88 +00 +e8 +00 +4b +00 +ec +00 +5b +00 +cc +00 +33 +00 +8e +00 +34 +00 +82 +00 +32 +00 +83 +00 +2c +00 +80 +00 +3d +00 +b0 +00 +56 +00 +bb +10 +be +00 +00 +20 +9c +00 +a4 +10 +f8 +00 +3b +30 +0e +10 +61 +20 +64 +10 +ae +30 +f2 +10 +4f +50 +1b +10 +93 +10 +3b +00 +8f +00 +2b +00 +6c +00 +2e +00 +71 +00 +26 +00 +62 +00 +26 +00 +46 +00 +1e +00 +57 +00 +24 +00 +53 +00 +1f +00 +5d +00 +20 +00 +4c +00 +1b +00 +3a +00 +1a +00 +3a +00 +17 +00 +47 +00 +27 +00 +51 +00 +3d +00 +7b +20 +2d +10 +bf +20 +bf +00 +7d +10 +7f +00 +21 +10 +3d +00 +84 +00 +7a +00 +db +00 +21 +00 +58 +00 +1e +00 +55 +00 +15 +00 +43 +00 +16 +00 +47 +00 +23 +00 +58 +00 +22 +00 +51 +00 +1f +00 +56 +00 +23 +00 +4f +00 +23 +00 +53 +00 +28 +00 +48 +00 +24 +00 +67 +00 +1b +00 +44 +00 +1c +00 +3c +00 +21 +00 +44 +00 +1b +00 +42 +00 +18 +00 +42 +00 +1c +00 +47 +00 +1b +00 +4e +00 +21 +00 +4c +00 +1d +00 +3f +00 +2c +00 +00 +10 +d6 +00 +3c +10 +36 +00 +65 +00 +22 +00 +49 +00 +1b +00 +62 +00 +2b +00 +76 +00 +2e +00 +66 +00 +34 +00 +69 +00 +24 +00 +72 +00 +3c +00 +49 +10 +dd +00 +a4 +10 +56 +00 +11 +10 +1f +10 +4e +30 +d1 +00 +47 +10 +44 +00 +9c +00 +3b +00 +7f +00 +35 +00 +b1 +00 +43 +00 +ce +00 +5f +00 +c6 +00 +4b +00 +c2 +00 +59 +00 +e3 +00 +7c +00 +60 +10 +bb +00 +6f +20 +d9 +00 +b5 +10 +fa +00 +76 +60 +bb +30 +92 +a0 +06 +40 +a5 +90 +79 +10 +b2 +30 +53 +10 +ee +10 +70 +00 +d6 +10 +c5 +00 +76 +30 +01 +10 +ff +10 +99 +00 +d2 +10 +93 +00 +17 +10 +3f +00 +f7 +00 +83 +10 +97 +30 +91 +00 +bf +00 +00 +00 +00 +00 +a0 +00 +7a +00 +a3 +00 +93 +00 +fd +00 +3a +10 +e8 +20 +c1 +10 +3e +20 +11 +10 +53 +10 +9c +00 +aa +00 +7e +00 +97 +00 +7c +00 +9d +00 +a2 +00 +aa +00 +a6 +00 +bd +00 +b0 +00 +a1 +00 +85 +00 +c7 +00 +e9 +00 +97 +10 +f4 +10 +cd +40 +ca +20 +75 +40 +af +10 +d7 +20 +df +00 +e5 +00 +8a +00 +c2 +00 +b3 +00 +43 +10 +e0 +00 +9b +10 +ea +00 +4b +10 +b9 +00 +fe +00 +f2 +00 +7a +10 +21 +10 +aa +10 +10 +10 +f4 +00 +a2 +00 +d2 +00 +8f +00 +ee +00 +8f +00 +b5 +00 +c5 +00 +cc +00 +da +00 +db +00 +cc +00 +03 +10 +23 +10 +c1 +10 +16 +20 +97 +20 +a8 +10 +ac +10 +6c +10 +68 +20 +2d +20 +e4 +30 +56 +10 +66 +10 +89 +00 +9c +00 +c2 +00 +a4 +10 +17 +20 +e4 +40 +c0 +10 +c8 +10 +d9 +00 +0c +10 +af +00 +0c +10 +8c +00 +99 +00 +5d +00 +75 +00 +3c +00 +6e +00 +3a +00 +59 +00 +3d +00 +61 +00 +36 +00 +53 +00 +31 +00 +59 +00 +33 +00 +6d +00 +30 +00 +62 +00 +31 +00 +56 +00 +37 +00 +53 +00 +2d +00 +60 +00 +33 +00 +6a +00 +41 +00 +6e +00 +3d +00 +6d +00 +70 +00 +dd +00 +67 +20 +48 +50 +c0 +20 +bc +30 +75 +10 +3c +10 +7f +00 +70 +00 +42 +00 +65 +00 +45 +00 +6c +00 +3a +00 +69 +00 +3d +00 +71 +00 +42 +00 +65 +00 +39 +00 +54 +00 +37 +00 +55 +00 +2d +00 +42 +00 +2e +00 +52 +00 +2e +00 +4b +00 +2b +00 +5c +00 +3c +00 +57 +00 +41 +00 +61 +00 +29 +00 +44 +00 +2b +00 +4f +00 +30 +00 +47 +00 +30 +00 +4c +00 +36 +00 +5e +00 +30 +00 +55 +00 +2d +00 +58 +00 +2d +00 +56 +00 +31 +00 +5c +00 +39 +00 +7a +00 +30 +00 +53 +00 +33 +00 +5a +00 +37 +00 +56 +00 +30 +00 +59 +00 +3c +00 +76 +00 +4d +00 +a0 +00 +5f +00 +9b +00 +64 +00 +a4 +00 +6f +00 +76 +00 +5e +00 +a1 +00 +48 +00 +60 +00 +3b +00 +5e +00 +2f +00 +56 +00 +40 +00 +5c +00 +3a +00 +6e +00 +38 +00 +55 +00 +33 +00 +50 +00 +61 +00 +75 +00 +3d +00 +5a +00 +34 +00 +53 +00 +33 +00 +54 +00 +35 +00 +66 +00 +31 +00 +6c +00 +37 +00 +52 +00 +33 +00 +62 +00 +61 +00 +20 +10 +63 +00 +82 +00 +67 +00 +ca +00 +7a +00 +f6 +00 +20 +10 +73 +30 +04 +20 +0e +40 +2f +10 +5a +10 +aa +00 +97 +00 +5d +00 +b2 +00 +5e +00 +d6 +00 +70 +00 +b2 +00 +88 +00 +1e +10 +fb +00 +6f +20 +75 +20 +37 +60 +7b +40 +4e +80 +34 +50 +bd +80 +a3 +50 +24 +90 +94 +40 +51 +60 +e7 +50 +30 +a0 +02 +70 +ec +a0 +25 +70 +90 +a0 +66 +70 +ab +a0 +59 +70 +ec +a0 +24 +70 +ea +a0 +92 +70 +e0 +a0 +43 +70 +b6 +a0 +81 +70 +bf +a0 +5c +70 +e6 +a0 +2c +70 +c0 +a0 +47 +70 +a3 +a0 +6b +70 +fb +a0 +46 +70 +7e +a0 +e6 +60 +30 +a0 +c8 +50 +45 +60 +16 +30 +8b +30 +ae +20 +65 +40 +99 +40 +a5 +70 +2f +40 +70 +50 +f1 +20 +af +20 +4f +30 +e1 +50 +20 +20 +bc +20 +80 +10 +b9 +10 +bb +20 +fe +50 +45 +40 +cf +50 +4d +20 +3c +20 +b1 +10 +6e +10 +cc +20 +4d +40 +c6 +10 +1e +10 +c6 +00 +b3 +00 +9b +00 +d2 +00 +bf +00 +f3 +00 +87 +00 +e7 +00 +79 +00 +c1 +00 +74 +00 +b4 +00 +62 +00 +9f +00 +60 +00 +89 +00 +69 +00 +98 +00 +5f +00 +84 +00 +65 +00 +af +00 +64 +00 +b1 +00 +9d +00 +72 +10 +97 +10 +c5 +40 +68 +10 +5f +10 +0d +10 +7e +20 +0b +10 +88 +10 +0f +10 +4c +20 +ff +00 +c9 +10 +be +00 +c6 +00 +67 +00 +69 +00 +35 +00 +5b +00 +38 +00 +5f +00 +35 +00 +58 +00 +29 +00 +50 +00 +37 +00 +59 +00 +49 +00 +6a +00 +5d +00 +69 +00 +39 +00 +55 +00 +30 +00 +39 +00 +29 +00 +40 +00 +2f +00 +45 +00 +3d +00 +6c +00 +80 +00 +f6 +00 +bb +00 +60 +10 +c7 +00 +03 +10 +7f +00 +91 +00 +5f +00 +71 +00 +41 +00 +5d +00 +35 +00 +4c +00 +2f +00 +50 +00 +27 +00 +4d +00 +23 +00 +46 +00 +26 +00 +4f +00 +2a +00 +5d +00 +55 +00 +6d +00 +35 +00 +43 +00 +2e +00 +49 +00 +25 +00 +47 +00 +27 +00 +4f +00 +28 +00 +3f +00 +22 +00 +47 +00 +20 +00 +43 +00 +23 +00 +4a +00 +26 +00 +4c +00 +3e +00 +4e +00 +2f +00 +4f +00 +31 +00 +4b +00 +34 +00 +69 +00 +83 +00 +4c +10 +6b +00 +a3 +00 +50 +00 +54 +00 +37 +00 +50 +00 +2a +00 +5a +00 +34 +00 +6d +00 +39 +00 +5d +00 +31 +00 +6f +00 +95 +00 +f9 +00 +1b +10 +36 +10 +ae +00 +dc +00 +bf +00 +9f +10 +57 +10 +2c +40 +10 +10 +d1 +00 +77 +00 +8c +00 +4d +00 +a4 +00 +54 +00 +a7 +00 +63 +00 +cc +00 +70 +00 +b8 +00 +81 +00 +d5 +00 +1d +10 +80 +10 +44 +20 +70 +30 +e9 +20 +8a +30 +d8 +10 +95 +20 +af +40 +cb +90 +4d +60 +c0 +a0 +60 +50 +c8 +50 +eb +20 +41 +40 +f9 +10 +f2 +30 +fe +20 +bf +40 +18 +20 +03 +20 +43 +10 +82 +20 +30 +10 +51 +10 +8d +00 +c3 +00 +aa +00 +7f +20 +c0 +00 +3c +10 +87 +00 +00 +00 +00 +00 +3a +00 +bd +00 +59 +00 +35 +10 +55 +00 +3d +10 +a4 +00 +3b +20 +b1 +00 +88 +10 +51 +00 +be +00 +3a +00 +8d +00 +38 +00 +ad +00 +3d +00 +c9 +00 +3c +00 +a5 +00 +43 +00 +ce +00 +3b +00 +a8 +00 +45 +00 +f9 +00 +a9 +00 +00 +30 +51 +10 +68 +30 +e4 +00 +ed +10 +7e +00 +37 +10 +40 +00 +a9 +00 +3b +00 +ac +00 +48 +00 +00 +10 +59 +00 +25 +10 +47 +00 +d0 +00 +4c +00 +ed +00 +55 +00 +10 +10 +61 +00 +06 +10 +48 +00 +c5 +00 +47 +00 +ca +00 +3d +00 +af +00 +4a +00 +d5 +00 +4f +00 +f6 +00 +42 +00 +c4 +00 +45 +00 +18 +10 +b1 +00 +9c +30 +f4 +00 +ec +10 +72 +00 +28 +10 +97 +00 +f6 +10 +9d +00 +74 +10 +58 +00 +bb +00 +3b +00 +c9 +00 +7a +00 +49 +20 +f2 +00 +38 +20 +72 +00 +fa +00 +55 +00 +fe +00 +48 +00 +be +00 +34 +00 +7e +00 +1f +00 +62 +00 +22 +00 +6c +00 +26 +00 +5f +00 +28 +00 +5c +00 +21 +00 +5a +00 +19 +00 +66 +00 +21 +00 +64 +00 +1f +00 +65 +00 +24 +00 +5f +00 +22 +00 +55 +00 +1c +00 +4e +00 +25 +00 +6a +00 +26 +00 +6c +00 +26 +00 +86 +00 +83 +00 +8c +30 +e5 +10 +fd +30 +bb +00 +a4 +10 +50 +00 +a7 +00 +31 +00 +7c +00 +21 +00 +65 +00 +27 +00 +61 +00 +25 +00 +6b +00 +28 +00 +73 +00 +28 +00 +56 +00 +1a +00 +56 +00 +19 +00 +53 +00 +1c +00 +4b +00 +1f +00 +56 +00 +23 +00 +55 +00 +2a +00 +72 +00 +29 +00 +67 +00 +1b +00 +4b +00 +1b +00 +5a +00 +24 +00 +50 +00 +1c +00 +4d +00 +20 +00 +5a +00 +1e +00 +57 +00 +2b +00 +5f +00 +26 +00 +50 +00 +21 +00 +54 +00 +26 +00 +62 +00 +28 +00 +52 +00 +1d +00 +53 +00 +1e +00 +65 +00 +23 +00 +5a +00 +24 +00 +62 +00 +2f +00 +77 +00 +38 +00 +74 +00 +2d +00 +90 +00 +4b +00 +27 +10 +36 +00 +81 +00 +2c +00 +5e +00 +1f +00 +51 +00 +1e +00 +4e +00 +2b +00 +66 +00 +3c +00 +84 +00 +2b +00 +57 +00 +1e +00 +5c +00 +1f +00 +67 +00 +2a +00 +5f +00 +2d +00 +75 +00 +20 +00 +63 +00 +23 +00 +5e +00 +27 +00 +5d +00 +20 +00 +64 +00 +25 +00 +49 +00 +25 +00 +96 +00 +a8 +00 +01 +10 +2f +00 +87 +00 +3d +00 +c0 +00 +4d +00 +f6 +00 +93 +00 +37 +20 +f4 +00 +cc +10 +55 +00 +b9 +00 +47 +00 +b1 +00 +3f +00 +b9 +00 +46 +00 +b4 +00 +47 +00 +c4 +00 +50 +00 +2e +10 +a5 +00 +00 +30 +c5 +20 +a9 +70 +d7 +20 +68 +70 +67 +30 +73 +90 +66 +30 +33 +70 +10 +20 +4b +80 +c6 +30 +e3 +a0 +fc +30 +02 +b0 +e4 +30 +ed +a0 +db +30 +ce +a0 +e0 +30 +dd +a0 +e2 +30 +aa +a0 +eb +30 +e5 +a0 +01 +40 +e8 +a0 +11 +40 +c5 +a0 +dc +30 +9e +a0 +af +30 +e0 +a0 +05 +40 +ce +a0 +dc +30 +12 +b0 +e0 +30 +71 +a0 +59 +30 +39 +80 +82 +10 +c7 +30 +f0 +00 +f9 +20 +47 +20 +b0 +70 +2b +30 +5d +80 +2a +20 +21 +40 +63 +10 +74 +50 +70 +10 +f6 +20 +bd +00 +f3 +10 +a6 +00 +c5 +30 +a8 +20 +78 +70 +84 +10 +06 +30 +ac +00 +e3 +10 +6b +10 +ec +50 +39 +20 +5f +30 +76 +00 +0d +10 +4b +00 +d2 +00 +63 +00 +2f +10 +58 +00 +d5 +00 +45 +00 +c3 +00 +44 +00 +c9 +00 +4d +00 +aa +00 +3c +00 +9d +00 +41 +00 +c1 +00 +3d +00 +92 +00 +39 +00 +81 +00 +3f +00 +8b +00 +32 +00 +b7 +00 +4b +00 +50 +10 +30 +10 +1b +20 +6b +00 +f8 +00 +58 +00 +06 +10 +63 +00 +0d +10 +78 +00 +48 +10 +5f +00 +eb +00 +3d +00 +88 +00 +27 +00 +59 +00 +1f +00 +5e +00 +25 +00 +6d +00 +2d +00 +58 +00 +25 +00 +5a +00 +27 +00 +8b +00 +5a +00 +b4 +00 +38 +00 +62 +00 +25 +00 +4a +00 +19 +00 +49 +00 +1a +00 +45 +00 +24 +00 +4a +00 +27 +00 +8a +00 +43 +00 +d1 +00 +53 +00 +d3 +00 +35 +00 +a4 +00 +29 +00 +8f +00 +32 +00 +66 +00 +24 +00 +48 +00 +1e +00 +51 +00 +23 +00 +4f +00 +23 +00 +57 +00 +1c +00 +44 +00 +26 +00 +55 +00 +36 +00 +06 +10 +32 +00 +63 +00 +1f +00 +49 +00 +1d +00 +4f +00 +1e +00 +53 +00 +15 +00 +43 +00 +1a +00 +4c +00 +19 +00 +3f +00 +23 +00 +49 +00 +19 +00 +51 +00 +39 +00 +cd +00 +22 +00 +49 +00 +14 +00 +54 +00 +18 +00 +46 +00 +2e +00 +25 +10 +50 +00 +bd +00 +2a +00 +66 +00 +21 +00 +4d +00 +1c +00 +59 +00 +23 +00 +6b +00 +2b +00 +67 +00 +27 +00 +60 +00 +32 +00 +d2 +00 +73 +10 +09 +40 +6b +00 +f0 +00 +32 +00 +b1 +00 +5b +00 +69 +10 +ea +00 +8f +10 +4f +00 +a3 +00 +39 +00 +9e +00 +40 +00 +96 +00 +39 +00 +a3 +00 +48 +00 +c5 +00 +49 +00 +e2 +00 +b9 +00 +5e +30 +99 +10 +22 +60 +42 +20 +cc +50 +31 +10 +f2 +10 +71 +10 +e3 +70 +dc +30 +d3 +a0 +c5 +30 +80 +70 +bf +10 +53 +30 +d0 +00 +31 +20 +b1 +10 +05 +60 +13 +10 +ad +20 +26 +10 +9c +10 +70 +00 +2a +10 +52 +00 +d5 +00 +45 +00 +cb +00 +75 +00 +20 +10 +48 +00 +a9 +00 +00 +00 +00 +00 +b5 +00 +8a +00 +1e +10 +d9 +00 +4a +10 +b8 +00 +f9 +00 +ae +00 +2a +10 +da +00 +fd +00 +85 +00 +a2 +00 +a8 +00 +b1 +00 +26 +10 +1c +10 +34 +10 +f3 +00 +2a +10 +f4 +00 +2a +10 +ff +00 +ab +00 +b0 +00 +21 +10 +83 +20 +fe +10 +b2 +30 +41 +10 +93 +10 +e1 +00 +29 +10 +79 +00 +b2 +00 +68 +00 +9a +00 +7b +00 +cb +00 +a5 +00 +d1 +00 +9c +00 +b7 +00 +7f +00 +b4 +00 +a8 +00 +d9 +00 +dc +00 +4a +10 +87 +10 +98 +10 +ca +00 +aa +00 +73 +00 +a5 +00 +b6 +00 +a3 +10 +91 +10 +91 +20 +76 +10 +1f +20 +e1 +00 +d3 +00 +72 +10 +eb +20 +38 +20 +ba +20 +63 +10 +15 +10 +d7 +00 +0b +10 +d1 +00 +2b +10 +af +00 +e4 +00 +74 +00 +aa +00 +a7 +00 +eb +00 +c5 +00 +79 +10 +dd +00 +2a +10 +94 +00 +a5 +00 +85 +00 +b9 +00 +75 +00 +85 +00 +5c +00 +77 +00 +38 +00 +65 +00 +3c +00 +6e +00 +35 +00 +54 +00 +3a +00 +50 +00 +3d +00 +5c +00 +35 +00 +5e +00 +35 +00 +5f +00 +35 +00 +57 +00 +37 +00 +63 +00 +3e +00 +5a +00 +38 +00 +5c +00 +41 +00 +6a +00 +58 +00 +84 +00 +e7 +00 +28 +10 +1d +20 +c1 +30 +e1 +10 +20 +20 +f7 +00 +de +00 +5a +00 +8b +00 +41 +00 +58 +00 +3b +00 +69 +00 +42 +00 +61 +00 +38 +00 +6a +00 +3e +00 +69 +00 +32 +00 +55 +00 +2f +00 +4d +00 +30 +00 +42 +00 +44 +00 +53 +00 +37 +00 +57 +00 +84 +00 +83 +10 +09 +10 +34 +10 +65 +00 +55 +00 +32 +00 +50 +00 +40 +00 +c7 +00 +44 +00 +50 +00 +3d +00 +59 +00 +34 +00 +54 +00 +30 +00 +55 +00 +35 +00 +66 +00 +2d +00 +64 +00 +31 +00 +5d +00 +31 +00 +5e +00 +31 +00 +49 +00 +2f +00 +55 +00 +38 +00 +64 +00 +41 +00 +5a +00 +45 +00 +6f +00 +47 +00 +74 +00 +3c +00 +6f +00 +58 +00 +75 +00 +71 +00 +40 +10 +64 +00 +7f +00 +47 +00 +64 +00 +37 +00 +53 +00 +5a +00 +83 +00 +84 +00 +ed +00 +4e +00 +68 +00 +38 +00 +59 +00 +2f +00 +47 +00 +2c +00 +58 +00 +33 +00 +66 +00 +44 +00 +67 +00 +3c +00 +4e +00 +36 +00 +52 +00 +30 +00 +5b +00 +38 +00 +63 +00 +31 +00 +58 +00 +3e +00 +8c +00 +49 +00 +95 +00 +62 +00 +8b +00 +68 +00 +b0 +00 +92 +00 +e8 +00 +d2 +00 +4e +10 +aa +00 +ee +00 +a0 +00 +b7 +00 +5d +00 +a7 +00 +6f +00 +bd +00 +67 +00 +b5 +00 +6f +00 +d0 +00 +bc +00 +71 +10 +a7 +20 +07 +70 +56 +40 +e4 +50 +6c +30 +8c +60 +95 +40 +1e +90 +c8 +40 +60 +50 +75 +50 +5d +90 +c8 +60 +aa +a0 +71 +70 +ea +a0 +79 +70 +f3 +a0 +4f +70 +c7 +a0 +78 +70 +27 +b0 +94 +70 +dd +a0 +d0 +70 +0f +b0 +67 +70 +22 +b0 +82 +70 +a3 +a0 +33 +70 +fb +a0 +4e +70 +b7 +a0 +82 +70 +e2 +a0 +34 +70 +86 +a0 +21 +70 +f0 +90 +d3 +40 +68 +40 +b1 +20 +a4 +20 +7b +30 +d6 +60 +72 +50 +ea +80 +92 +40 +5e +50 +6c +20 +83 +30 +95 +30 +6c +50 +62 +20 +76 +20 +6d +20 +81 +20 +ba +30 +85 +60 +96 +40 +d4 +50 +c0 +30 +08 +40 +3c +40 +8c +60 +63 +40 +a0 +70 +4d +30 +97 +20 +58 +10 +1b +10 +b1 +10 +64 +30 +4d +10 +00 +10 +9e +00 +c2 +00 +67 +00 +a6 +00 +7b +00 +ae +00 +63 +00 +9a +00 +7e +00 +20 +10 +24 +10 +fa +00 +80 +00 +79 +00 +58 +00 +7c +00 +61 +00 +a7 +00 +8c +00 +b8 +00 +a6 +00 +1f +10 +a3 +00 +21 +10 +a6 +00 +c5 +00 +82 +00 +d3 +00 +a1 +00 +f6 +00 +a6 +00 +dd +00 +7d +00 +8a +00 +4e +00 +6e +00 +38 +00 +5d +00 +2f +00 +5a +00 +2f +00 +5a +00 +35 +00 +5f +00 +54 +00 +a2 +00 +84 +10 +18 +30 +24 +10 +bc +00 +91 +00 +88 +00 +52 +00 +7d +00 +b5 +00 +93 +00 +65 +00 +4e +00 +31 +00 +45 +00 +3e +00 +8c +00 +5f +00 +a7 +00 +6a +00 +9e +00 +4b +00 +7a +00 +48 +00 +9d +00 +40 +00 +66 +00 +2c +00 +4d +00 +2f +00 +4a +00 +2c +00 +3e +00 +23 +00 +53 +00 +27 +00 +44 +00 +28 +00 +65 +00 +32 +00 +7b +00 +39 +00 +57 +00 +1d +00 +4b +00 +2f +00 +53 +00 +28 +00 +4c +00 +2f +00 +3e +00 +20 +00 +49 +00 +28 +00 +3d +00 +1d +00 +40 +00 +2a +00 +9f +00 +4d +00 +84 +00 +39 +00 +3f +00 +29 +00 +3a +00 +36 +00 +67 +00 +86 +00 +a5 +10 +77 +00 +7d +00 +3d +00 +4c +00 +2f +00 +5b +00 +36 +00 +5a +00 +2d +00 +62 +00 +2b +00 +57 +00 +41 +00 +7e +00 +fd +00 +aa +30 +93 +10 +e7 +10 +be +00 +92 +00 +80 +00 +e8 +00 +ab +00 +3d +10 +9b +00 +d8 +00 +7c +00 +a8 +00 +64 +00 +b6 +00 +6b +00 +a0 +00 +75 +00 +af +00 +82 +00 +ea +00 +19 +10 +3a +20 +56 +30 +ac +60 +79 +30 +78 +60 +4f +20 +18 +30 +14 +20 +39 +40 +d5 +40 +d1 +90 +ae +50 +73 +70 +91 +30 +0c +40 +f0 +10 +a8 +10 +d2 +10 +95 +30 +27 +20 +18 +30 +83 +10 +4f +20 +09 +10 +f9 +00 +9a +00 +d4 +00 +8d +00 +a0 +00 +83 +00 +ce +00 +73 +00 +a7 +00 +5d +00 +00 +00 +00 +00 +3e +00 +c7 +00 +44 +00 +f6 +00 +47 +00 +da +00 +50 +00 +d9 +00 +51 +00 +22 +10 +4c +00 +bc +00 +35 +00 +e9 +00 +a0 +00 +77 +20 +00 +10 +e6 +20 +cf +00 +ff +10 +fb +00 +71 +30 +ca +00 +63 +10 +48 +00 +27 +10 +be +00 +4d +20 +a1 +00 +a6 +10 +61 +00 +07 +10 +3d +00 +dc +00 +3d +00 +9d +00 +40 +00 +94 +00 +35 +00 +bb +00 +38 +00 +ab +00 +35 +00 +83 +00 +33 +00 +a0 +00 +38 +00 +b3 +00 +ef +00 +ff +30 +68 +10 +7f +20 +4d +00 +91 +00 +38 +00 +d7 +00 +15 +10 +48 +30 +f6 +00 +c6 +20 +f5 +00 +08 +20 +5f +00 +3c +10 +a3 +10 +a8 +40 +c5 +00 +83 +10 +51 +00 +db +00 +4a +00 +0c +10 +4a +00 +fb +00 +3a +00 +8b +00 +47 +00 +2f +10 +55 +00 +0f +10 +64 +00 +2f +10 +48 +00 +a6 +00 +2c +00 +9d +00 +2b +00 +80 +00 +29 +00 +84 +00 +29 +00 +7b +00 +26 +00 +64 +00 +29 +00 +6b +00 +2a +00 +68 +00 +22 +00 +5e +00 +26 +00 +61 +00 +20 +00 +5e +00 +2e +00 +5c +00 +25 +00 +63 +00 +21 +00 +65 +00 +25 +00 +5c +00 +25 +00 +67 +00 +24 +00 +76 +00 +49 +00 +90 +10 +e5 +00 +7c +30 +21 +10 +b8 +20 +73 +00 +1b +10 +37 +00 +89 +00 +2f +00 +78 +00 +26 +00 +6c +00 +38 +00 +77 +00 +26 +00 +61 +00 +2a +00 +65 +00 +21 +00 +57 +00 +23 +00 +4a +00 +16 +00 +4a +00 +2d +00 +10 +10 +2f +00 +59 +00 +2b +00 +e4 +00 +b1 +00 +f7 +10 +83 +00 +d0 +00 +20 +00 +51 +00 +28 +00 +6e +00 +2d +00 +55 +00 +22 +00 +50 +00 +23 +00 +56 +00 +27 +00 +59 +00 +28 +00 +61 +00 +29 +00 +6f +00 +26 +00 +63 +00 +1c +00 +5b +00 +23 +00 +67 +00 +1e +00 +56 +00 +1c +00 +5c +00 +2b +00 +5e +00 +2c +00 +6c +00 +27 +00 +74 +00 +2a +00 +67 +00 +23 +00 +65 +00 +2b +00 +70 +00 +52 +00 +aa +00 +29 +00 +5d +00 +28 +00 +68 +00 +29 +00 +cf +00 +97 +00 +fa +00 +33 +00 +81 +00 +2c +00 +60 +00 +1c +00 +45 +00 +20 +00 +51 +00 +1f +00 +5c +00 +1b +00 +57 +00 +1f +00 +5d +00 +1f +00 +58 +00 +23 +00 +4d +00 +23 +00 +58 +00 +1f +00 +4d +00 +23 +00 +5c +00 +2c +00 +6e +00 +2b +00 +6b +00 +26 +00 +86 +00 +30 +00 +a9 +00 +41 +00 +d5 +00 +5b +00 +f0 +00 +47 +00 +a3 +00 +33 +00 +84 +00 +42 +00 +a9 +00 +3d +00 +be +00 +46 +00 +cc +00 +51 +00 +ef +00 +b0 +00 +f3 +30 +cb +20 +d9 +70 +a2 +10 +db +30 +2e +10 +24 +40 +ab +20 +00 +80 +a5 +10 +aa +60 +83 +30 +45 +a0 +f7 +30 +95 +a0 +f2 +30 +12 +b0 +ec +30 +dd +a0 +0a +40 +1b +b0 +eb +30 +e0 +a0 +14 +40 +13 +b0 +0e +40 +49 +b0 +de +30 +00 +b0 +e8 +30 +ee +a0 +f0 +30 +e6 +a0 +ee +30 +cb +a0 +e3 +30 +a1 +a0 +df +30 +87 +a0 +7d +30 +55 +70 +2c +10 +dc +20 +fc +00 +df +40 +fe +20 +bb +80 +38 +30 +5f +60 +3e +10 +0c +30 +58 +10 +68 +60 +75 +20 +7d +40 +12 +10 +af +40 +bd +10 +c1 +50 +db +20 +85 +80 +04 +30 +d0 +70 +c5 +20 +6b +70 +cc +20 +37 +70 +aa +20 +41 +60 +fd +00 +dc +10 +d1 +00 +f5 +30 +43 +10 +11 +20 +64 +00 +f4 +00 +3f +00 +bc +00 +41 +00 +9a +00 +3e +00 +88 +00 +34 +00 +a6 +00 +33 +10 +43 +40 +9c +00 +f6 +00 +32 +00 +86 +00 +3e +00 +93 +00 +6f +00 +f4 +00 +49 +00 +e4 +00 +4e +00 +da +00 +48 +00 +b0 +00 +36 +00 +a1 +00 +2f +00 +aa +00 +32 +00 +b0 +00 +37 +00 +8f +00 +31 +00 +62 +00 +2b +00 +46 +00 +21 +00 +5e +00 +1c +00 +5f +00 +1f +00 +5a +00 +23 +00 +7f +00 +bf +00 +a5 +40 +8c +10 +7f +20 +69 +00 +c7 +10 +52 +00 +8d +00 +93 +00 +4c +30 +a0 +00 +b0 +00 +1a +00 +47 +00 +1c +00 +54 +00 +1f +00 +61 +00 +26 +00 +81 +00 +2b +00 +6b +00 +29 +00 +66 +00 +22 +00 +66 +00 +27 +00 +52 +00 +25 +00 +45 +00 +1f +00 +54 +00 +1e +00 +4d +00 +22 +00 +55 +00 +1e +00 +59 +00 +25 +00 +5e +00 +30 +00 +60 +00 +19 +00 +55 +00 +20 +00 +4c +00 +1f +00 +48 +00 +17 +00 +42 +00 +18 +00 +45 +00 +1e +00 +42 +00 +1b +00 +44 +00 +1a +00 +43 +00 +2e +00 +71 +00 +2b +00 +66 +00 +1d +00 +58 +00 +2a +00 +4e +00 +2a +00 +a0 +00 +43 +00 +a3 +00 +26 +00 +59 +00 +1f +00 +56 +00 +24 +00 +5e +00 +21 +00 +58 +00 +29 +00 +59 +00 +20 +00 +63 +00 +35 +00 +7b +10 +6a +10 +3e +20 +7c +00 +e5 +00 +31 +00 +93 +00 +3e +00 +be +00 +4f +00 +dc +00 +59 +00 +ed +00 +3f +00 +95 +00 +4f +00 +aa +00 +3c +00 +c5 +00 +4e +00 +d2 +00 +6a +00 +33 +20 +ae +10 +51 +70 +b3 +20 +a8 +40 +11 +10 +c0 +20 +a2 +00 +f6 +10 +49 +20 +73 +80 +6d +30 +95 +70 +e3 +10 +06 +40 +9d +00 +91 +10 +77 +00 +de +10 +ee +00 +4b +30 +bc +00 +c2 +10 +67 +00 +10 +10 +56 +00 +41 +10 +46 +00 +ad +00 +30 +00 +9c +00 +38 +00 +b1 +00 +2d +00 +67 +00 +00 +00 +00 +00 +9d +00 +7c +00 +ab +00 +6a +00 +91 +00 +7d +00 +c2 +00 +9d +00 +ec +00 +60 +10 +71 +20 +c4 +00 +bd +00 +e2 +10 +f6 +30 +91 +30 +97 +50 +8c +30 +9e +40 +57 +30 +26 +50 +af +20 +d4 +30 +17 +10 +f5 +00 +a1 +00 +f6 +00 +e9 +00 +55 +10 +f5 +00 +12 +10 +a9 +00 +be +00 +6b +00 +9a +00 +57 +00 +8d +00 +66 +00 +9c +00 +77 +00 +83 +00 +5e +00 +8d +00 +63 +00 +7d +00 +6d +00 +90 +00 +a6 +00 +94 +10 +48 +10 +47 +30 +0f +10 +07 +10 +80 +00 +8a +00 +86 +00 +98 +10 +eb +00 +81 +10 +fb +00 +c9 +20 +11 +20 +53 +20 +b8 +10 +3a +30 +95 +20 +4b +40 +54 +10 +e7 +00 +b1 +00 +b7 +00 +97 +00 +d0 +00 +90 +00 +a8 +00 +5b +00 +ba +00 +c3 +00 +01 +10 +a5 +00 +f4 +00 +93 +00 +af +00 +58 +00 +87 +00 +62 +00 +72 +00 +87 +00 +9f +00 +71 +00 +93 +00 +56 +00 +6b +00 +3c +00 +6d +00 +45 +00 +65 +00 +56 +00 +6e +00 +43 +00 +67 +00 +3a +00 +69 +00 +3a +00 +67 +00 +36 +00 +61 +00 +34 +00 +6d +00 +37 +00 +62 +00 +42 +00 +66 +00 +46 +00 +6b +00 +c3 +00 +21 +10 +2d +20 +ec +30 +4f +30 +3a +50 +13 +20 +92 +10 +b7 +00 +9b +00 +54 +00 +75 +00 +41 +00 +62 +00 +4a +00 +7e +00 +3a +00 +6d +00 +3e +00 +6a +00 +3d +00 +57 +00 +37 +00 +4f +00 +27 +00 +4b +00 +34 +00 +58 +00 +3c +00 +80 +00 +3e +00 +6e +00 +58 +00 +b0 +00 +60 +00 +a0 +00 +54 +00 +66 +00 +30 +00 +46 +00 +36 +00 +5c +00 +29 +00 +49 +00 +2b +00 +58 +00 +31 +00 +59 +00 +2e +00 +63 +00 +2e +00 +5d +00 +31 +00 +6f +00 +33 +00 +59 +00 +2c +00 +55 +00 +35 +00 +55 +00 +35 +00 +58 +00 +36 +00 +67 +00 +38 +00 +74 +00 +51 +00 +75 +00 +41 +00 +74 +00 +3a +00 +64 +00 +3c +00 +64 +00 +3e +00 +73 +00 +43 +00 +6c +00 +3b +00 +52 +00 +31 +00 +54 +00 +7d +00 +95 +10 +77 +00 +a3 +00 +4c +00 +5c +00 +32 +00 +51 +00 +29 +00 +54 +00 +3f +00 +4c +00 +36 +00 +55 +00 +30 +00 +4e +00 +2e +00 +4a +00 +35 +00 +47 +00 +33 +00 +60 +00 +34 +00 +5f +00 +2f +00 +4f +00 +3c +00 +72 +00 +44 +00 +72 +00 +50 +00 +70 +00 +4f +00 +91 +00 +6b +00 +a8 +00 +9b +00 +ef +00 +a2 +00 +03 +10 +91 +00 +dc +00 +6f +00 +9f +00 +a7 +00 +ca +00 +9f +00 +a8 +00 +90 +00 +d0 +00 +a2 +00 +2d +10 +be +10 +54 +50 +d9 +30 +17 +50 +ac +20 +b8 +20 +66 +20 +6f +30 +92 +30 +52 +50 +7d +40 +cf +80 +a5 +60 +99 +a0 +66 +70 +f0 +a0 +63 +70 +cd +a0 +a0 +70 +e2 +a0 +55 +70 +ec +a0 +7d +70 +04 +b0 +94 +70 +07 +b0 +a2 +70 +63 +b0 +82 +70 +d9 +a0 +36 +70 +cd +a0 +58 +70 +98 +a0 +38 +70 +db +a0 +67 +70 +ab +a0 +f2 +60 +ca +90 +57 +40 +38 +40 +91 +30 +bd +40 +ee +40 +61 +80 +ca +50 +9e +80 +9a +30 +8e +30 +43 +20 +b8 +20 +da +30 +af +70 +52 +40 +3a +50 +05 +40 +29 +60 +d1 +40 +74 +80 +4e +50 +64 +80 +4c +50 +2a +70 +4c +50 +7d +70 +74 +50 +97 +80 +26 +40 +df +30 +b4 +20 +af +20 +74 +20 +98 +20 +a0 +10 +64 +10 +c4 +00 +c6 +00 +7b +00 +a1 +00 +59 +00 +91 +00 +59 +00 +99 +00 +9c +00 +5c +20 +a3 +10 +83 +20 +c9 +00 +b8 +00 +56 +00 +7c +00 +8e +00 +3d +10 +54 +10 +3c +20 +d5 +00 +d6 +00 +8b +00 +a6 +00 +70 +00 +80 +00 +66 +00 +8d +00 +62 +00 +86 +00 +5d +00 +91 +00 +4e +00 +6f +00 +41 +00 +56 +00 +36 +00 +5c +00 +35 +00 +64 +00 +48 +00 +57 +00 +92 +00 +8f +00 +8c +00 +b9 +10 +88 +10 +8f +30 +10 +10 +25 +10 +a7 +00 +1b +10 +9a +00 +e2 +00 +ac +00 +21 +20 +82 +00 +6d +00 +49 +00 +3f +00 +36 +00 +4f +00 +41 +00 +69 +00 +48 +00 +65 +00 +37 +00 +5c +00 +34 +00 +57 +00 +2c +00 +62 +00 +30 +00 +55 +00 +2e +00 +40 +00 +22 +00 +48 +00 +2b +00 +4d +00 +2a +00 +50 +00 +29 +00 +54 +00 +2b +00 +67 +00 +31 +00 +52 +00 +38 +00 +56 +00 +2b +00 +5d +00 +2c +00 +54 +00 +24 +00 +42 +00 +1b +00 +41 +00 +1e +00 +3e +00 +2b +00 +43 +00 +25 +00 +51 +00 +31 +00 +64 +00 +2d +00 +5f +00 +34 +00 +5f +00 +34 +00 +5d +00 +3d +00 +8a +00 +4d +00 +61 +00 +36 +00 +56 +00 +29 +00 +61 +00 +25 +00 +59 +00 +2c +00 +46 +00 +32 +00 +5f +00 +45 +00 +7b +00 +96 +00 +84 +10 +d8 +00 +31 +10 +c1 +00 +a5 +00 +77 +00 +9d +00 +72 +00 +b1 +00 +9e +00 +6e +20 +06 +10 +ea +00 +8d +00 +cb +00 +cf +00 +ea +00 +f2 +00 +10 +10 +df +00 +2d +10 +42 +20 +ed +60 +85 +40 +fe +80 +f9 +30 +2e +30 +f3 +10 +8e +10 +f1 +10 +80 +50 +b5 +30 +a6 +60 +9c +40 +36 +70 +22 +30 +1a +20 +84 +10 +00 +10 +41 +10 +1d +20 +e3 +10 +f9 +10 +1c +10 +05 +10 +a9 +00 +ee +00 +d5 +00 +47 +10 +76 +00 +eb +00 +96 +00 +d4 +00 +6b +00 +8f +00 +47 +00 +00 +00 +00 +00 +3a +00 +9a +00 +38 +00 +99 +00 +2c +00 +90 +00 +30 +00 +a2 +00 +51 +00 +77 +10 +e0 +00 +da +10 +63 +00 +05 +20 +f5 +10 +18 +60 +44 +20 +20 +60 +a6 +10 +84 +50 +20 +20 +f3 +40 +d1 +00 +76 +10 +56 +00 +d3 +00 +5a +00 +f6 +00 +5f +00 +fe +00 +45 +00 +c1 +00 +35 +00 +a1 +00 +28 +00 +91 +00 +34 +00 +7e +00 +2f +00 +7b +00 +2c +00 +83 +00 +28 +00 +6a +00 +2e +00 +ad +00 +3c +00 +83 +00 +54 +00 +1d +10 +67 +00 +22 +10 +44 +00 +b0 +00 +32 +00 +a7 +00 +47 +00 +02 +10 +57 +00 +27 +10 +98 +00 +1d +20 +fd +00 +e1 +20 +2c +10 +95 +40 +27 +10 +0a +20 +56 +00 +d5 +00 +48 +00 +ba +00 +3b +00 +9c +00 +31 +00 +87 +00 +33 +00 +e7 +00 +53 +00 +bf +00 +38 +00 +b3 +00 +38 +00 +81 +00 +2e +00 +87 +00 +2d +00 +d6 +00 +c4 +00 +91 +10 +3b +00 +86 +00 +26 +00 +73 +00 +27 +00 +6a +00 +22 +00 +aa +00 +4b +00 +87 +00 +2e +00 +5b +00 +1e +00 +64 +00 +25 +00 +5e +00 +1f +00 +65 +00 +1d +00 +6a +00 +21 +00 +64 +00 +22 +00 +68 +00 +2f +00 +4d +10 +58 +10 +65 +40 +29 +20 +2e +60 +c9 +10 +0a +30 +67 +00 +cb +00 +2c +00 +7c +00 +2b +00 +66 +00 +21 +00 +72 +00 +21 +00 +7c +00 +29 +00 +73 +00 +25 +00 +58 +00 +20 +00 +69 +00 +1d +00 +50 +00 +16 +00 +41 +00 +1c +00 +66 +00 +21 +00 +61 +00 +2a +00 +71 +00 +2e +00 +85 +00 +34 +00 +72 +00 +22 +00 +54 +00 +19 +00 +4a +00 +1d +00 +50 +00 +1a +00 +46 +00 +20 +00 +5d +00 +22 +00 +53 +00 +1d +00 +5d +00 +20 +00 +58 +00 +23 +00 +5d +00 +2c +00 +56 +00 +23 +00 +45 +00 +1c +00 +63 +00 +21 +00 +54 +00 +24 +00 +65 +00 +30 +00 +8a +00 +29 +00 +75 +00 +27 +00 +65 +00 +21 +00 +6c +00 +28 +00 +64 +00 +28 +00 +5e +00 +20 +00 +54 +00 +22 +00 +4d +00 +24 +00 +81 +00 +2f +00 +89 +00 +2c +00 +7b +00 +21 +00 +49 +00 +1e +00 +46 +00 +22 +00 +52 +00 +19 +00 +50 +00 +1c +00 +43 +00 +1e +00 +4c +00 +1c +00 +57 +00 +1f +00 +5d +00 +24 +00 +5f +00 +21 +00 +46 +00 +21 +00 +50 +00 +36 +00 +cd +00 +36 +00 +75 +00 +28 +00 +75 +00 +36 +00 +8e +00 +36 +00 +9f +00 +44 +00 +e9 +00 +41 +00 +bc +00 +4d +00 +af +00 +46 +00 +7e +10 +a1 +00 +31 +10 +3e +00 +b7 +00 +42 +00 +bc +00 +60 +00 +63 +10 +4a +10 +80 +50 +aa +10 +66 +30 +a7 +00 +1c +20 +db +00 +32 +40 +bc +10 +0f +50 +4e +30 +b7 +90 +d7 +30 +b2 +a0 +fe +30 +d5 +a0 +f9 +30 +d7 +a0 +16 +40 +1b +b0 +09 +40 +e4 +a0 +ec +30 +02 +b0 +c6 +30 +1e +b0 +39 +40 +28 +b0 +00 +40 +7b +a0 +d2 +30 +1a +b0 +e7 +30 +b8 +a0 +e1 +30 +a6 +a0 +f6 +30 +bf +a0 +36 +30 +87 +60 +e6 +10 +38 +60 +f5 +20 +3b +80 +6e +30 +a7 +90 +0d +30 +99 +50 +fa +00 +69 +20 +00 +10 +73 +50 +00 +30 +a8 +70 +59 +20 +2c +70 +ca +20 +3a +70 +c2 +20 +10 +80 +e8 +20 +6b +80 +74 +30 +34 +90 +6d +30 +d3 +90 +73 +30 +2e +70 +5c +10 +22 +50 +3a +20 +01 +60 +24 +20 +c4 +30 +83 +00 +0c +10 +4b +00 +ab +00 +36 +00 +9f +00 +33 +00 +9d +00 +30 +00 +a6 +00 +9a +00 +80 +20 +97 +00 +3f +10 +34 +00 +9c +00 +2f +00 +b4 +00 +de +00 +cb +30 +b1 +00 +08 +10 +39 +00 +9a +00 +30 +00 +78 +00 +32 +00 +7e +00 +2f +00 +7f +00 +2d +00 +75 +00 +2c +00 +63 +00 +27 +00 +70 +00 +27 +00 +5f +00 +24 +00 +59 +00 +23 +00 +54 +00 +9b +00 +a6 +20 +61 +00 +9f +00 +55 +00 +40 +10 +94 +00 +25 +20 +87 +00 +e8 +00 +46 +00 +b0 +00 +3a +00 +d7 +00 +4b +00 +c2 +00 +2f +00 +55 +00 +11 +00 +3c +00 +1c +00 +3b +00 +26 +00 +67 +00 +24 +00 +6c +00 +26 +00 +5d +00 +1c +00 +40 +00 +22 +00 +5c +00 +20 +00 +4e +00 +1c +00 +43 +00 +1c +00 +4f +00 +22 +00 +53 +00 +23 +00 +5d +00 +1f +00 +4b +00 +16 +00 +51 +00 +17 +00 +50 +00 +20 +00 +4f +00 +21 +00 +60 +00 +18 +00 +3b +00 +17 +00 +38 +00 +1b +00 +40 +00 +1a +00 +43 +00 +21 +00 +57 +00 +1e +00 +54 +00 +1c +00 +5c +00 +25 +00 +74 +00 +29 +00 +51 +00 +21 +00 +5d +00 +20 +00 +5a +00 +29 +00 +5e +00 +23 +00 +61 +00 +2c +00 +4e +00 +17 +00 +48 +00 +2c +00 +68 +00 +20 +00 +61 +00 +2e +00 +bb +00 +54 +00 +14 +10 +94 +00 +25 +10 +32 +00 +a1 +00 +36 +00 +91 +00 +40 +00 +b9 +00 +cd +00 +4b +20 +65 +00 +13 +10 +d5 +00 +8b +20 +09 +10 +84 +30 +be +00 +3e +10 +70 +00 +7d +20 +e7 +20 +e9 +80 +93 +30 +80 +80 +16 +10 +0e +20 +68 +00 +6a +10 +26 +10 +f4 +30 +10 +20 +5d +60 +d9 +10 +c2 +30 +82 +00 +30 +10 +57 +00 +28 +10 +0f +10 +37 +30 +83 +00 +40 +10 +50 +00 +cf +00 +4c +00 +ed +00 +59 +00 +cb +00 +42 +00 +bd +00 +57 +00 +cd +00 +3e +00 +7d +00 +00 +00 +00 +00 +af +00 +5b +00 +94 +00 +56 +00 +88 +00 +60 +00 +86 +00 +6c +00 +ad +00 +82 +00 +0a +10 +bb +00 +63 +10 +54 +20 +c8 +40 +1c +40 +bd +60 +b8 +30 +2f +50 +c1 +30 +39 +60 +32 +20 +34 +20 +c9 +00 +c7 +00 +8d +00 +bb +00 +f7 +00 +1c +10 +55 +10 +07 +10 +9d +00 +96 +00 +5a +00 +8f +00 +5a +00 +83 +00 +4d +00 +85 +00 +46 +00 +77 +00 +61 +00 +8d +00 +73 +00 +d7 +00 +81 +00 +c1 +00 +8c +00 +ad +00 +8a +00 +e8 +00 +9a +00 +ce +00 +69 +00 +90 +00 +a0 +00 +e4 +00 +b5 +00 +0c +10 +c2 +00 +22 +10 +bb +00 +2e +10 +f6 +00 +a6 +10 +45 +10 +49 +20 +b8 +10 +bf +10 +bd +00 +d3 +00 +73 +00 +a1 +00 +60 +00 +ad +00 +4d +00 +7f +00 +63 +00 +9f +00 +68 +00 +8e +00 +5d +00 +8c +00 +4d +00 +83 +00 +50 +00 +79 +00 +80 +00 +f7 +10 +62 +10 +ce +10 +89 +00 +77 +00 +46 +00 +75 +00 +3e +00 +64 +00 +9b +00 +08 +20 +96 +00 +9b +00 +4d +00 +5b +00 +31 +00 +6a +00 +37 +00 +64 +00 +42 +00 +57 +00 +42 +00 +5a +00 +44 +00 +64 +00 +45 +00 +89 +00 +b4 +00 +2e +20 +15 +30 +60 +60 +77 +30 +42 +40 +8a +10 +86 +10 +98 +00 +88 +00 +50 +00 +78 +00 +3e +00 +63 +00 +47 +00 +77 +00 +62 +00 +74 +00 +46 +00 +6b +00 +3e +00 +5f +00 +31 +00 +56 +00 +32 +00 +51 +00 +37 +00 +51 +00 +46 +00 +50 +00 +34 +00 +5e +00 +53 +00 +6e +00 +60 +00 +7b +00 +45 +00 +5c +00 +34 +00 +45 +00 +2f +00 +55 +00 +32 +00 +51 +00 +44 +00 +4f +00 +30 +00 +5e +00 +31 +00 +59 +00 +32 +00 +57 +00 +2f +00 +5d +00 +39 +00 +66 +00 +39 +00 +73 +00 +31 +00 +59 +00 +35 +00 +66 +00 +3a +00 +51 +00 +3a +00 +73 +00 +4f +00 +9b +00 +3b +00 +60 +00 +32 +00 +67 +00 +34 +00 +67 +00 +34 +00 +60 +00 +3c +00 +50 +00 +3d +00 +60 +00 +2d +00 +51 +00 +3c +00 +6e +00 +3e +00 +7b +00 +40 +00 +55 +00 +38 +00 +41 +00 +3a +00 +59 +00 +4a +00 +6a +00 +3d +00 +4c +00 +2f +00 +49 +00 +34 +00 +57 +00 +3b +00 +5a +00 +37 +00 +5b +00 +3d +00 +5f +00 +32 +00 +51 +00 +49 +00 +67 +00 +5f +00 +9a +00 +87 +00 +81 +00 +50 +00 +6c +00 +6b +00 +af +00 +83 +00 +9e +00 +69 +00 +9a +00 +67 +00 +ab +00 +8c +00 +ff +00 +b4 +10 +22 +30 +7d +10 +44 +10 +96 +00 +b0 +00 +7e +00 +af +00 +06 +10 +9e +10 +3b +20 +fa +40 +20 +20 +1a +20 +ce +10 +be +10 +ba +20 +31 +50 +8d +30 +ce +70 +15 +60 +3e +a0 +0f +70 +db +a0 +7b +70 +f2 +a0 +7b +70 +d6 +a0 +b5 +70 +35 +b0 +72 +70 +e9 +a0 +88 +70 +12 +b0 +5a +70 +d6 +a0 +82 +70 +ba +a0 +bb +70 +29 +b0 +73 +70 +cd +a0 +98 +70 +d8 +a0 +64 +70 +9d +a0 +35 +70 +08 +a0 +64 +60 +c1 +80 +d2 +50 +de +80 +e2 +50 +7c +90 +91 +50 +42 +80 +82 +40 +f2 +30 +b6 +20 +cb +20 +c1 +30 +fb +50 +83 +40 +ef +60 +3e +50 +a1 +70 +e2 +50 +32 +90 +2e +60 +8b +90 +6c +60 +b1 +90 +81 +60 +08 +a0 +79 +60 +97 +90 +2a +50 +fe +60 +20 +50 +b6 +70 +81 +40 +67 +70 +b9 +20 +43 +20 +18 +10 +e7 +00 +78 +00 +b4 +00 +5d +00 +a4 +00 +5a +00 +8c +00 +6d +00 +db +00 +a9 +00 +3a +10 +90 +00 +be +00 +6f +00 +84 +00 +70 +00 +f9 +00 +c7 +00 +4f +10 +00 +10 +f7 +00 +9a +00 +93 +00 +4d +00 +81 +00 +3b +00 +7c +00 +3d +00 +70 +00 +41 +00 +69 +00 +48 +00 +5f +00 +32 +00 +65 +00 +35 +00 +50 +00 +2a +00 +54 +00 +4e +00 +bb +00 +7f +00 +e0 +00 +85 +00 +ae +00 +aa +00 +0a +10 +e0 +00 +71 +10 +87 +00 +9c +00 +61 +00 +91 +00 +69 +00 +ae +00 +52 +00 +7b +00 +3d +00 +49 +00 +31 +00 +3b +00 +2c +00 +46 +00 +30 +00 +58 +00 +3c +00 +a5 +00 +30 +00 +51 +00 +2b +00 +50 +00 +2f +00 +53 +00 +2d +00 +52 +00 +26 +00 +41 +00 +25 +00 +50 +00 +28 +00 +4d +00 +2b +00 +46 +00 +30 +00 +4c +00 +26 +00 +46 +00 +25 +00 +4a +00 +21 +00 +5b +00 +2d +00 +54 +00 +26 +00 +3f +00 +23 +00 +36 +00 +20 +00 +40 +00 +29 +00 +47 +00 +32 +00 +6a +00 +2c +00 +3b +00 +33 +00 +66 +00 +2e +00 +54 +00 +2d +00 +54 +00 +30 +00 +64 +00 +2f +00 +55 +00 +2c +00 +4d +00 +2b +00 +56 +00 +2a +00 +5a +00 +29 +00 +5c +00 +2e +00 +5d +00 +3f +00 +73 +00 +6b +00 +bf +00 +06 +10 +6f +20 +05 +10 +d7 +00 +b0 +00 +43 +10 +87 +00 +a0 +00 +77 +00 +cf +00 +80 +00 +05 +10 +fa +00 +30 +30 +6d +10 +aa +40 +79 +20 +ce +20 +7d +10 +4e +10 +d5 +10 +ea +40 +de +40 +c5 +90 +94 +50 +58 +70 +8a +20 +37 +10 +82 +10 +93 +20 +ac +30 +c6 +70 +49 +50 +f3 +50 +71 +30 +14 +30 +c9 +20 +44 +20 +d0 +10 +99 +30 +c6 +10 +b4 +10 +0f +10 +c7 +00 +93 +00 +c8 +00 +6f +00 +ae +00 +62 +00 +92 +00 +50 +00 +87 +00 +4e +00 +78 +00 +3b +00 +00 +00 +00 +00 +33 +00 +98 +00 +2b +00 +94 +00 +25 +00 +85 +00 +2c +00 +84 +00 +34 +00 +a9 +00 +42 +00 +cc +00 +84 +00 +30 +30 +12 +20 +5d +60 +64 +20 +8e +50 +f7 +10 +40 +60 +f7 +10 +90 +30 +7f +00 +07 +10 +44 +00 +a7 +00 +42 +00 +f0 +00 +08 +10 +a2 +30 +a8 +00 +ec +00 +39 +00 +8e +00 +2d +00 +7b +00 +30 +00 +8c +00 +1e +00 +77 +00 +2b +00 +85 +00 +4c +00 +bb +00 +58 +00 +ed +00 +3f +00 +98 +00 +3a +00 +c1 +00 +50 +00 +e6 +00 +3e +00 +96 +00 +35 +00 +dc +00 +6e +00 +14 +10 +79 +00 +3b +10 +45 +00 +08 +10 +4f +00 +f7 +00 +5e +00 +66 +10 +d3 +00 +ed +30 +0e +10 +d0 +10 +47 +00 +b7 +00 +3a +00 +95 +00 +2c +00 +7f +00 +29 +00 +85 +00 +2f +00 +84 +00 +2a +00 +7d +00 +36 +00 +7f +00 +2b +00 +81 +00 +28 +00 +a5 +00 +87 +00 +2c +20 +86 +00 +da +00 +2e +00 +7a +00 +29 +00 +78 +00 +31 +00 +98 +00 +a0 +00 +80 +10 +35 +00 +5c +00 +26 +00 +75 +00 +22 +00 +5f +00 +21 +00 +6f +00 +27 +00 +6a +00 +2c +00 +73 +00 +25 +00 +73 +00 +27 +00 +a2 +00 +be +00 +74 +40 +92 +20 +80 +50 +ed +00 +bb +10 +54 +00 +b1 +00 +36 +00 +80 +00 +2d +00 +62 +00 +27 +00 +6c +00 +2f +00 +b9 +00 +36 +00 +80 +00 +2b +00 +6b +00 +20 +00 +59 +00 +23 +00 +52 +00 +1c +00 +5d +00 +1b +00 +64 +00 +22 +00 +56 +00 +25 +00 +82 +00 +32 +00 +82 +00 +29 +00 +6c +00 +20 +00 +54 +00 +1b +00 +57 +00 +21 +00 +4f +00 +22 +00 +70 +00 +33 +00 +6a +00 +1f +00 +59 +00 +23 +00 +5d +00 +30 +00 +70 +00 +1f +00 +59 +00 +2c +00 +65 +00 +27 +00 +5e +00 +1d +00 +62 +00 +21 +00 +5e +00 +22 +00 +54 +00 +27 +00 +7e +00 +34 +00 +72 +00 +1f +00 +5e +00 +1f +00 +55 +00 +27 +00 +5a +00 +1c +00 +4b +00 +1b +00 +53 +00 +1c +00 +50 +00 +21 +00 +5e +00 +25 +00 +72 +00 +26 +00 +5c +00 +1c +00 +46 +00 +20 +00 +4b +00 +3b +00 +94 +00 +22 +00 +60 +00 +21 +00 +4a +00 +18 +00 +50 +00 +17 +00 +61 +00 +29 +00 +70 +00 +26 +00 +64 +00 +2b +00 +61 +00 +22 +00 +54 +00 +27 +00 +76 +00 +9b +00 +eb +10 +44 +00 +84 +00 +30 +00 +cd +00 +65 +00 +d1 +00 +44 +00 +a4 +00 +42 +00 +96 +00 +44 +00 +c8 +00 +d7 +00 +18 +40 +9f +10 +de +30 +8e +00 +01 +10 +47 +00 +aa +00 +61 +00 +6e +10 +5e +00 +06 +20 +3d +10 +b8 +20 +85 +00 +7c +10 +84 +00 +dc +20 +d5 +10 +ed +30 +e7 +20 +13 +90 +bf +30 +8e +a0 +e5 +30 +cb +a0 +e8 +30 +bf +a0 +29 +40 +5e +b0 +e7 +30 +18 +b0 +f4 +30 +0f +b0 +d2 +30 +20 +b0 +c9 +30 +fe +a0 +e3 +30 +90 +a0 +dd +30 +db +a0 +19 +40 +22 +b0 +ed +30 +e4 +a0 +db +30 +b9 +a0 +b5 +30 +fd +90 +91 +30 +a2 +90 +7a +30 +40 +90 +1b +30 +29 +80 +46 +30 +31 +80 +bf +10 +5c +30 +93 +10 +a0 +50 +9e +20 +e8 +70 +37 +30 +10 +90 +80 +30 +b1 +90 +7e +30 +41 +a0 +b5 +30 +2c +a0 +af +30 +21 +a0 +b8 +30 +ed +90 +81 +30 +ff +80 +4e +30 +b8 +80 +e6 +20 +c3 +60 +84 +20 +53 +50 +c8 +00 +69 +10 +49 +00 +ad +00 +3d +00 +a7 +00 +3a +00 +98 +00 +34 +00 +a2 +00 +46 +00 +06 +10 +4b +00 +d3 +00 +35 +00 +93 +00 +49 +00 +ec +00 +51 +00 +f0 +00 +c0 +00 +aa +30 +bd +00 +ee +00 +2d +00 +87 +00 +30 +00 +6d +00 +2b +00 +6c +00 +24 +00 +5b +00 +26 +00 +69 +00 +2e +00 +6e +00 +23 +00 +5b +00 +21 +00 +53 +00 +24 +00 +63 +00 +37 +00 +a7 +00 +38 +00 +b1 +00 +2f +00 +c5 +00 +65 +00 +8e +10 +59 +00 +b0 +00 +2b +00 +7c +00 +2d +00 +88 +00 +2c +00 +81 +00 +20 +00 +5b +00 +20 +00 +46 +00 +17 +00 +3b +00 +16 +00 +48 +00 +1f +00 +55 +00 +27 +00 +54 +00 +1a +00 +46 +00 +1d +00 +62 +00 +22 +00 +53 +00 +1a +00 +51 +00 +1a +00 +41 +00 +1e +00 +4d +00 +24 +00 +4a +00 +22 +00 +4f +00 +1f +00 +45 +00 +14 +00 +44 +00 +1a +00 +4a +00 +23 +00 +46 +00 +23 +00 +46 +00 +12 +00 +38 +00 +17 +00 +42 +00 +15 +00 +43 +00 +1e +00 +4f +00 +2d +00 +4c +00 +1b +00 +4e +00 +19 +00 +52 +00 +1f +00 +4e +00 +21 +00 +4f +00 +1e +00 +54 +00 +22 +00 +5e +00 +2c +00 +61 +00 +26 +00 +4f +00 +16 +00 +58 +00 +1f +00 +5c +00 +26 +00 +64 +00 +28 +00 +8a +00 +65 +00 +64 +20 +f1 +00 +7c +10 +8f +00 +3d +10 +53 +00 +b3 +00 +59 +00 +25 +10 +4e +00 +c5 +00 +6c +00 +4e +10 +80 +00 +78 +10 +2b +10 +cc +50 +05 +20 +55 +30 +87 +00 +d6 +10 +6a +10 +90 +70 +ac +30 +bc +90 +ab +20 +56 +30 +66 +00 +5c +10 +ff +00 +db +50 +87 +30 +70 +90 +53 +30 +92 +70 +a4 +20 +a2 +60 +6b +10 +84 +20 +51 +10 +fd +20 +c8 +00 +66 +10 +48 +00 +c9 +00 +44 +00 +bd +00 +48 +00 +97 +00 +2d +00 +9b +00 +2f +00 +76 +00 +2d +00 +74 +00 +00 +00 +00 +00 +9c +00 +5e +00 +8e +00 +5e +00 +81 +00 +51 +00 +85 +00 +4f +00 +8c +00 +66 +00 +be +00 +b1 +00 +04 +10 +62 +20 +36 +50 +cf +30 +7e +60 +2a +30 +1b +50 +1a +30 +39 +50 +b5 +10 +91 +10 +a2 +00 +b0 +00 +72 +00 +a6 +00 +3c +10 +a3 +30 +ba +10 +54 +20 +ad +00 +96 +00 +53 +00 +87 +00 +4b +00 +7f +00 +42 +00 +71 +00 +50 +00 +6f +00 +5b +00 +ba +00 +5d +00 +c3 +00 +5d +00 +a1 +00 +60 +00 +8c +00 +72 +00 +b1 +00 +6a +00 +8f +00 +58 +00 +8f +00 +62 +00 +9e +00 +8e +00 +d3 +00 +8c +00 +df +00 +87 +00 +c8 +00 +93 +00 +e7 +00 +c6 +00 +68 +10 +93 +10 +4a +30 +39 +10 +2c +10 +70 +00 +ab +00 +65 +00 +a5 +00 +50 +00 +77 +00 +58 +00 +8a +00 +51 +00 +76 +00 +42 +00 +7c +00 +44 +00 +83 +00 +42 +00 +72 +00 +5f +00 +cb +00 +77 +00 +d7 +00 +70 +00 +8f +00 +4b +00 +7f +00 +4c +00 +6d +00 +64 +00 +f1 +00 +95 +00 +f1 +00 +55 +00 +66 +00 +46 +00 +69 +00 +48 +00 +56 +00 +42 +00 +73 +00 +46 +00 +82 +00 +61 +00 +79 +00 +55 +00 +8f +00 +ba +00 +84 +10 +29 +30 +81 +60 +bd +20 +92 +20 +28 +10 +d9 +00 +77 +00 +92 +00 +49 +00 +71 +00 +45 +00 +6e +00 +43 +00 +67 +00 +43 +00 +75 +00 +48 +00 +72 +00 +35 +00 +59 +00 +36 +00 +52 +00 +32 +00 +6e +00 +a0 +00 +9e +00 +3e +00 +59 +00 +46 +00 +6b +00 +8a +00 +8d +10 +83 +00 +82 +00 +43 +00 +5a +00 +2f +00 +56 +00 +2f +00 +4c +00 +2f +00 +60 +00 +41 +00 +c6 +00 +49 +00 +6b +00 +2e +00 +62 +00 +39 +00 +6c +00 +36 +00 +60 +00 +34 +00 +5e +00 +32 +00 +60 +00 +2c +00 +68 +00 +2d +00 +5c +00 +31 +00 +62 +00 +33 +00 +65 +00 +37 +00 +64 +00 +3b +00 +55 +00 +32 +00 +5d +00 +31 +00 +54 +00 +37 +00 +62 +00 +60 +00 +a1 +00 +47 +00 +77 +00 +32 +00 +56 +00 +36 +00 +65 +00 +38 +00 +54 +00 +3b +00 +48 +00 +35 +00 +48 +00 +62 +00 +19 +10 +f7 +00 +d2 +00 +63 +00 +63 +00 +3f +00 +52 +00 +37 +00 +59 +00 +57 +00 +94 +00 +99 +00 +81 +00 +49 +00 +6b +00 +40 +00 +64 +00 +3f +00 +5c +00 +5b +00 +24 +10 +83 +00 +e3 +00 +66 +00 +8a +00 +89 +00 +9f +10 +ba +00 +10 +10 +7f +00 +c2 +00 +6a +00 +99 +00 +1c +10 +4b +30 +79 +10 +8b +20 +f9 +00 +ae +10 +b0 +00 +e5 +00 +8a +00 +c1 +00 +c2 +00 +32 +10 +6a +10 +5f +20 +3d +20 +36 +20 +01 +20 +95 +10 +69 +20 +dd +40 +11 +30 +b0 +60 +d9 +50 +c7 +90 +df +60 +de +a0 +5d +70 +11 +b0 +53 +70 +2e +b0 +57 +70 +d8 +a0 +6b +70 +0f +b0 +c2 +70 +fb +a0 +6d +70 +d8 +a0 +48 +70 +d2 +a0 +5c +70 +1f +b0 +a1 +70 +3d +b0 +85 +70 +bd +a0 +54 +70 +a7 +a0 +e8 +60 +5f +a0 +a7 +60 +cf +90 +08 +60 +5e +90 +fe +40 +07 +70 +38 +50 +e9 +70 +c1 +40 +14 +60 +e6 +40 +29 +70 +9e +50 +e5 +80 +28 +60 +ef +90 +9e +60 +ed +90 +bc +60 +19 +a0 +f2 +60 +42 +a0 +e0 +60 +50 +a0 +c9 +60 +1e +a0 +97 +60 +17 +a0 +15 +60 +85 +90 +ba +40 +6f +50 +1e +30 +ec +30 +c4 +20 +4a +30 +50 +10 +0c +10 +97 +00 +bb +00 +72 +00 +b2 +00 +6f +00 +95 +00 +77 +00 +ca +00 +c5 +00 +20 +10 +79 +00 +9d +00 +7b +00 +33 +10 +b9 +00 +0c +10 +84 +00 +77 +10 +3f +10 +17 +20 +9d +00 +b1 +00 +55 +00 +a0 +00 +5f +00 +82 +00 +47 +00 +65 +00 +36 +00 +5b +00 +3e +00 +6a +00 +2b +00 +66 +00 +34 +00 +50 +00 +41 +00 +5e +00 +5c +00 +8a +00 +74 +00 +a1 +00 +ac +00 +a3 +00 +e2 +00 +16 +10 +9d +00 +d8 +00 +65 +00 +8a +00 +54 +00 +69 +00 +4c +00 +5f +00 +52 +00 +63 +00 +35 +00 +44 +00 +2d +00 +3e +00 +22 +00 +3b +00 +2d +00 +4d +00 +28 +00 +51 +00 +2b +00 +4f +00 +33 +00 +4a +00 +22 +00 +49 +00 +2b +00 +41 +00 +29 +00 +44 +00 +27 +00 +37 +00 +29 +00 +49 +00 +32 +00 +5a +00 +2a +00 +4e +00 +2b +00 +41 +00 +27 +00 +43 +00 +30 +00 +57 +00 +28 +00 +4e +00 +29 +00 +42 +00 +25 +00 +42 +00 +22 +00 +3e +00 +23 +00 +42 +00 +25 +00 +58 +00 +28 +00 +4c +00 +2b +00 +4a +00 +2d +00 +52 +00 +31 +00 +50 +00 +2d +00 +52 +00 +2b +00 +59 +00 +30 +00 +6c +00 +2f +00 +5d +00 +2d +00 +51 +00 +2b +00 +4e +00 +31 +00 +61 +00 +3b +00 +65 +00 +97 +00 +4c +10 +fe +10 +ca +20 +f6 +00 +e7 +10 +3d +10 +2c +10 +80 +00 +ab +00 +73 +00 +d0 +00 +6a +00 +bc +00 +82 +00 +f8 +00 +c7 +00 +dd +10 +6c +10 +89 +40 +b7 +20 +5c +30 +6e +20 +fe +20 +20 +40 +d3 +80 +75 +40 +03 +50 +58 +20 +df +10 +a6 +20 +df +30 +bb +40 +3c +90 +b7 +50 +17 +90 +b9 +30 +3c +40 +54 +20 +2b +40 +0a +20 +21 +20 +c2 +10 +0e +40 +d7 +10 +6e +10 +a2 +00 +b6 +00 +73 +00 +aa +00 +53 +00 +8e +00 +49 +00 +84 +00 +3c +00 +67 +00 +2d +00 +00 +00 +00 +00 +3a +00 +9c +00 +2c +00 +7e +00 +2d +00 +78 +00 +26 +00 +7c +00 +2e +00 +94 +00 +39 +00 +c0 +00 +61 +00 +8c +20 +b9 +10 +40 +50 +d3 +10 +b3 +30 +e2 +00 +f7 +20 +29 +10 +43 +20 +60 +00 +d5 +00 +30 +00 +9d +00 +45 +00 +58 +10 +64 +10 +2f +30 +94 +00 +f4 +00 +2e +00 +7a +00 +2c +00 +81 +00 +25 +00 +79 +00 +24 +00 +64 +00 +33 +00 +ca +00 +3b +00 +8c +00 +39 +00 +8e +00 +35 +00 +89 +00 +29 +00 +86 +00 +2c +00 +8b +00 +33 +00 +85 +00 +2a +00 +7c +00 +2e +00 +b0 +00 +35 +00 +9a +00 +3a +00 +9a +00 +3c +00 +b7 +00 +3c +00 +dd +00 +5c +00 +18 +20 +19 +10 +fe +10 +58 +00 +c7 +00 +3e +00 +8d +00 +39 +00 +8a +00 +28 +00 +68 +00 +2a +00 +73 +00 +25 +00 +6d +00 +2d +00 +7c +00 +2f +00 +72 +00 +25 +00 +80 +00 +35 +00 +be +00 +45 +00 +8d +00 +32 +00 +76 +00 +32 +00 +83 +00 +2e +00 +7e +00 +4c +00 +e0 +00 +43 +00 +8d +00 +2a +00 +67 +00 +22 +00 +71 +00 +26 +00 +6e +00 +2a +00 +81 +00 +2f +00 +95 +00 +2e +00 +85 +00 +34 +00 +9d +00 +da +00 +16 +50 +33 +20 +55 +40 +9e +00 +46 +10 +3d +00 +a3 +00 +30 +00 +7b +00 +32 +00 +6c +00 +2d +00 +6d +00 +30 +00 +7d +00 +2a +00 +69 +00 +2e +00 +78 +00 +23 +00 +48 +00 +1e +00 +5c +00 +34 +00 +85 +10 +65 +00 +98 +00 +23 +00 +57 +00 +2b +00 +94 +00 +3a +00 +90 +00 +28 +00 +68 +00 +20 +00 +55 +00 +2a +00 +65 +00 +22 +00 +4c +00 +20 +00 +71 +00 +37 +00 +75 +00 +2a +00 +60 +00 +23 +00 +62 +00 +2d +00 +5d +00 +23 +00 +4b +00 +1d +00 +5d +00 +20 +00 +5e +00 +21 +00 +5d +00 +22 +00 +59 +00 +1d +00 +68 +00 +27 +00 +66 +00 +28 +00 +5d +00 +1f +00 +64 +00 +1f +00 +62 +00 +26 +00 +65 +00 +1e +00 +7c +00 +99 +00 +e1 +00 +24 +00 +5e +00 +27 +00 +5b +00 +1f +00 +5f +00 +1f +00 +4a +00 +1b +00 +46 +00 +17 +00 +7b +00 +10 +10 +e7 +20 +5b +00 +a6 +00 +24 +00 +5a +00 +1d +00 +4e +00 +1c +00 +6b +00 +9e +00 +60 +20 +4c +00 +73 +00 +26 +00 +75 +00 +27 +00 +7d +00 +2a +00 +61 +00 +33 +00 +a3 +00 +3d +00 +97 +00 +2b +00 +9a +00 +4c +00 +f7 +00 +83 +00 +29 +10 +49 +00 +a8 +00 +45 +00 +b8 +10 +46 +10 +1a +20 +8c +00 +68 +10 +5b +00 +f9 +00 +39 +00 +b1 +00 +50 +00 +ef +00 +61 +00 +39 +10 +81 +10 +aa +50 +07 +20 +0b +50 +0b +10 +ac +20 +e1 +00 +d7 +20 +9c +20 +dc +80 +8b +30 +86 +a0 +c1 +30 +af +a0 +e0 +30 +b4 +a0 +1c +40 +ec +a0 +f6 +30 +2f +b0 +ff +30 +20 +b0 +fd +30 +ed +a0 +0d +40 +0f +b0 +f6 +30 +fe +a0 +ff +30 +de +a0 +e8 +30 +f9 +a0 +de +30 +17 +b0 +25 +40 +10 +b0 +b9 +30 +dc +90 +36 +30 +5f +80 +db +20 +6c +70 +9c +20 +da +70 +ca +20 +8a +70 +08 +30 +7a +80 +62 +30 +1c +90 +7a +30 +c2 +90 +a9 +30 +3e +a0 +cc +30 +38 +a0 +de +30 +67 +a0 +ce +30 +a2 +a0 +c4 +30 +43 +a0 +a4 +30 +f2 +90 +2f +30 +77 +80 +b4 +20 +de +50 +7a +10 +38 +40 +00 +20 +04 +60 +f6 +00 +bf +10 +54 +00 +b9 +00 +3c +00 +bb +00 +4e +00 +c4 +00 +32 +00 +a3 +00 +3f +00 +cc +00 +95 +00 +e9 +00 +37 +00 +b7 +00 +a4 +00 +42 +10 +55 +00 +d5 +00 +54 +00 +20 +10 +69 +00 +f5 +00 +31 +00 +8f +00 +47 +00 +df +00 +37 +00 +7d +00 +25 +00 +61 +00 +21 +00 +56 +00 +25 +00 +5b +00 +1e +00 +54 +00 +26 +00 +4d +00 +32 +00 +e2 +00 +43 +00 +a4 +00 +4e +00 +e4 +00 +48 +00 +e3 +00 +40 +00 +b5 +00 +30 +00 +99 +00 +2c +00 +69 +00 +2d +00 +52 +00 +24 +00 +60 +00 +1b +00 +3e +00 +21 +00 +3f +00 +15 +00 +3d +00 +1d +00 +43 +00 +1e +00 +5d +00 +20 +00 +50 +00 +1f +00 +4c +00 +1e +00 +52 +00 +1d +00 +42 +00 +1c +00 +40 +00 +22 +00 +48 +00 +18 +00 +3f +00 +12 +00 +51 +00 +22 +00 +5b +00 +1d +00 +42 +00 +18 +00 +48 +00 +1c +00 +4a +00 +1f +00 +4b +00 +17 +00 +4b +00 +1c +00 +4f +00 +20 +00 +3d +00 +16 +00 +43 +00 +18 +00 +44 +00 +20 +00 +57 +00 +1e +00 +48 +00 +1f +00 +54 +00 +1e +00 +50 +00 +24 +00 +56 +00 +19 +00 +4d +00 +25 +00 +65 +00 +1d +00 +59 +00 +28 +00 +5e +00 +27 +00 +53 +00 +26 +00 +66 +00 +29 +00 +60 +00 +2d +00 +b9 +00 +1c +10 +2b +40 +cc +00 +6c +10 +e6 +00 +9c +20 +64 +00 +d5 +00 +36 +00 +a2 +00 +43 +00 +a5 +00 +33 +00 +a6 +00 +45 +00 +15 +10 +6d +00 +b2 +10 +d6 +00 +e0 +20 +50 +20 +a4 +50 +04 +20 +b9 +60 +56 +20 +bb +60 +7f +10 +70 +20 +a8 +00 +10 +50 +96 +20 +88 +80 +d2 +30 +8b +90 +df +10 +b6 +30 +e6 +00 +1b +30 +3a +20 +2f +30 +b0 +00 +83 +20 +fe +10 +16 +30 +71 +00 +df +00 +47 +00 +b0 +00 +36 +00 +a0 +00 +3a +00 +7e +00 +36 +00 +9a +00 +29 +00 +66 +00 +00 +00 +00 +00 +b8 +00 +a8 +00 +ca +00 +55 +00 +85 +00 +42 +00 +78 +00 +52 +00 +8d +00 +7b +00 +b0 +00 +e6 +00 +0a +10 +16 +20 +ae +30 +2c +20 +43 +30 +e8 +10 +13 +20 +91 +10 +f0 +10 +10 +10 +00 +10 +a2 +00 +af +00 +ac +00 +c6 +00 +d7 +00 +6e +10 +d2 +00 +38 +10 +8e +00 +91 +00 +56 +00 +7e +00 +41 +00 +76 +00 +47 +00 +78 +00 +4c +00 +a3 +00 +aa +00 +2b +10 +6f +00 +7e +00 +4f +00 +7e +00 +55 +00 +82 +00 +54 +00 +70 +00 +4d +00 +7e +00 +4a +00 +83 +00 +6a +00 +7e +00 +6f +00 +95 +00 +66 +00 +98 +00 +6b +00 +90 +00 +81 +00 +95 +00 +8b +00 +c9 +00 +a6 +00 +5b +10 +98 +00 +e1 +00 +71 +00 +9e +00 +53 +00 +86 +00 +43 +00 +85 +00 +48 +00 +70 +00 +48 +00 +6f +00 +3c +00 +73 +00 +4a +00 +7f +00 +41 +00 +78 +00 +56 +00 +88 +00 +77 +00 +a4 +00 +72 +00 +78 +00 +54 +00 +95 +00 +52 +00 +71 +00 +68 +00 +03 +10 +69 +00 +96 +00 +59 +00 +6b +00 +54 +00 +77 +00 +9a +00 +a6 +00 +6b +00 +86 +00 +a7 +00 +b1 +00 +a0 +00 +9c +00 +66 +00 +8b +00 +eb +00 +04 +20 +7a +30 +b7 +60 +ee +20 +98 +20 +37 +10 +cf +00 +8c +00 +aa +00 +5c +00 +8a +00 +49 +00 +68 +00 +3c +00 +69 +00 +48 +00 +72 +00 +4b +00 +65 +00 +3f +00 +68 +00 +3a +00 +59 +00 +3b +00 +67 +00 +5b +00 +ad +00 +60 +00 +6f +00 +9e +00 +3e +10 +7a +00 +7c +00 +48 +00 +6e +00 +30 +00 +5b +00 +3e +00 +5d +00 +36 +00 +55 +00 +38 +00 +56 +00 +3b +00 +6b +00 +3e +00 +61 +00 +37 +00 +5c +00 +32 +00 +58 +00 +30 +00 +5d +00 +2f +00 +59 +00 +32 +00 +59 +00 +4d +00 +62 +00 +46 +00 +56 +00 +35 +00 +65 +00 +35 +00 +67 +00 +36 +00 +73 +00 +39 +00 +65 +00 +37 +00 +49 +00 +2f +00 +52 +00 +2f +00 +55 +00 +6c +00 +b8 +00 +5f +00 +98 +00 +41 +00 +53 +00 +32 +00 +51 +00 +2d +00 +51 +00 +29 +00 +46 +00 +25 +00 +4e +00 +80 +00 +0a +20 +f1 +00 +6b +10 +79 +00 +61 +00 +34 +00 +5a +00 +34 +00 +59 +00 +44 +00 +c0 +00 +72 +00 +d8 +00 +65 +00 +ad +00 +64 +00 +77 +00 +43 +00 +61 +00 +47 +00 +6d +00 +3e +00 +82 +00 +4c +00 +7d +00 +50 +00 +94 +00 +70 +00 +c1 +00 +7c +00 +d1 +00 +78 +00 +9c +00 +8d +00 +5c +10 +b6 +00 +57 +10 +b4 +00 +0a +10 +99 +00 +c4 +00 +7e +00 +c2 +00 +80 +00 +d3 +00 +59 +10 +e9 +20 +be +30 +7c +70 +e9 +40 +b2 +70 +55 +40 +bb +30 +e7 +20 +76 +40 +3e +50 +99 +90 +c8 +60 +95 +a0 +03 +70 +b2 +a0 +67 +70 +ee +a0 +72 +70 +c1 +a0 +83 +70 +ff +a0 +8c +70 +c3 +a0 +68 +70 +b1 +a0 +73 +70 +10 +b0 +86 +70 +ef +a0 +63 +70 +a7 +a0 +39 +70 +ae +a0 +e9 +60 +99 +90 +5d +60 +c8 +80 +d8 +50 +9c +70 +ce +50 +fc +80 +db +50 +05 +70 +b1 +50 +02 +80 +d6 +50 +f1 +80 +50 +60 +77 +90 +39 +60 +f5 +90 +c8 +60 +4f +a0 +d4 +60 +8b +a0 +0c +70 +4c +a0 +bd +60 +6a +a0 +6b +60 +7e +90 +0f +60 +62 +80 +34 +60 +aa +80 +58 +60 +90 +80 +ca +40 +3d +60 +04 +30 +87 +40 +d7 +20 +a6 +30 +36 +10 +02 +10 +b9 +00 +d9 +00 +59 +10 +90 +20 +e3 +00 +c9 +00 +ab +00 +97 +00 +8b +00 +ef +00 +ca +00 +e8 +00 +87 +00 +b1 +00 +71 +00 +cc +00 +86 +00 +d2 +00 +a7 +00 +cc +00 +8a +00 +ae +00 +6d +00 +b5 +00 +b1 +00 +b2 +00 +6c +00 +6d +00 +3e +00 +63 +00 +32 +00 +68 +00 +37 +00 +5b +00 +35 +00 +4a +00 +3f +00 +62 +00 +80 +00 +c8 +00 +a4 +10 +bd +20 +7c +20 +e3 +10 +e6 +00 +98 +00 +79 +00 +93 +00 +4a +00 +6b +00 +47 +00 +6c +00 +3d +00 +5e +00 +31 +00 +49 +00 +2a +00 +45 +00 +2a +00 +4a +00 +21 +00 +39 +00 +2c +00 +45 +00 +22 +00 +43 +00 +28 +00 +49 +00 +2c +00 +53 +00 +27 +00 +4c +00 +32 +00 +46 +00 +28 +00 +4f +00 +2a +00 +49 +00 +29 +00 +4a +00 +2a +00 +54 +00 +25 +00 +5a +00 +2d +00 +49 +00 +24 +00 +49 +00 +2f +00 +56 +00 +32 +00 +5c +00 +29 +00 +4c +00 +29 +00 +42 +00 +24 +00 +40 +00 +22 +00 +3c +00 +26 +00 +46 +00 +2c +00 +4b +00 +2b +00 +48 +00 +25 +00 +52 +00 +2c +00 +53 +00 +29 +00 +4c +00 +2c +00 +58 +00 +29 +00 +58 +00 +2a +00 +57 +00 +2a +00 +69 +00 +3f +00 +77 +00 +37 +00 +67 +00 +4b +00 +74 +00 +d4 +00 +5f +30 +28 +10 +b5 +10 +f4 +00 +88 +10 +ce +00 +7b +10 +81 +00 +a1 +00 +61 +00 +a4 +00 +64 +00 +ac +00 +87 +00 +bf +00 +ba +00 +18 +10 +33 +10 +ac +10 +b0 +10 +41 +40 +97 +30 +d8 +50 +aa +40 +9d +70 +ba +40 +e0 +40 +5d +20 +19 +20 +92 +30 +2d +70 +9c +50 +3d +a0 +2f +50 +b8 +40 +7a +30 +ce +20 +61 +30 +a8 +50 +90 +20 +ce +20 +cd +10 +e3 +20 +06 +10 +6d +10 +b4 +00 +dd +00 +d4 +00 +de +00 +67 +00 +84 +00 +4b +00 +8c +00 +4b +00 +75 +00 +42 +00 +00 +00 +00 +00 +56 +00 +6a +10 +53 +00 +a1 +00 +34 +00 +72 +00 +31 +00 +92 +00 +33 +00 +a1 +00 +7a +00 +f1 +10 +9a +00 +15 +30 +38 +10 +a5 +20 +a1 +00 +b1 +10 +7d +00 +d3 +10 +8b +00 +27 +10 +41 +00 +c6 +00 +44 +00 +c5 +00 +ad +00 +7a +20 +7f +00 +2d +10 +4a +00 +a4 +00 +2d +00 +8a +00 +28 +00 +7a +00 +2a +00 +76 +00 +2d +00 +85 +00 +35 +00 +a3 +00 +42 +00 +90 +00 +28 +00 +81 +00 +2e +00 +71 +00 +2a +00 +72 +00 +28 +00 +78 +00 +34 +00 +78 +00 +2f +00 +89 +00 +3c +00 +96 +00 +32 +00 +94 +00 +32 +00 +94 +00 +33 +00 +ab +00 +39 +00 +94 +00 +31 +00 +ba +00 +54 +00 +0a +10 +50 +00 +b8 +00 +36 +00 +7e +00 +32 +00 +7f +00 +2d +00 +77 +00 +2d +00 +77 +00 +37 +00 +74 +00 +23 +00 +7d +00 +2e +00 +76 +00 +27 +00 +83 +00 +2d +00 +96 +00 +41 +00 +b0 +00 +29 +00 +80 +00 +30 +00 +84 +00 +27 +00 +95 +00 +58 +00 +c3 +00 +2f +00 +6b +00 +2b +00 +7d +00 +3d +00 +1e +10 +bb +00 +06 +10 +48 +00 +8b +10 +6d +00 +0b +10 +39 +00 +93 +00 +32 +00 +c5 +00 +4f +10 +dd +50 +9c +20 +58 +60 +be +00 +3d +10 +4c +00 +40 +10 +6c +00 +ae +00 +31 +00 +87 +00 +28 +00 +77 +00 +29 +00 +6a +00 +29 +00 +71 +00 +25 +00 +73 +00 +28 +00 +6e +00 +22 +00 +5d +00 +25 +00 +95 +00 +2b +00 +7a +00 +2f +00 +17 +10 +bd +00 +0e +10 +35 +00 +74 +00 +1e +00 +5d +00 +1f +00 +60 +00 +21 +00 +68 +00 +28 +00 +4d +00 +21 +00 +62 +00 +2a +00 +80 +00 +26 +00 +57 +00 +1f +00 +5a +00 +22 +00 +55 +00 +20 +00 +51 +00 +24 +00 +55 +00 +1c +00 +77 +00 +27 +00 +76 +00 +28 +00 +67 +00 +29 +00 +6e +00 +1c +00 +5a +00 +2b +00 +5f +00 +26 +00 +57 +00 +1e +00 +5d +00 +1f +00 +61 +00 +20 +00 +b7 +00 +94 +00 +cd +00 +28 +00 +59 +00 +21 +00 +55 +00 +1d +00 +48 +00 +25 +00 +4c +00 +1d +00 +44 +00 +18 +00 +5c +00 +84 +00 +78 +10 +59 +00 +c3 +00 +22 +00 +57 +00 +26 +00 +50 +00 +2e +00 +6e +00 +39 +00 +99 +00 +32 +00 +9b +00 +4a +00 +d9 +00 +39 +00 +78 +00 +1e +00 +5b +00 +21 +00 +68 +00 +2c +00 +76 +00 +28 +00 +80 +00 +28 +00 +b1 +00 +4c +00 +b7 +00 +40 +00 +a9 +00 +3d +00 +b9 +00 +48 +00 +e0 +00 +5e +00 +fc +00 +54 +00 +ce +00 +46 +00 +b4 +00 +39 +00 +cd +00 +64 +00 +5b +10 +3a +10 +35 +60 +1f +30 +7d +80 +27 +30 +89 +80 +59 +20 +09 +40 +3f +10 +8b +60 +96 +30 +55 +a0 +be +30 +76 +a0 +e1 +30 +d5 +a0 +e5 +30 +f9 +a0 +0f +40 +e9 +a0 +f9 +30 +e3 +a0 +ec +30 +c3 +a0 +e1 +30 +f0 +a0 +d6 +30 +b0 +a0 +e1 +30 +90 +a0 +98 +30 +6a +90 +3d +30 +1f +90 +1a +30 +d4 +90 +23 +30 +4b +80 +0d +30 +65 +90 +3a +30 +1a +80 +e7 +20 +e3 +80 +60 +30 +46 +90 +5e +30 +80 +90 +89 +30 +ce +90 +b5 +30 +22 +a0 +ea +30 +81 +a0 +a2 +30 +07 +a0 +5a +30 +b6 +80 +02 +30 +47 +80 +1a +30 +3e +90 +73 +30 +c4 +90 +87 +30 +9d +90 +42 +30 +ba +70 +4b +10 +c3 +20 +00 +10 +43 +40 +0f +10 +ca +10 +5c +00 +f3 +00 +9e +00 +85 +30 +f7 +10 +ea +20 +4f +00 +c0 +00 +3f +00 +a8 +00 +70 +00 +18 +20 +c5 +00 +1f +10 +38 +00 +a7 +00 +3f +00 +c3 +00 +57 +00 +ef +00 +42 +00 +ae +00 +3c +00 +ac +00 +f7 +00 +65 +30 +aa +00 +c1 +00 +28 +00 +61 +00 +23 +00 +5c +00 +1e +00 +5b +00 +21 +00 +56 +00 +2b +00 +6c +00 +3e +00 +d3 +00 +0e +10 +4f +40 +5d +20 +fc +50 +1e +10 +a3 +10 +35 +00 +a1 +00 +2b +00 +73 +00 +29 +00 +70 +00 +23 +00 +5e +00 +2a +00 +5d +00 +25 +00 +49 +00 +17 +00 +50 +00 +19 +00 +36 +00 +13 +00 +4a +00 +1a +00 +3d +00 +27 +00 +4d +00 +28 +00 +5a +00 +2d +00 +57 +00 +1e +00 +48 +00 +1e +00 +51 +00 +25 +00 +5d +00 +26 +00 +58 +00 +20 +00 +53 +00 +1f +00 +51 +00 +20 +00 +50 +00 +1e +00 +41 +00 +21 +00 +51 +00 +1f +00 +56 +00 +22 +00 +4f +00 +1a +00 +47 +00 +1a +00 +41 +00 +1e +00 +4b +00 +1b +00 +4f +00 +1a +00 +49 +00 +18 +00 +46 +00 +1d +00 +4e +00 +1d +00 +4a +00 +1e +00 +54 +00 +22 +00 +4b +00 +25 +00 +5e +00 +2a +00 +54 +00 +24 +00 +61 +00 +31 +00 +75 +00 +59 +00 +7c +00 +34 +00 +6d +00 +3a +00 +fc +00 +c5 +00 +ab +10 +64 +00 +3b +10 +db +00 +63 +10 +58 +00 +b5 +00 +3f +00 +98 +00 +37 +00 +9d +00 +41 +00 +ab +00 +47 +00 +0d +10 +91 +00 +78 +10 +6e +00 +e9 +10 +38 +10 +cd +40 +9d +20 +6e +80 +82 +30 +ea +70 +1a +10 +31 +20 +e7 +00 +a1 +50 +12 +30 +b5 +90 +2e +40 +7c +90 +3b +20 +3f +50 +2d +20 +9d +60 +55 +20 +a9 +40 +a5 +00 +a4 +10 +89 +00 +64 +10 +60 +00 +d5 +00 +9c +00 +31 +20 +51 +00 +b5 +00 +35 +00 +78 +00 +35 +00 +70 +00 +28 +00 +71 +00 +00 +00 +00 +00 +d4 +00 +0e +10 +c3 +10 +6b +00 +90 +00 +46 +00 +79 +00 +54 +00 +93 +00 +be +00 +b7 +10 +37 +20 +88 +30 +70 +20 +0a +40 +d9 +10 +d9 +10 +2f +20 +92 +30 +34 +20 +d0 +10 +5f +10 +52 +10 +3b +10 +54 +10 +30 +10 +d2 +10 +4b +10 +ff +10 +d0 +00 +ca +00 +69 +00 +79 +00 +51 +00 +7a +00 +4a +00 +79 +00 +4d +00 +7b +00 +4d +00 +8e +00 +52 +00 +88 +00 +5a +00 +7f +00 +57 +00 +80 +00 +50 +00 +73 +00 +57 +00 +77 +00 +4b +00 +77 +00 +4f +00 +8e +00 +90 +00 +a8 +00 +a2 +00 +9e +00 +8d +00 +8a +00 +74 +00 +b9 +00 +17 +10 +31 +10 +7d +00 +87 +00 +70 +00 +b4 +00 +7a +00 +bb +00 +69 +00 +89 +00 +4e +00 +66 +00 +45 +00 +70 +00 +44 +00 +74 +00 +44 +00 +7e +00 +3e +00 +72 +00 +49 +00 +7b +00 +49 +00 +75 +00 +6a +00 +82 +00 +d8 +00 +38 +20 +af +00 +9f +00 +5b +00 +88 +00 +57 +00 +73 +00 +60 +00 +91 +00 +57 +00 +8f +00 +4d +00 +70 +00 +bb +00 +5c +10 +45 +10 +c5 +10 +bc +00 +e8 +00 +a2 +10 +f6 +30 +11 +20 +4d +10 +d8 +00 +98 +00 +d8 +00 +f4 +10 +10 +30 +11 +70 +f1 +30 +cd +40 +bb +10 +36 +10 +3f +10 +8e +10 +97 +00 +85 +00 +62 +00 +8c +00 +5d +00 +7f +00 +61 +00 +73 +00 +56 +00 +69 +00 +57 +00 +07 +10 +5d +00 +79 +00 +34 +00 +56 +00 +55 +00 +a4 +00 +53 +00 +76 +00 +70 +00 +3c +10 +9c +00 +b1 +00 +5f +00 +63 +00 +3e +00 +54 +00 +2c +00 +5c +00 +35 +00 +52 +00 +35 +00 +4c +00 +33 +00 +6c +00 +3a +00 +62 +00 +2d +00 +5e +00 +31 +00 +63 +00 +35 +00 +55 +00 +2d +00 +4e +00 +4a +00 +98 +00 +40 +10 +a0 +10 +77 +00 +6e +00 +37 +00 +59 +00 +32 +00 +53 +00 +32 +00 +6c +00 +30 +00 +52 +00 +34 +00 +5b +00 +34 +00 +56 +00 +39 +00 +58 +00 +54 +00 +ad +00 +57 +00 +a1 +00 +46 +00 +54 +00 +38 +00 +5c +00 +2f +00 +47 +00 +36 +00 +63 +00 +3d +00 +42 +00 +44 +00 +90 +00 +56 +00 +ad +00 +5e +00 +72 +00 +35 +00 +63 +00 +2f +00 +61 +00 +3a +00 +80 +00 +57 +00 +7d +00 +63 +00 +a2 +00 +53 +00 +89 +00 +4d +00 +74 +00 +44 +00 +5e +00 +3d +00 +7c +00 +48 +00 +70 +00 +57 +00 +74 +00 +62 +00 +9d +00 +63 +00 +99 +00 +5c +00 +95 +00 +78 +00 +a6 +00 +a2 +00 +dc +00 +9a +00 +c7 +00 +81 +00 +c3 +00 +7a +00 +c1 +00 +3f +10 +17 +20 +34 +30 +11 +40 +89 +40 +91 +80 +68 +50 +49 +90 +f7 +50 +e5 +80 +c1 +40 +ce +30 +cb +30 +9f +70 +2e +60 +5b +a0 +c0 +60 +91 +a0 +4d +70 +da +a0 +40 +70 +9e +a0 +71 +70 +f4 +a0 +55 +70 +83 +a0 +35 +70 +9a +a0 +c7 +60 +de +90 +a0 +60 +31 +90 +a8 +60 +60 +90 +ac +60 +ee +90 +d3 +60 +d1 +90 +46 +60 +e3 +80 +78 +60 +a3 +90 +49 +60 +69 +80 +58 +60 +1c +90 +3c +60 +69 +90 +44 +60 +9d +90 +7e +60 +09 +a0 +99 +60 +e6 +90 +5f +60 +2e +90 +44 +60 +d7 +80 +72 +60 +1c +90 +c6 +60 +7d +90 +a8 +50 +89 +60 +fb +40 +1c +90 +80 +60 +cf +90 +64 +60 +50 +90 +12 +40 +77 +30 +18 +20 +d4 +10 +52 +10 +b1 +10 +fd +00 +55 +10 +ac +10 +23 +20 +28 +10 +3f +20 +d8 +10 +43 +20 +fb +00 +bc +00 +9d +00 +e0 +00 +c5 +00 +51 +10 +9b +00 +ca +00 +72 +00 +c6 +00 +98 +10 +46 +30 +72 +10 +00 +10 +ce +00 +c4 +00 +73 +00 +f6 +00 +94 +00 +c1 +10 +86 +00 +8f +00 +42 +00 +5f +00 +2d +00 +55 +00 +2e +00 +5a +00 +2c +00 +4f +00 +4e +00 +a1 +00 +ae +10 +49 +50 +98 +30 +3e +70 +49 +30 +8b +50 +17 +20 +42 +10 +b9 +00 +91 +00 +63 +00 +72 +00 +46 +00 +66 +00 +31 +00 +64 +00 +38 +00 +56 +00 +2b +00 +4f +00 +2d +00 +44 +00 +29 +00 +3f +00 +2a +00 +42 +00 +1f +00 +4c +00 +29 +00 +54 +00 +49 +00 +e7 +00 +3e +00 +55 +00 +30 +00 +53 +00 +24 +00 +5b +00 +2e +00 +57 +00 +3d +00 +5c +00 +2f +00 +4e +00 +2b +00 +51 +00 +20 +00 +54 +00 +26 +00 +59 +00 +24 +00 +64 +00 +2d +00 +4c +00 +22 +00 +4d +00 +22 +00 +49 +00 +25 +00 +42 +00 +23 +00 +41 +00 +23 +00 +46 +00 +26 +00 +41 +00 +28 +00 +4f +00 +27 +00 +55 +00 +2d +00 +55 +00 +29 +00 +58 +00 +2a +00 +50 +00 +2a +00 +54 +00 +2a +00 +4e +00 +30 +00 +61 +00 +37 +00 +70 +00 +7d +00 +66 +10 +a8 +00 +91 +00 +7e +00 +cf +00 +98 +00 +04 +10 +b9 +00 +99 +10 +93 +00 +c7 +00 +77 +00 +95 +00 +5d +00 +85 +00 +8d +00 +f4 +00 +11 +10 +05 +10 +8a +10 +a0 +30 +4b +20 +05 +20 +b0 +20 +18 +40 +cb +40 +97 +80 +c2 +50 +20 +90 +42 +40 +de +30 +74 +20 +5c +20 +ed +30 +b7 +70 +34 +60 +c4 +a0 +da +60 +1d +a0 +78 +60 +23 +90 +0a +60 +73 +90 +bb +40 +33 +30 +11 +20 +cc +10 +6e +10 +31 +10 +eb +00 +ff +00 +bb +00 +ee +00 +87 +00 +b2 +00 +6c +00 +7f +00 +3d +00 +77 +00 +45 +00 +00 +00 +00 +00 +44 +00 +70 +10 +62 +00 +bb +00 +2f +00 +74 +00 +27 +00 +7f +00 +2b +00 +b6 +00 +f8 +00 +27 +40 +6c +10 +6b +30 +c7 +00 +cd +10 +f1 +00 +ee +30 +d7 +10 +af +40 +5c +10 +52 +30 +3c +10 +64 +30 +0e +10 +fb +20 +ba +00 +c0 +10 +5a +00 +03 +10 +3b +00 +8b +00 +30 +00 +73 +00 +25 +00 +84 +00 +2f +00 +7c +00 +32 +00 +7a +00 +29 +00 +8d +00 +33 +00 +70 +00 +31 +00 +7e +00 +37 +00 +7b +00 +32 +00 +78 +00 +27 +00 +7f +00 +2d +00 +88 +00 +46 +00 +e6 +00 +7a +00 +0b +10 +3b +00 +a4 +00 +38 +00 +84 +00 +42 +00 +a4 +10 +7d +00 +e2 +00 +36 +00 +86 +00 +2e +00 +b1 +00 +30 +00 +8c +00 +2f +00 +84 +00 +2b +00 +6e +00 +32 +00 +7f +00 +2b +00 +7f +00 +29 +00 +67 +00 +2b +00 +80 +00 +30 +00 +67 +00 +3d +00 +29 +10 +36 +00 +e5 +00 +ab +00 +7f +10 +3e +00 +79 +00 +28 +00 +7f +00 +32 +00 +6b +00 +27 +00 +7a +00 +34 +00 +82 +00 +33 +00 +2f +10 +32 +10 +e5 +20 +8b +00 +0a +10 +4d +00 +bd +10 +fa +10 +a2 +50 +d2 +00 +5b +10 +40 +00 +b3 +00 +5f +00 +6e +20 +5b +20 +e4 +60 +b5 +10 +91 +30 +8d +00 +cc +10 +65 +00 +d9 +00 +2f +00 +84 +00 +59 +00 +dd +00 +33 +00 +86 +00 +5c +00 +dd +00 +25 +00 +76 +00 +3d +00 +88 +00 +27 +00 +55 +00 +18 +00 +64 +00 +27 +00 +86 +00 +35 +00 +8b +00 +78 +00 +a1 +10 +47 +00 +8e +00 +28 +00 +56 +00 +1e +00 +51 +00 +1c +00 +57 +00 +17 +00 +4f +00 +26 +00 +60 +00 +27 +00 +6d +00 +27 +00 +64 +00 +23 +00 +5d +00 +29 +00 +45 +00 +1f +00 +4f +00 +1e +00 +5e +00 +94 +00 +73 +30 +a3 +00 +00 +10 +24 +00 +62 +00 +26 +00 +63 +00 +22 +00 +5a +00 +22 +00 +5c +00 +14 +00 +58 +00 +25 +00 +4d +00 +1c +00 +57 +00 +25 +00 +9a +00 +41 +00 +86 +00 +27 +00 +66 +00 +24 +00 +52 +00 +21 +00 +52 +00 +20 +00 +57 +00 +41 +00 +69 +00 +16 +00 +50 +00 +2d +00 +b6 +00 +29 +00 +79 +00 +21 +00 +61 +00 +24 +00 +5d +00 +22 +00 +68 +00 +22 +00 +75 +00 +39 +00 +ae +00 +3b +00 +a4 +00 +2b +00 +77 +00 +26 +00 +59 +00 +24 +00 +5c +00 +26 +00 +73 +00 +28 +00 +7f +00 +32 +00 +a3 +00 +3a +00 +8b +00 +39 +00 +8e +00 +39 +00 +99 +00 +35 +00 +b1 +00 +47 +00 +ae +00 +47 +00 +c1 +00 +3e +00 +b0 +00 +57 +00 +fd +10 +ff +10 +a1 +60 +b6 +20 +b0 +70 +4e +30 +3d +90 +9b +30 +8d +90 +6d +30 +ca +80 +bc +10 +cd +30 +46 +20 +3f +80 +b5 +30 +3a +a0 +dd +30 +7c +a0 +d9 +30 +ba +a0 +f2 +30 +a2 +a0 +ee +30 +76 +a0 +91 +30 +59 +90 +36 +30 +c3 +80 +4f +30 +7e +90 +8c +30 +5e +a0 +d8 +30 +40 +a0 +a9 +30 +c8 +90 +3e +30 +2f +90 +6d +30 +9d +90 +28 +30 +d6 +80 +80 +30 +c7 +90 +60 +30 +61 +90 +7f +30 +8a +90 +77 +30 +5d +90 +3f +30 +da +80 +11 +30 +8c +80 +24 +30 +90 +90 +82 +30 +eb +90 +b7 +30 +31 +a0 +79 +30 +2d +90 +ab +10 +aa +40 +f8 +20 +fc +80 +6c +30 +c2 +90 +1e +30 +4c +50 +f8 +00 +62 +20 +78 +00 +72 +10 +70 +00 +14 +10 +b3 +00 +91 +30 +d2 +00 +8b +10 +c5 +00 +24 +40 +65 +10 +ae +10 +4c +00 +b1 +00 +8a +00 +9e +10 +5a +00 +d7 +00 +3c +00 +a2 +00 +75 +00 +55 +30 +5d +20 +03 +40 +b4 +00 +23 +20 +47 +00 +95 +00 +3c +00 +ba +00 +47 +00 +c6 +00 +2e +00 +62 +00 +1b +00 +53 +00 +23 +00 +56 +00 +1e +00 +57 +00 +23 +00 +75 +00 +48 +00 +11 +20 +6f +20 +8e +60 +93 +20 +cd +50 +1a +20 +fc +30 +71 +00 +e1 +00 +3a +00 +ad +00 +28 +00 +69 +00 +20 +00 +68 +00 +22 +00 +5f +00 +1b +00 +43 +00 +23 +00 +43 +00 +21 +00 +4b +00 +17 +00 +42 +00 +1b +00 +48 +00 +1b +00 +5a +00 +24 +00 +6d +00 +5a +00 +99 +00 +27 +00 +50 +00 +21 +00 +59 +00 +1e +00 +4f +00 +28 +00 +62 +00 +1f +00 +4c +00 +1e +00 +50 +00 +1d +00 +45 +00 +19 +00 +47 +00 +20 +00 +51 +00 +2e +00 +61 +00 +1b +00 +48 +00 +1c +00 +3f +00 +1f +00 +42 +00 +18 +00 +4d +00 +17 +00 +40 +00 +19 +00 +3e +00 +19 +00 +4d +00 +1b +00 +50 +00 +21 +00 +49 +00 +1d +00 +50 +00 +1a +00 +4f +00 +1e +00 +52 +00 +24 +00 +49 +00 +22 +00 +42 +00 +19 +00 +68 +00 +3c +00 +e0 +00 +0d +10 +ce +10 +3b +00 +95 +00 +41 +00 +bb +00 +34 +00 +b2 +00 +48 +00 +b7 +00 +42 +00 +90 +00 +30 +00 +8b +00 +3d +00 +d0 +00 +30 +10 +74 +30 +a3 +00 +11 +30 +24 +20 +c5 +40 +17 +20 +a9 +50 +4e +20 +39 +80 +7c +30 +22 +90 +79 +20 +ff +50 +a7 +10 +63 +20 +14 +10 +4f +60 +4b +30 +4d +a0 +0a +40 +00 +b0 +ef +30 +5d +a0 +f0 +30 +8a +a0 +0e +40 +14 +90 +2b +10 +07 +20 +66 +10 +04 +40 +a9 +00 +35 +20 +8a +00 +f7 +00 +42 +00 +eb +00 +c8 +00 +eb +00 +33 +00 +75 +00 +30 +00 +ac +00 +00 +00 +00 +00 +a6 +00 +7c +00 +b8 +00 +51 +00 +6f +00 +49 +00 +6e +00 +49 +00 +8f +00 +bc +00 +39 +20 +fc +10 +ce +30 +a4 +10 +bf +10 +23 +10 +2e +20 +c3 +10 +94 +30 +6a +10 +22 +20 +1e +10 +13 +20 +b3 +10 +59 +40 +84 +20 +4b +30 +49 +10 +17 +10 +a8 +00 +94 +00 +5a +00 +7e +00 +4e +00 +73 +00 +3e +00 +73 +00 +4c +00 +71 +00 +4e +00 +7f +00 +64 +00 +8b +00 +84 +00 +88 +00 +71 +00 +8b +00 +57 +00 +81 +00 +4c +00 +7d +00 +4f +00 +86 +00 +86 +00 +c4 +00 +e6 +10 +48 +30 +1b +20 +7a +10 +d4 +00 +af +00 +6d +00 +95 +00 +73 +00 +ce +00 +6e +00 +79 +00 +68 +00 +80 +00 +6a +00 +97 +00 +5c +00 +88 +00 +51 +00 +6f +00 +44 +00 +70 +00 +44 +00 +6e +00 +47 +00 +73 +00 +40 +00 +7f +00 +4f +00 +78 +00 +55 +00 +b6 +00 +77 +00 +cd +00 +6f +00 +a9 +00 +62 +00 +b6 +00 +66 +00 +79 +00 +3f +00 +6f +00 +42 +00 +7f +00 +4d +00 +75 +00 +54 +00 +93 +00 +1d +10 +3f +30 +16 +20 +2b +30 +ff +00 +e2 +00 +9d +10 +62 +40 +61 +30 +38 +50 +06 +20 +25 +10 +c7 +00 +d6 +00 +8b +10 +cb +30 +eb +20 +35 +50 +0b +20 +34 +20 +c9 +00 +e1 +00 +77 +00 +8f +00 +7d +00 +fc +00 +c4 +00 +f6 +00 +7c +00 +c7 +00 +60 +00 +a4 +00 +52 +00 +7a +00 +3a +00 +63 +00 +37 +00 +54 +00 +3e +00 +53 +00 +41 +00 +6e +00 +64 +00 +e1 +00 +d4 +00 +48 +10 +7e +00 +6b +00 +4a +00 +60 +00 +3c +00 +54 +00 +30 +00 +4b +00 +2d +00 +5a +00 +32 +00 +61 +00 +36 +00 +56 +00 +33 +00 +61 +00 +37 +00 +53 +00 +30 +00 +55 +00 +2f +00 +4d +00 +67 +00 +46 +10 +52 +10 +e0 +10 +9c +00 +8e +00 +4d +00 +56 +00 +3a +00 +62 +00 +3b +00 +64 +00 +2e +00 +5c +00 +30 +00 +4a +00 +30 +00 +55 +00 +39 +00 +64 +00 +b9 +00 +ce +10 +6a +00 +82 +00 +3f +00 +58 +00 +2d +00 +61 +00 +37 +00 +44 +00 +34 +00 +69 +00 +2f +00 +4f +00 +52 +00 +65 +00 +7a +00 +8d +00 +50 +00 +5b +00 +3c +00 +60 +00 +3b +00 +62 +00 +33 +00 +66 +00 +50 +00 +a8 +00 +73 +00 +c9 +00 +69 +00 +84 +00 +4e +00 +62 +00 +40 +00 +5d +00 +37 +00 +60 +00 +39 +00 +6f +00 +45 +00 +7b +00 +5e +00 +95 +00 +5d +00 +91 +00 +5d +00 +86 +00 +93 +00 +cb +00 +92 +00 +b5 +00 +90 +00 +d3 +00 +d3 +00 +d5 +00 +a5 +00 +cc +00 +8f +10 +dc +40 +6a +40 +46 +80 +d1 +50 +1f +90 +4f +60 +c1 +90 +76 +60 +b2 +90 +10 +60 +64 +80 +4b +40 +e6 +30 +c4 +40 +be +80 +6e +60 +48 +a0 +d9 +60 +4a +a0 +ce +60 +fa +90 +9e +60 +0e +90 +bf +60 +33 +90 +e1 +60 +13 +a0 +26 +70 +4e +a0 +20 +70 +89 +a0 +dc +60 +49 +a0 +7b +60 +29 +90 +65 +60 +cb +90 +8e +60 +7b +90 +01 +60 +30 +90 +6d +60 +f6 +90 +0a +60 +de +80 +d5 +50 +88 +80 +d0 +50 +4e +80 +2a +60 +12 +90 +a1 +60 +bf +90 +c2 +60 +db +90 +27 +70 +63 +a0 +1e +70 +2d +a0 +fb +60 +30 +a0 +74 +60 +46 +80 +e1 +40 +dd +60 +72 +40 +fd +60 +68 +40 +77 +80 +0a +40 +f3 +40 +79 +30 +9e +20 +60 +10 +10 +10 +be +00 +3b +10 +21 +10 +d3 +10 +2d +10 +25 +20 +85 +20 +71 +40 +56 +10 +2e +10 +33 +10 +33 +10 +4c +10 +31 +10 +c0 +00 +b5 +00 +8f +00 +c2 +00 +fe +00 +48 +40 +b9 +10 +a7 +20 +e9 +00 +d2 +00 +6c +00 +82 +00 +5b +00 +af +00 +5f +00 +71 +00 +40 +00 +4f +00 +2f +00 +5a +00 +3a +00 +5b +00 +49 +00 +68 +00 +fc +00 +ff +10 +cd +10 +54 +30 +e3 +10 +7e +30 +46 +30 +b7 +60 +45 +30 +ab +20 +3e +10 +ff +10 +bf +00 +9a +00 +57 +00 +7f +00 +3c +00 +63 +00 +32 +00 +53 +00 +2f +00 +43 +00 +2c +00 +52 +00 +2a +00 +3f +00 +2e +00 +44 +00 +2f +00 +53 +00 +34 +00 +63 +00 +38 +00 +67 +00 +2e +00 +60 +00 +2d +00 +53 +00 +26 +00 +55 +00 +29 +00 +4e +00 +2a +00 +53 +00 +29 +00 +4c +00 +2b +00 +4e +00 +2e +00 +49 +00 +25 +00 +4e +00 +2d +00 +64 +00 +27 +00 +5c +00 +2d +00 +48 +00 +25 +00 +43 +00 +28 +00 +46 +00 +27 +00 +3e +00 +1e +00 +4d +00 +1c +00 +4c +00 +28 +00 +47 +00 +26 +00 +55 +00 +2a +00 +57 +00 +28 +00 +49 +00 +27 +00 +39 +00 +28 +00 +51 +00 +28 +00 +55 +00 +27 +00 +5d +00 +3f +00 +8f +00 +66 +00 +fe +00 +6a +00 +c7 +00 +7a +00 +c2 +00 +98 +00 +af +00 +73 +00 +8d +00 +54 +00 +9c +00 +66 +00 +98 +00 +7c +00 +9f +00 +58 +10 +91 +40 +5b +30 +e1 +40 +b5 +30 +1a +60 +94 +40 +2e +80 +81 +50 +c9 +80 +ff +50 +a8 +90 +77 +50 +63 +60 +f6 +40 +c9 +50 +af +20 +d6 +20 +6d +40 +39 +80 +8e +60 +67 +b0 +65 +70 +4a +b0 +3d +70 +c7 +a0 +e4 +60 +dd +a0 +f4 +50 +38 +60 +50 +30 +e0 +30 +43 +20 +2f +30 +3a +20 +11 +30 +f7 +00 +f9 +00 +99 +00 +49 +10 +78 +00 +a5 +00 +5d +00 +93 +00 +5b +00 +00 +00 +00 +00 +30 +00 +a6 +00 +34 +00 +93 +00 +32 +00 +78 +00 +31 +00 +7f +00 +2c +00 +a2 +00 +7f +00 +05 +20 +f0 +00 +62 +20 +6c +00 +10 +10 +64 +00 +6a +10 +8b +00 +6a +10 +67 +00 +16 +10 +61 +00 +73 +10 +0b +10 +de +30 +89 +10 +3d +30 +57 +00 +b3 +00 +2e +00 +8b +00 +34 +00 +7d +00 +28 +00 +7e +00 +24 +00 +64 +00 +2a +00 +7d +00 +2b +00 +83 +00 +37 +00 +8f +00 +4d +00 +d7 +00 +2c +00 +78 +00 +2e +00 +75 +00 +2d +00 +7e +00 +2f +00 +9b +00 +94 +00 +b6 +30 +fe +10 +9a +50 +27 +10 +d0 +10 +4a +00 +98 +00 +31 +00 +a2 +00 +32 +00 +8a +00 +28 +00 +7d +00 +2d +00 +94 +00 +34 +00 +9c +00 +34 +00 +84 +00 +25 +00 +6d +00 +29 +00 +6e +00 +2d +00 +71 +00 +27 +00 +73 +00 +3d +00 +8e +00 +2d +00 +73 +00 +2c +00 +8a +00 +2a +00 +92 +00 +33 +00 +b5 +00 +31 +00 +8e +00 +30 +00 +7a +00 +24 +00 +6b +00 +1f +00 +6d +00 +2e +00 +7d +00 +61 +00 +00 +20 +f0 +00 +21 +40 +1b +10 +e5 +10 +66 +00 +a9 +10 +f1 +10 +7a +60 +d3 +10 +a2 +30 +82 +00 +f1 +00 +45 +00 +34 +10 +35 +10 +a0 +40 +2d +10 +68 +20 +72 +00 +f3 +00 +4b +00 +af +00 +33 +00 +9d +00 +8e +00 +69 +20 +81 +00 +cc +00 +36 +00 +8d +00 +30 +00 +78 +00 +24 +00 +5a +00 +2b +00 +5f +00 +1e +00 +5a +00 +22 +00 +66 +00 +28 +00 +72 +00 +2e +00 +b5 +00 +66 +00 +ea +00 +27 +00 +69 +00 +1d +00 +64 +00 +24 +00 +59 +00 +1e +00 +54 +00 +23 +00 +60 +00 +23 +00 +67 +00 +26 +00 +65 +00 +24 +00 +5f +00 +28 +00 +4b +00 +18 +00 +4f +00 +1c +00 +56 +00 +5f +00 +aa +10 +6e +00 +ee +00 +27 +00 +6a +00 +23 +00 +5a +00 +22 +00 +6b +00 +25 +00 +5c +00 +25 +00 +58 +00 +1d +00 +52 +00 +23 +00 +51 +00 +1d +00 +e8 +00 +8d +00 +f7 +00 +30 +00 +68 +00 +1f +00 +55 +00 +1f +00 +54 +00 +20 +00 +55 +00 +1e +00 +44 +00 +19 +00 +53 +00 +59 +00 +0c +10 +3b +00 +6d +00 +24 +00 +6e +00 +23 +00 +58 +00 +26 +00 +4d +00 +31 +00 +82 +00 +3c +00 +ae +00 +55 +00 +b5 +00 +2a +00 +7a +00 +2d +00 +5f +00 +29 +00 +4d +00 +21 +00 +6c +00 +2a +00 +71 +00 +2d +00 +90 +00 +3a +00 +a3 +00 +3c +00 +9d +00 +5d +00 +a7 +10 +ac +00 +09 +10 +43 +00 +d4 +00 +f7 +00 +06 +30 +6a +00 +e3 +00 +4f +00 +6c +10 +33 +20 +a6 +70 +69 +30 +2d +90 +73 +30 +d5 +90 +88 +30 +fd +90 +b2 +30 +0f +a0 +49 +30 +8c +70 +36 +10 +60 +40 +cf +20 +11 +90 +56 +30 +20 +90 +2d +30 +c4 +80 +19 +30 +8b +90 +83 +30 +5f +a0 +c2 +30 +46 +a0 +bb +30 +42 +a0 +d0 +30 +d6 +a0 +b7 +30 +4d +a0 +68 +30 +d9 +80 +82 +30 +c7 +90 +85 +30 +10 +90 +0c +30 +38 +90 +a0 +30 +1f +90 +27 +30 +5c +80 +e8 +20 +27 +80 +08 +30 +fa +80 +4b +30 +ca +90 +8b +30 +0b +a0 +a6 +30 +3f +a0 +b1 +30 +3d +a0 +d9 +30 +36 +a0 +c5 +30 +2c +a0 +a7 +30 +42 +a0 +56 +30 +d2 +70 +7a +20 +0e +50 +5b +10 +f4 +30 +03 +20 +06 +60 +d3 +20 +f8 +60 +ee +00 +97 +10 +53 +00 +db +00 +64 +00 +7f +10 +90 +00 +9c +10 +31 +10 +d1 +30 +2d +10 +04 +20 +72 +00 +87 +10 +88 +10 +13 +40 +83 +00 +1b +10 +52 +00 +c2 +00 +3e +00 +f5 +00 +a6 +00 +a6 +10 +86 +00 +08 +10 +3d +00 +7a +00 +27 +00 +6f +00 +2a +00 +5f +00 +2c +00 +54 +00 +19 +00 +50 +00 +26 +00 +4f +00 +25 +00 +60 +00 +49 +00 +1a +20 +da +10 +e8 +30 +a8 +00 +ef +10 +0d +10 +a4 +50 +e5 +20 +8d +60 +d1 +00 +90 +10 +e4 +00 +6f +10 +40 +00 +9d +00 +2a +00 +64 +00 +28 +00 +61 +00 +23 +00 +4d +00 +1c +00 +46 +00 +20 +00 +61 +00 +20 +00 +46 +00 +18 +00 +48 +00 +26 +00 +59 +00 +20 +00 +5a +00 +24 +00 +57 +00 +22 +00 +54 +00 +27 +00 +4c +00 +22 +00 +4a +00 +24 +00 +56 +00 +28 +00 +5c +00 +19 +00 +42 +00 +1a +00 +49 +00 +1d +00 +4e +00 +29 +00 +5c +00 +1f +00 +57 +00 +20 +00 +55 +00 +1c +00 +47 +00 +1d +00 +4c +00 +1f +00 +47 +00 +1f +00 +41 +00 +1a +00 +3f +00 +1e +00 +4e +00 +1f +00 +40 +00 +21 +00 +46 +00 +1e +00 +52 +00 +1e +00 +42 +00 +22 +00 +52 +00 +1e +00 +48 +00 +1d +00 +4e +00 +2a +00 +8d +00 +36 +00 +7d +00 +42 +00 +b3 +00 +3d +00 +9b +00 +77 +00 +66 +10 +36 +00 +8e +00 +2a +00 +92 +00 +38 +00 +a8 +00 +65 +00 +c6 +00 +4a +00 +52 +20 +24 +20 +37 +70 +ad +20 +70 +60 +cf +20 +b9 +80 +6f +30 +2d +90 +b2 +30 +ba +90 +71 +30 +d3 +60 +bf +20 +9f +80 +a2 +10 +ae +20 +41 +10 +af +60 +68 +30 +99 +a0 +56 +40 +71 +b0 +1f +40 +af +b0 +39 +40 +84 +b0 +55 +40 +95 +a0 +6b +20 +8c +40 +16 +20 +31 +30 +88 +10 +5d +30 +e3 +00 +87 +10 +61 +00 +11 +10 +5f +00 +d0 +00 +40 +00 +95 +00 +56 +00 +f4 +00 +00 +00 +00 +00 +9a +00 +70 +00 +99 +00 +64 +00 +7c +00 +55 +00 +6f +00 +4d +00 +81 +00 +83 +00 +ce +00 +06 +10 +9c +10 +de +00 +16 +10 +bf +00 +d9 +00 +c0 +00 +17 +10 +c1 +00 +f0 +00 +a1 +00 +f2 +00 +e0 +00 +69 +10 +0b +20 +5c +40 +7e +10 +85 +10 +7e +00 +8e +00 +55 +00 +8a +00 +45 +00 +7a +00 +48 +00 +74 +00 +43 +00 +6f +00 +5a +00 +7d +00 +7a +00 +92 +00 +fd +00 +17 +20 +fa +00 +e5 +00 +72 +00 +85 +00 +4b +00 +84 +00 +4e +00 +8f +00 +1a +10 +c6 +20 +43 +30 +4a +60 +ce +30 +02 +60 +25 +20 +9d +10 +96 +00 +87 +00 +69 +00 +a9 +00 +6d +00 +86 +00 +69 +00 +88 +00 +80 +00 +93 +00 +4f +00 +98 +00 +52 +00 +84 +00 +47 +00 +7e +00 +42 +00 +71 +00 +4d +00 +76 +00 +4e +00 +ab +00 +59 +00 +8e +00 +45 +00 +6e +00 +47 +00 +7f +00 +57 +00 +86 +00 +53 +00 +7b +00 +4f +00 +77 +00 +4a +00 +6f +00 +47 +00 +71 +00 +40 +00 +7a +00 +53 +00 +bb +00 +6e +10 +3a +30 +62 +10 +f1 +10 +0f +10 +17 +10 +28 +20 +c9 +40 +a5 +30 +03 +60 +36 +20 +c0 +10 +18 +10 +fc +00 +a7 +10 +3d +20 +a1 +10 +39 +20 +13 +10 +0a +10 +92 +00 +af +00 +70 +00 +92 +00 +8e +00 +ca +10 +1d +10 +b2 +10 +a9 +00 +a2 +00 +56 +00 +7c +00 +45 +00 +75 +00 +3a +00 +5e +00 +39 +00 +5e +00 +3f +00 +59 +00 +42 +00 +5a +00 +59 +00 +86 +00 +63 +00 +8f +00 +8f +00 +97 +00 +8a +00 +86 +00 +5c +00 +68 +00 +3f +00 +5a +00 +38 +00 +56 +00 +35 +00 +62 +00 +3d +00 +66 +00 +4e +00 +71 +00 +45 +00 +60 +00 +2f +00 +4a +00 +2e +00 +4f +00 +44 +00 +7e +00 +65 +00 +ae +00 +66 +00 +82 +00 +4a +00 +50 +00 +38 +00 +5e +00 +3b +00 +61 +00 +2d +00 +5b +00 +2d +00 +62 +00 +38 +00 +48 +00 +30 +00 +57 +00 +4f +00 +89 +00 +3e +00 +84 +00 +4a +00 +52 +00 +39 +00 +53 +00 +31 +00 +45 +00 +38 +00 +60 +00 +3f +00 +56 +00 +85 +00 +d8 +00 +a9 +00 +f2 +00 +61 +00 +65 +00 +43 +00 +5c +00 +3c +00 +54 +00 +3f +00 +77 +00 +8d +00 +e7 +00 +7e +00 +dc +00 +62 +00 +9c +00 +49 +00 +75 +00 +3b +00 +6b +00 +39 +00 +67 +00 +39 +00 +6f +00 +49 +00 +82 +00 +65 +00 +f3 +00 +85 +00 +bc +00 +6f +00 +5f +10 +d6 +10 +c2 +30 +f1 +00 +13 +10 +73 +10 +9e +30 +8d +20 +d3 +20 +7b +10 +22 +10 +fe +10 +42 +50 +a2 +40 +05 +90 +e7 +50 +b5 +90 +8c +60 +e4 +90 +cd +60 +0f +a0 +6c +60 +b0 +90 +67 +50 +e7 +50 +c3 +30 +ee +40 +cc +40 +2b +80 +52 +60 +93 +90 +b0 +60 +64 +a0 +fd +60 +a4 +a0 +09 +70 +11 +a0 +1e +70 +9e +a0 +0c +70 +83 +a0 +a5 +60 +07 +a0 +8f +60 +16 +90 +6d +60 +10 +a0 +d7 +50 +85 +80 +c6 +50 +69 +80 +18 +60 +49 +80 +1a +60 +35 +90 +a8 +50 +a8 +70 +d3 +40 +c2 +70 +cd +40 +85 +80 +9d +50 +a0 +90 +49 +60 +06 +a0 +a7 +60 +63 +a0 +e7 +60 +28 +a0 +a6 +60 +9b +a0 +ce +60 +86 +a0 +c3 +60 +ee +90 +70 +50 +62 +60 +fe +20 +27 +30 +fa +20 +22 +40 +eb +30 +4f +70 +e2 +30 +18 +40 +73 +10 +1f +10 +b3 +00 +52 +10 +22 +10 +ac +10 +39 +10 +28 +40 +bb +20 +75 +30 +f2 +10 +4f +20 +61 +30 +5c +60 +91 +30 +a3 +40 +78 +20 +e8 +20 +03 +10 +ac +00 +9f +00 +01 +10 +89 +00 +eb +00 +88 +00 +89 +00 +5e +00 +59 +00 +44 +00 +53 +00 +3e +00 +56 +00 +33 +00 +48 +00 +36 +00 +4d +00 +36 +00 +58 +00 +7f +00 +7e +10 +13 +20 +35 +40 +d1 +10 +f6 +10 +a9 +10 +7f +20 +cd +20 +56 +40 +1a +20 +89 +20 +11 +10 +0e +10 +72 +00 +b1 +00 +57 +00 +8a +00 +44 +00 +61 +00 +35 +00 +64 +00 +30 +00 +47 +00 +2e +00 +5f +00 +32 +00 +4d +00 +2f +00 +3e +00 +28 +00 +63 +00 +32 +00 +58 +00 +3a +00 +58 +00 +2b +00 +57 +00 +2d +00 +53 +00 +2f +00 +5a +00 +25 +00 +47 +00 +31 +00 +60 +00 +32 +00 +55 +00 +33 +00 +5b +00 +31 +00 +4c +00 +2d +00 +53 +00 +27 +00 +51 +00 +30 +00 +4c +00 +2b +00 +4c +00 +28 +00 +41 +00 +27 +00 +48 +00 +2a +00 +3f +00 +21 +00 +40 +00 +2f +00 +45 +00 +23 +00 +4b +00 +25 +00 +4d +00 +2a +00 +50 +00 +20 +00 +4d +00 +28 +00 +45 +00 +27 +00 +49 +00 +24 +00 +41 +00 +2e +00 +51 +00 +36 +00 +70 +00 +3f +00 +9c +00 +54 +00 +82 +00 +5a +00 +80 +00 +55 +00 +97 +00 +57 +00 +82 +00 +5b +00 +84 +00 +a5 +00 +ca +10 +e8 +00 +e8 +00 +5e +10 +2c +40 +6a +30 +2a +70 +37 +50 +87 +80 +63 +50 +69 +80 +e1 +50 +4c +80 +b3 +50 +31 +70 +d9 +40 +e2 +60 +6f +40 +9d +40 +b1 +20 +39 +30 +91 +40 +e8 +80 +00 +70 +90 +b0 +c9 +70 +a7 +b0 +bf +70 +68 +b0 +ad +70 +57 +b0 +af +60 +ef +80 +51 +40 +36 +60 +ea +20 +ea +30 +31 +20 +44 +30 +46 +10 +17 +10 +9b +00 +f7 +00 +79 +00 +c9 +00 +75 +00 +c9 +00 +71 +00 +00 +00 +00 +00 +2e +00 +93 +00 +2f +00 +8a +00 +2c +00 +80 +00 +27 +00 +82 +00 +38 +00 +90 +00 +40 +00 +78 +10 +a2 +00 +44 +10 +3d +00 +b0 +00 +41 +00 +d4 +00 +4c +00 +08 +10 +43 +00 +cc +00 +4a +00 +f7 +00 +9d +00 +47 +30 +2a +10 +16 +20 +4e +00 +a3 +00 +37 +00 +7e +00 +2c +00 +8a +00 +30 +00 +77 +00 +31 +00 +6d +00 +2f +00 +92 +00 +2c +00 +96 +00 +52 +00 +ee +10 +31 +10 +c0 +20 +63 +00 +9d +00 +37 +00 +89 +00 +32 +00 +8b +00 +39 +00 +eb +00 +77 +10 +b9 +50 +89 +20 +cb +60 +3b +20 +20 +50 +b8 +00 +ff +00 +32 +00 +93 +00 +2f +00 +a4 +00 +2c +00 +9a +00 +3b +00 +b6 +00 +37 +00 +87 +00 +29 +00 +7b +00 +22 +00 +5e +00 +3e +00 +85 +00 +29 +00 +77 +00 +2e +00 +7e +00 +34 +00 +79 +00 +33 +00 +74 +00 +2d +00 +71 +00 +2d +00 +77 +00 +28 +00 +76 +00 +28 +00 +71 +00 +24 +00 +77 +00 +23 +00 +6f +00 +28 +00 +68 +00 +2b +00 +7d +00 +51 +00 +1c +20 +46 +10 +86 +20 +73 +00 +24 +10 +84 +00 +2b +30 +c0 +10 +2d +60 +5c +20 +50 +40 +8f +00 +53 +10 +a2 +00 +b0 +20 +0b +10 +1d +20 +76 +00 +32 +10 +4a +00 +af +00 +3a +00 +a3 +00 +31 +00 +a4 +00 +8a +00 +20 +20 +96 +00 +ee +00 +30 +00 +83 +00 +27 +00 +7c +00 +1f +00 +6b +00 +1b +00 +5e +00 +26 +00 +5b +00 +24 +00 +67 +00 +23 +00 +7d +00 +2c +00 +85 +00 +38 +00 +bf +00 +3e +00 +ae +00 +80 +00 +e3 +00 +28 +00 +60 +00 +23 +00 +60 +00 +1f +00 +68 +00 +25 +00 +6d +00 +30 +00 +81 +00 +28 +00 +61 +00 +1f +00 +59 +00 +2c +00 +93 +00 +22 +00 +57 +00 +25 +00 +8b +00 +30 +00 +8d +00 +29 +00 +62 +00 +25 +00 +7a +00 +25 +00 +6d +00 +21 +00 +51 +00 +23 +00 +5a +00 +21 +00 +54 +00 +1a +00 +45 +00 +1e +00 +5c +00 +26 +00 +72 +00 +22 +00 +5f +00 +23 +00 +5c +00 +1b +00 +54 +00 +21 +00 +5a +00 +27 +00 +65 +00 +46 +00 +1c +10 +44 +00 +a2 +00 +3e +00 +95 +00 +2d +00 +75 +00 +1e +00 +61 +00 +23 +00 +5a +00 +51 +00 +1b +10 +6f +00 +1d +10 +5b +00 +be +00 +3a +00 +85 +00 +24 +00 +67 +00 +1f +00 +5f +00 +2e +00 +5f +00 +36 +00 +70 +00 +33 +00 +9b +00 +94 +00 +53 +10 +4e +00 +b4 +00 +65 +00 +a0 +10 +e3 +00 +a9 +10 +6b +00 +18 +20 +1d +20 +c8 +50 +a2 +10 +e5 +30 +f0 +00 +cc +20 +6f +20 +d9 +70 +65 +30 +39 +90 +4c +30 +b3 +90 +72 +30 +9e +90 +54 +30 +2e +90 +eb +20 +9f +70 +21 +20 +aa +40 +73 +10 +a0 +60 +61 +30 +be +90 +cf +30 +48 +a0 +ad +30 +47 +a0 +ce +30 +6d +a0 +c1 +30 +97 +a0 +d8 +30 +ac +a0 +a1 +30 +5f +90 +33 +30 +5b +90 +6e +30 +20 +90 +fa +20 +c1 +70 +c3 +20 +56 +80 +2e +30 +3f +90 +7a +30 +ec +90 +92 +30 +16 +90 +69 +20 +16 +60 +5c +10 +19 +40 +c8 +10 +e8 +60 +00 +30 +8f +70 +8c +30 +35 +a0 +8d +30 +52 +a0 +9c +30 +03 +a0 +c0 +30 +49 +a0 +c6 +30 +5f +a0 +61 +30 +cf +70 +a5 +10 +47 +30 +13 +10 +2a +40 +7f +10 +0b +40 +87 +10 +e7 +40 +a8 +10 +8a +20 +65 +00 +d5 +00 +65 +00 +5e +10 +90 +00 +6a +10 +3d +10 +84 +30 +61 +10 +93 +40 +19 +20 +91 +60 +d0 +20 +1a +70 +d0 +10 +26 +50 +3b +10 +ac +10 +3e +00 +94 +00 +39 +00 +c1 +00 +36 +00 +9e +00 +26 +00 +5f +00 +1c +00 +50 +00 +22 +00 +5d +00 +20 +00 +55 +00 +18 +00 +4f +00 +24 +00 +60 +00 +26 +00 +7a +00 +dc +00 +9d +30 +55 +10 +93 +20 +7c +00 +90 +10 +ef +00 +b4 +40 +f4 +00 +4c +20 +88 +00 +3c +10 +4b +00 +b4 +00 +37 +00 +9d +00 +2f +00 +6f +00 +31 +00 +69 +00 +26 +00 +5d +00 +23 +00 +49 +00 +22 +00 +47 +00 +22 +00 +4b +00 +1f +00 +5b +00 +26 +00 +66 +00 +24 +00 +5a +00 +1b +00 +52 +00 +27 +00 +5a +00 +1d +00 +56 +00 +28 +00 +50 +00 +27 +00 +60 +00 +27 +00 +57 +00 +24 +00 +60 +00 +20 +00 +60 +00 +29 +00 +66 +00 +25 +00 +55 +00 +2a +00 +5e +00 +22 +00 +4d +00 +18 +00 +46 +00 +1b +00 +4b +00 +22 +00 +45 +00 +1b +00 +3e +00 +18 +00 +39 +00 +1c +00 +40 +00 +1d +00 +46 +00 +1b +00 +49 +00 +1e +00 +4d +00 +1e +00 +49 +00 +22 +00 +58 +00 +21 +00 +46 +00 +20 +00 +52 +00 +1f +00 +54 +00 +20 +00 +67 +00 +2d +00 +7c +00 +2b +00 +6f +00 +2b +00 +91 +00 +30 +00 +7c +00 +30 +00 +7f +00 +64 +00 +63 +10 +00 +10 +4b +20 +56 +00 +3e +10 +41 +10 +49 +70 +6f +30 +90 +80 +f7 +20 +d1 +80 +96 +30 +e8 +90 +b0 +30 +6a +90 +1a +20 +8b +70 +60 +30 +eb +80 +62 +10 +8e +20 +6b +10 +fe +60 +d1 +30 +2b +b0 +21 +40 +b5 +b0 +4f +40 +11 +b0 +39 +40 +84 +b0 +3e +40 +36 +b0 +5d +30 +ac +50 +8f +20 +86 +60 +c9 +10 +f5 +30 +40 +10 +bb +10 +5c +00 +01 +10 +63 +00 +f6 +00 +62 +00 +de +00 +59 +00 +fe +00 +00 +00 +00 +00 +9e +00 +ab +00 +9b +00 +c7 +00 +96 +00 +73 +00 +82 +00 +51 +00 +76 +00 +65 +00 +a1 +00 +bb +00 +19 +10 +a1 +00 +bb +00 +72 +00 +ae +00 +c2 +00 +1e +10 +af +00 +b9 +00 +8c +00 +bc +00 +e0 +00 +7d +10 +a1 +10 +a2 +20 +dd +00 +f2 +00 +67 +00 +8a +00 +56 +00 +82 +00 +4d +00 +7f +00 +52 +00 +73 +00 +4f +00 +76 +00 +a3 +00 +af +00 +08 +10 +47 +10 +1e +20 +dd +30 +4b +10 +60 +10 +78 +00 +93 +00 +5f +00 +85 +00 +56 +00 +95 +00 +77 +10 +db +30 +c6 +30 +ad +60 +57 +30 +0c +50 +cc +10 +ef +10 +bf +00 +af +00 +89 +00 +ac +00 +a1 +00 +aa +00 +0f +10 +95 +10 +c2 +00 +ce +00 +6d +00 +90 +00 +49 +00 +75 +00 +48 +00 +76 +00 +3f +00 +69 +00 +48 +00 +7c +00 +4b +00 +81 +00 +4a +00 +75 +00 +4f +00 +79 +00 +4a +00 +7e +00 +49 +00 +6b +00 +4e +00 +71 +00 +50 +00 +7c +00 +40 +00 +70 +00 +40 +00 +78 +00 +48 +00 +6f +00 +5e +00 +8c +00 +db +00 +70 +10 +05 +10 +65 +10 +1a +10 +e4 +10 +fa +20 +74 +50 +cd +30 +f3 +60 +e2 +20 +88 +30 +95 +20 +5e +40 +29 +20 +a9 +20 +50 +10 +5a +10 +ca +00 +b7 +00 +a0 +00 +94 +00 +83 +00 +a0 +00 +83 +00 +c1 +00 +9e +00 +6a +10 +ae +00 +9b +00 +6b +00 +7b +00 +46 +00 +6a +00 +45 +00 +6c +00 +45 +00 +73 +00 +5d +00 +6e +00 +52 +00 +73 +00 +57 +00 +81 +00 +dc +00 +6d +10 +22 +20 +77 +20 +3d +10 +3f +20 +b1 +00 +de +00 +5f +00 +70 +00 +3f +00 +63 +00 +3b +00 +78 +00 +b7 +00 +2e +10 +7d +00 +78 +00 +54 +00 +60 +00 +32 +00 +5f +00 +3d +00 +62 +00 +3a +00 +4e +00 +54 +00 +70 +00 +46 +00 +62 +00 +44 +00 +7e +00 +51 +00 +a0 +00 +3e +00 +56 +00 +3a +00 +5c +00 +2e +00 +53 +00 +30 +00 +5a +00 +32 +00 +4f +00 +38 +00 +6b +00 +3c +00 +5b +00 +39 +00 +54 +00 +30 +00 +52 +00 +34 +00 +52 +00 +2c +00 +51 +00 +d3 +00 +b5 +20 +31 +10 +0c +10 +79 +00 +78 +00 +68 +00 +69 +00 +4d +00 +73 +00 +3e +00 +50 +00 +3d +00 +70 +00 +61 +00 +ea +00 +84 +00 +fa +00 +98 +00 +cf +00 +4e +00 +5c +00 +34 +00 +68 +00 +3b +00 +6f +00 +3c +00 +7e +00 +45 +00 +89 +00 +8a +00 +9d +10 +a7 +00 +e9 +00 +79 +00 +af +00 +98 +00 +08 +10 +ba +00 +49 +10 +29 +20 +5d +50 +ab +30 +13 +70 +63 +20 +a2 +20 +e6 +10 +b2 +40 +d3 +30 +48 +80 +d1 +40 +90 +80 +10 +50 +0c +80 +a7 +50 +7d +80 +49 +60 +fc +80 +39 +60 +d9 +80 +06 +50 +0d +40 +31 +40 +f1 +70 +a3 +50 +7f +90 +5c +50 +bc +80 +9e +50 +15 +a0 +ab +60 +80 +a0 +a1 +60 +47 +a0 +14 +60 +98 +80 +dd +50 +91 +80 +d6 +50 +b3 +70 +da +50 +54 +80 +6b +60 +86 +90 +ec +60 +ec +90 +81 +60 +c1 +90 +5c +50 +6e +80 +bc +50 +c4 +70 +ec +40 +17 +50 +0f +30 +3b +30 +57 +40 +cd +70 +f8 +40 +97 +60 +53 +50 +70 +90 +e0 +40 +95 +60 +39 +40 +83 +70 +5d +50 +15 +a0 +e0 +50 +7d +80 +94 +30 +e6 +30 +f2 +20 +f2 +40 +b8 +40 +9f +70 +ee +30 +4e +40 +61 +20 +ef +20 +b0 +10 +74 +10 +da +00 +d9 +00 +8c +00 +ef +00 +bf +00 +a5 +10 +cc +10 +5f +30 +84 +20 +c2 +60 +07 +40 +d1 +70 +b7 +20 +60 +30 +5d +10 +a3 +10 +ca +00 +e2 +00 +82 +00 +89 +00 +75 +00 +6d +00 +52 +00 +5a +00 +4b +00 +48 +00 +43 +00 +63 +00 +3b +00 +59 +00 +48 +00 +58 +00 +42 +00 +50 +00 +42 +00 +5b +00 +a3 +00 +79 +10 +46 +20 +42 +40 +25 +20 +12 +20 +7c +20 +c6 +30 +61 +20 +50 +40 +98 +10 +7a +10 +cc +00 +be +00 +6f +00 +9e +00 +69 +00 +ad +00 +44 +00 +5d +00 +35 +00 +64 +00 +35 +00 +55 +00 +33 +00 +4f +00 +32 +00 +57 +00 +31 +00 +5d +00 +34 +00 +65 +00 +3a +00 +57 +00 +32 +00 +51 +00 +2f +00 +50 +00 +34 +00 +63 +00 +30 +00 +55 +00 +31 +00 +56 +00 +34 +00 +59 +00 +31 +00 +5a +00 +29 +00 +4a +00 +30 +00 +54 +00 +34 +00 +66 +00 +2e +00 +4c +00 +34 +00 +55 +00 +28 +00 +43 +00 +22 +00 +41 +00 +27 +00 +53 +00 +23 +00 +3d +00 +22 +00 +49 +00 +2a +00 +4e +00 +23 +00 +45 +00 +23 +00 +55 +00 +23 +00 +48 +00 +2a +00 +4d +00 +2b +00 +66 +00 +28 +00 +4b +00 +22 +00 +44 +00 +24 +00 +4f +00 +27 +00 +64 +00 +3c +00 +6c +00 +3f +00 +69 +00 +3b +00 +79 +00 +3b +00 +7d +00 +43 +00 +7b +00 +55 +00 +05 +10 +f4 +00 +ab +30 +e4 +10 +d7 +10 +f1 +10 +df +20 +00 +40 +5a +80 +bf +50 +92 +90 +8f +60 +2b +a0 +d7 +60 +ed +90 +9c +50 +7e +60 +f1 +50 +6f +90 +12 +50 +73 +50 +c6 +20 +5b +30 +a7 +40 +2f +90 +eb +60 +9a +b0 +dd +70 +67 +b0 +a6 +70 +24 +b0 +c4 +70 +75 +b0 +6e +60 +24 +90 +7e +30 +b7 +40 +91 +20 +ca +40 +ae +20 +53 +40 +80 +10 +13 +10 +9d +00 +db +00 +88 +00 +df +00 +69 +00 +d5 +00 +72 +00 +00 +00 +00 +00 +45 +00 +df +00 +71 +00 +cf +10 +5f +00 +b0 +00 +2d +00 +7d +00 +2b +00 +7e +00 +31 +00 +a3 +00 +37 +00 +b0 +00 +39 +00 +9a +00 +40 +00 +52 +10 +bf +00 +47 +10 +43 +00 +a9 +00 +42 +00 +cb +00 +68 +00 +a5 +10 +7f +00 +2c +10 +40 +00 +88 +00 +29 +00 +84 +00 +32 +00 +6a +00 +37 +00 +79 +00 +29 +00 +84 +00 +45 +00 +99 +10 +7f +00 +20 +10 +26 +10 +fb +30 +21 +10 +14 +20 +52 +00 +b9 +00 +38 +00 +8e +00 +35 +00 +8d +00 +44 +00 +59 +10 +e4 +10 +ef +50 +10 +20 +a3 +40 +e9 +00 +0c +20 +63 +00 +ed +00 +41 +00 +c2 +00 +69 +00 +34 +10 +82 +00 +53 +20 +22 +10 +09 +20 +54 +00 +a0 +00 +2b +00 +83 +00 +23 +00 +6c +00 +28 +00 +6b +00 +23 +00 +78 +00 +30 +00 +77 +00 +30 +00 +74 +00 +2b +00 +7b +00 +2b +00 +68 +00 +29 +00 +79 +00 +2a +00 +67 +00 +2a +00 +7c +00 +1e +00 +72 +00 +28 +00 +6c +00 +27 +00 +78 +00 +2c +00 +83 +00 +31 +00 +d3 +00 +53 +00 +fe +00 +58 +00 +eb +00 +04 +10 +14 +50 +0e +20 +69 +50 +8d +10 +cf +30 +a2 +10 +57 +50 +c9 +10 +72 +30 +8b +00 +46 +10 +4c +00 +c0 +00 +3a +00 +e6 +00 +49 +00 +c5 +00 +2e +00 +90 +00 +38 +00 +bd +00 +51 +00 +ee +00 +36 +00 +8d +00 +2e +00 +70 +00 +2e +00 +63 +00 +25 +00 +70 +00 +2b +00 +a7 +00 +2d +00 +85 +00 +37 +00 +91 +00 +39 +00 +e3 +00 +72 +10 +5f +50 +df +10 +c8 +20 +8e +00 +16 +10 +31 +00 +83 +00 +2a +00 +7d +00 +28 +00 +69 +00 +2a +00 +b7 +00 +70 +00 +05 +10 +31 +00 +90 +00 +24 +00 +57 +00 +1d +00 +5e +00 +1e +00 +60 +00 +1e +00 +5f +00 +23 +00 +67 +00 +1f +00 +5b +00 +22 +00 +65 +00 +29 +00 +62 +00 +22 +00 +58 +00 +21 +00 +57 +00 +1e +00 +50 +00 +21 +00 +4a +00 +1f +00 +4f +00 +22 +00 +5b +00 +1f +00 +50 +00 +1c +00 +4f +00 +1a +00 +49 +00 +1d +00 +43 +00 +1f +00 +06 +10 +b6 +10 +ef +30 +81 +00 +d2 +00 +5a +00 +e8 +00 +2d +00 +73 +00 +22 +00 +66 +00 +1e +00 +56 +00 +23 +00 +73 +00 +40 +00 +b1 +00 +58 +00 +22 +10 +53 +00 +86 +00 +27 +00 +70 +00 +20 +00 +5e +00 +2d +00 +70 +00 +33 +00 +80 +00 +29 +00 +c5 +00 +82 +00 +58 +10 +4d +00 +bd +00 +46 +00 +ce +00 +70 +00 +5d +10 +8e +00 +5b +30 +43 +20 +6c +60 +53 +20 +fc +30 +8e +00 +93 +10 +bd +00 +39 +30 +04 +20 +57 +50 +de +10 +65 +50 +63 +20 +6e +80 +62 +30 +bf +90 +a4 +30 +bc +90 +77 +30 +76 +80 +7f +10 +d0 +30 +9f +20 +a8 +80 +68 +20 +e6 +50 +b2 +10 +72 +50 +6e +30 +d8 +90 +90 +30 +b8 +90 +de +20 +24 +70 +d7 +20 +a0 +80 +29 +30 +5f +80 +eb +20 +2e +90 +85 +30 +f0 +90 +9b +30 +f3 +90 +a4 +30 +bc +90 +97 +20 +b1 +50 +d9 +20 +a7 +80 +af +20 +3d +70 +20 +20 +16 +40 +31 +10 +43 +60 +1e +30 +03 +80 +1e +20 +75 +60 +32 +20 +e2 +40 +2e +10 +76 +30 +38 +10 +a4 +50 +53 +30 +cc +80 +ea +10 +f7 +30 +e5 +00 +d8 +20 +23 +20 +54 +70 +0d +30 +fd +60 +35 +20 +ee +30 +76 +10 +17 +40 +87 +00 +0b +10 +4c +00 +cd +00 +52 +00 +0f +10 +9d +00 +2c +30 +2e +10 +8d +20 +34 +20 +2e +70 +be +10 +61 +30 +a9 +00 +c3 +10 +68 +00 +fc +00 +2e +00 +76 +00 +21 +00 +59 +00 +2a +00 +60 +00 +22 +00 +56 +00 +1c +00 +60 +00 +23 +00 +59 +00 +24 +00 +5d +00 +21 +00 +5a +00 +1d +00 +74 +00 +43 +00 +ef +00 +91 +00 +95 +30 +ed +10 +01 +40 +92 +10 +f3 +30 +97 +10 +99 +30 +f3 +00 +aa +20 +6a +00 +cc +00 +37 +00 +9b +00 +4e +00 +a4 +00 +31 +00 +78 +00 +25 +00 +71 +00 +2d +00 +5f +00 +1b +00 +47 +00 +25 +00 +69 +00 +28 +00 +56 +00 +21 +00 +6a +00 +27 +00 +68 +00 +1b +00 +5b +00 +1d +00 +57 +00 +25 +00 +5d +00 +2b +00 +5d +00 +31 +00 +67 +00 +26 +00 +5c +00 +25 +00 +52 +00 +19 +00 +4e +00 +25 +00 +51 +00 +27 +00 +5a +00 +22 +00 +5f +00 +21 +00 +50 +00 +1e +00 +47 +00 +19 +00 +50 +00 +1f +00 +58 +00 +23 +00 +60 +00 +1d +00 +47 +00 +1e +00 +4e +00 +26 +00 +48 +00 +25 +00 +4e +00 +1f +00 +4f +00 +20 +00 +48 +00 +19 +00 +54 +00 +21 +00 +52 +00 +1c +00 +45 +00 +1d +00 +3e +00 +1d +00 +49 +00 +22 +00 +5a +00 +2c +00 +60 +00 +23 +00 +62 +00 +23 +00 +65 +00 +2a +00 +7c +00 +2d +00 +8c +00 +3f +00 +fb +00 +eb +00 +6b +40 +af +00 +4a +20 +68 +20 +5a +70 +28 +30 +5f +90 +be +30 +4c +a0 +03 +40 +7d +a0 +8a +30 +36 +70 +eb +20 +5e +90 +9e +30 +18 +80 +6a +10 +b0 +20 +c2 +10 +23 +70 +ad +30 +15 +b0 +2e +40 +55 +b0 +fe +30 +d8 +a0 +3d +40 +81 +b0 +c0 +30 +90 +80 +ae +10 +b2 +30 +16 +10 +1b +30 +e8 +00 +81 +30 +ec +10 +53 +20 +48 +00 +c7 +00 +4f +00 +ed +00 +78 +00 +04 +10 +62 +00 +e5 +00 +00 +00 +00 +00 +2a +10 +ff +10 +9e +30 +6a +20 +67 +30 +fb +00 +ab +00 +4e +00 +7a +00 +57 +00 +88 +00 +6c +00 +a0 +00 +75 +00 +f6 +00 +8b +00 +99 +00 +ab +00 +96 +10 +d0 +00 +f3 +00 +e1 +00 +08 +10 +db +00 +d6 +00 +a9 +00 +0c +10 +9d +00 +99 +00 +55 +00 +76 +00 +42 +00 +79 +00 +47 +00 +71 +00 +47 +00 +89 +00 +80 +00 +f4 +00 +f4 +10 +3c +30 +da +10 +b9 +20 +69 +10 +cb +10 +cd +00 +f8 +00 +73 +00 +8b +00 +67 +00 +91 +00 +84 +00 +c2 +00 +15 +20 +65 +40 +83 +30 +1f +50 +22 +20 +39 +20 +19 +10 +10 +10 +8c +00 +bc +00 +1a +10 +db +20 +e5 +10 +91 +20 +bc +10 +f2 +20 +de +00 +e9 +00 +67 +00 +8b +00 +46 +00 +6e +00 +3d +00 +73 +00 +3b +00 +5e +00 +38 +00 +6f +00 +4b +00 +78 +00 +45 +00 +7d +00 +47 +00 +75 +00 +4d +00 +7f +00 +4e +00 +74 +00 +44 +00 +6e +00 +34 +00 +74 +00 +40 +00 +6b +00 +32 +00 +6f +00 +43 +00 +75 +00 +a6 +00 +50 +10 +50 +10 +18 +10 +d8 +00 +d6 +00 +da +00 +5a +10 +75 +20 +a5 +40 +41 +30 +dc +30 +ae +10 +03 +20 +f1 +10 +33 +40 +41 +20 +5b +20 +f8 +00 +e7 +00 +86 +00 +a9 +00 +6f +10 +ac +20 +07 +10 +d6 +00 +d4 +00 +b8 +00 +53 +10 +09 +20 +d3 +00 +af +00 +5e +00 +72 +00 +46 +00 +6d +00 +44 +00 +6c +00 +86 +00 +36 +10 +25 +10 +06 +10 +8a +00 +97 +00 +9d +00 +3b +10 +34 +20 +51 +40 +f9 +20 +25 +40 +65 +10 +70 +10 +93 +00 +a8 +00 +5c +00 +78 +00 +57 +00 +72 +00 +57 +00 +70 +00 +8b +00 +d6 +00 +72 +10 +0b +20 +b3 +00 +8a +00 +56 +00 +53 +00 +27 +00 +5b +00 +38 +00 +59 +00 +39 +00 +57 +00 +34 +00 +5b +00 +44 +00 +5e +00 +31 +00 +66 +00 +37 +00 +61 +00 +31 +00 +5c +00 +38 +00 +73 +00 +33 +00 +4e +00 +34 +00 +4e +00 +29 +00 +58 +00 +38 +00 +4d +00 +31 +00 +52 +00 +2f +00 +52 +00 +26 +00 +4d +00 +33 +00 +51 +00 +a5 +00 +c7 +10 +ae +00 +79 +10 +bc +00 +ef +00 +35 +10 +4b +10 +5b +00 +6f +00 +49 +00 +60 +00 +36 +00 +60 +00 +41 +00 +96 +00 +6c +00 +f9 +00 +db +00 +48 +10 +6e +00 +6f +00 +3e +00 +60 +00 +37 +00 +76 +00 +3b +00 +7d +00 +46 +00 +98 +00 +ff +00 +4e +20 +cf +00 +02 +10 +8e +00 +a2 +00 +87 +00 +14 +10 +54 +10 +2b +20 +b4 +10 +32 +40 +4b +20 +9a +30 +a3 +10 +f9 +10 +4b +10 +7c +10 +be +10 +2b +30 +96 +30 +15 +50 +11 +50 +1f +70 +fe +50 +52 +90 +b6 +60 +b4 +90 +8a +60 +ef +90 +c7 +50 +51 +70 +ad +30 +51 +40 +67 +40 +f5 +60 +1e +30 +96 +30 +c1 +30 +b9 +60 +96 +40 +1f +70 +a2 +40 +f5 +70 +9f +50 +2f +90 +df +50 +3d +80 +71 +60 +5d +90 +97 +60 +91 +90 +54 +60 +81 +90 +50 +60 +c5 +90 +90 +50 +d8 +50 +27 +40 +87 +70 +41 +40 +85 +50 +e5 +20 +75 +30 +37 +30 +e9 +40 +f0 +40 +ea +70 +35 +50 +51 +70 +29 +50 +e7 +60 +f1 +20 +f9 +20 +3b +20 +88 +20 +79 +20 +d8 +30 +06 +30 +27 +50 +a6 +20 +ec +20 +8b +30 +83 +60 +46 +40 +09 +70 +57 +30 +23 +50 +78 +20 +52 +40 +54 +20 +ff +20 +e7 +00 +cf +00 +80 +00 +ac +00 +8d +00 +08 +10 +f4 +00 +39 +20 +a7 +10 +b5 +30 +39 +20 +89 +30 +a0 +10 +c5 +10 +07 +10 +0b +10 +7d +00 +8b +00 +5e +00 +5a +00 +3e +00 +5c +00 +50 +00 +5a +00 +4c +00 +61 +00 +50 +00 +63 +00 +91 +00 +18 +10 +ff +00 +c7 +00 +73 +00 +6e +00 +69 +00 +6d +10 +9f +10 +fa +20 +f0 +20 +05 +60 +1a +40 +d9 +60 +1d +30 +66 +20 +7f +10 +a7 +10 +ea +00 +fc +00 +87 +00 +98 +00 +68 +00 +93 +00 +48 +00 +7f +00 +3f +00 +72 +00 +41 +00 +6e +00 +37 +00 +58 +00 +39 +00 +5b +00 +38 +00 +6a +00 +3e +00 +60 +00 +3c +00 +66 +00 +3b +00 +64 +00 +50 +00 +5d +00 +53 +00 +5e +00 +63 +00 +77 +00 +52 +00 +5b +00 +3e +00 +62 +00 +2f +00 +56 +00 +36 +00 +51 +00 +29 +00 +55 +00 +29 +00 +4b +00 +33 +00 +5a +00 +27 +00 +53 +00 +2b +00 +4f +00 +24 +00 +42 +00 +29 +00 +48 +00 +27 +00 +63 +00 +22 +00 +52 +00 +22 +00 +4f +00 +2d +00 +55 +00 +27 +00 +57 +00 +23 +00 +4f +00 +29 +00 +48 +00 +27 +00 +54 +00 +27 +00 +48 +00 +23 +00 +46 +00 +25 +00 +4b +00 +28 +00 +4a +00 +2d +00 +57 +00 +30 +00 +69 +00 +30 +00 +6c +00 +3a +00 +6a +00 +38 +00 +70 +00 +3f +00 +73 +00 +56 +00 +b4 +00 +d5 +00 +d3 +10 +f6 +20 +2d +50 +77 +30 +c4 +50 +25 +50 +4b +90 +61 +60 +63 +a0 +1c +70 +ec +a0 +d9 +60 +2d +90 +9c +50 +ba +80 +02 +60 +66 +80 +45 +30 +50 +30 +86 +20 +2c +40 +bb +40 +f8 +80 +ad +60 +6f +b0 +9c +70 +1a +b0 +6f +70 +3d +b0 +b9 +60 +24 +80 +0e +40 +30 +40 +b8 +20 +6f +20 +8d +10 +c0 +20 +2d +20 +68 +30 +41 +10 +1c +10 +b6 +00 +bf +00 +8b +00 +1d +10 +97 +00 +0e +10 +94 +00 +00 +00 +00 +00 +f7 +00 +c7 +30 +c5 +10 +0a +50 +04 +10 +99 +10 +45 +00 +87 +00 +2e +00 +7f +00 +26 +00 +80 +00 +32 +00 +90 +00 +66 +00 +02 +10 +3b +00 +aa +00 +50 +00 +dd +00 +cb +00 +ea +20 +d4 +00 +be +10 +4c +00 +d5 +00 +48 +00 +b6 +00 +2a +00 +77 +00 +31 +00 +76 +00 +2b +00 +8a +00 +30 +00 +85 +00 +2b +00 +85 +00 +99 +00 +08 +40 +4c +10 +c3 +20 +c1 +00 +e4 +10 +6d +00 +27 +10 +46 +00 +af +00 +31 +00 +95 +00 +39 +00 +b3 +00 +6e +00 +60 +20 +06 +20 +f2 +50 +0f +10 +70 +20 +7c +00 +4c +10 +56 +00 +c5 +00 +40 +00 +10 +10 +61 +10 +f6 +30 +67 +10 +67 +30 +9c +00 +2b +10 +4c +00 +a0 +00 +35 +00 +76 +00 +28 +00 +67 +00 +22 +00 +70 +00 +26 +00 +70 +00 +26 +00 +79 +00 +30 +00 +73 +00 +24 +00 +74 +00 +35 +00 +8f +00 +34 +00 +85 +00 +27 +00 +70 +00 +22 +00 +74 +00 +1f +00 +6c +00 +2b +00 +68 +00 +2d +00 +72 +00 +2c +00 +b4 +00 +60 +10 +46 +40 +b5 +00 +4a +10 +40 +00 +ad +00 +8f +00 +7a +30 +23 +20 +42 +50 +f0 +00 +e7 +10 +77 +00 +c8 +10 +8d +10 +0e +50 +cc +00 +6a +10 +37 +00 +a2 +00 +4d +00 +71 +20 +a7 +10 +db +30 +70 +00 +0c +10 +b4 +00 +29 +30 +35 +10 +48 +20 +4c +00 +a3 +00 +28 +00 +6e +00 +23 +00 +6d +00 +23 +00 +88 +00 +b3 +00 +13 +30 +8a +00 +fd +00 +42 +00 +b9 +00 +aa +00 +b6 +30 +4d +20 +ee +50 +ef +00 +b8 +10 +5b +00 +c5 +00 +38 +00 +98 +00 +36 +00 +87 +00 +30 +00 +70 +00 +31 +00 +ad +00 +db +00 +c1 +30 +cb +00 +48 +10 +38 +00 +63 +00 +21 +00 +4f +00 +27 +00 +76 +00 +22 +00 +50 +00 +22 +00 +52 +00 +21 +00 +5b +00 +22 +00 +68 +00 +1f +00 +51 +00 +25 +00 +67 +00 +24 +00 +53 +00 +29 +00 +53 +00 +20 +00 +50 +00 +1d +00 +51 +00 +1e +00 +4e +00 +19 +00 +54 +00 +20 +00 +55 +00 +24 +00 +51 +00 +1e +00 +58 +00 +26 +00 +9b +00 +64 +00 +1b +10 +51 +00 +c4 +00 +68 +00 +f6 +10 +6d +00 +d0 +00 +27 +00 +5c +00 +24 +00 +5f +00 +24 +00 +73 +00 +31 +00 +9c +00 +59 +00 +e7 +00 +5f +00 +c7 +00 +29 +00 +60 +00 +20 +00 +5f +00 +2d +00 +76 +00 +35 +00 +8a +00 +45 +00 +1b +20 +3e +10 +d2 +10 +5e +00 +ca +00 +46 +00 +af +00 +53 +00 +ee +10 +c1 +00 +df +10 +ff +00 +c3 +30 +05 +10 +12 +20 +7a +00 +44 +10 +5e +00 +a4 +10 +ef +00 +51 +50 +ca +20 +c6 +70 +0d +30 +cb +80 +6b +30 +8f +90 +98 +30 +a1 +90 +a2 +30 +7f +90 +8c +20 +86 +40 +1c +10 +d2 +40 +f3 +10 +3a +40 +23 +10 +cf +40 +35 +20 +bc +60 +22 +20 +08 +50 +b7 +10 +f3 +50 +d1 +20 +64 +80 +52 +30 +cd +90 +b8 +30 +c4 +90 +81 +30 +9c +90 +5f +30 +99 +90 +4e +30 +55 +80 +9d +10 +3f +50 +70 +20 +37 +50 +1a +10 +21 +30 +1d +10 +d7 +40 +ba +20 +5e +80 +22 +30 +68 +80 +c6 +20 +a3 +70 +a2 +10 +51 +30 +a1 +00 +ea +10 +8b +00 +13 +20 +bb +00 +77 +20 +d5 +00 +38 +30 +b6 +10 +32 +50 +bd +20 +1b +80 +25 +20 +a6 +30 +fe +00 +a4 +20 +15 +10 +5f +20 +b2 +00 +6a +10 +47 +00 +a3 +00 +40 +00 +93 +00 +44 +00 +fd +00 +6b +00 +a6 +10 +d1 +00 +27 +20 +9e +00 +c3 +10 +5d +00 +f7 +00 +3f +00 +92 +00 +28 +00 +68 +00 +1f +00 +5a +00 +2a +00 +91 +00 +3d +00 +8e +00 +26 +00 +74 +00 +3c +00 +d7 +00 +71 +10 +b1 +30 +97 +00 +be +00 +28 +00 +68 +00 +4b +00 +65 +10 +a1 +10 +d9 +50 +5f +20 +af +70 +71 +20 +e2 +50 +d2 +00 +83 +10 +5e +00 +f7 +00 +44 +00 +b0 +00 +3a +00 +da +00 +4a +00 +83 +00 +34 +00 +73 +00 +32 +00 +66 +00 +27 +00 +6c +00 +27 +00 +62 +00 +25 +00 +59 +00 +22 +00 +54 +00 +25 +00 +69 +00 +2e +00 +6a +00 +3a +00 +b8 +00 +2d +00 +69 +00 +3b +00 +22 +10 +41 +00 +7e +00 +24 +00 +55 +00 +21 +00 +52 +00 +27 +00 +54 +00 +19 +00 +4d +00 +24 +00 +4f +00 +20 +00 +4f +00 +2b +00 +4b +00 +1d +00 +53 +00 +1d +00 +58 +00 +19 +00 +4c +00 +1b +00 +46 +00 +21 +00 +50 +00 +1f +00 +46 +00 +1e +00 +42 +00 +23 +00 +61 +00 +22 +00 +54 +00 +15 +00 +4b +00 +21 +00 +49 +00 +1e +00 +49 +00 +23 +00 +4a +00 +19 +00 +43 +00 +1f +00 +43 +00 +20 +00 +59 +00 +29 +00 +6b +00 +21 +00 +6b +00 +2c +00 +62 +00 +28 +00 +6d +00 +2d +00 +7e +00 +33 +00 +9f +00 +51 +00 +fe +00 +de +00 +cd +50 +06 +30 +36 +70 +75 +20 +68 +80 +d3 +30 +7d +a0 +d5 +30 +b9 +a0 +e9 +30 +55 +a0 +3b +20 +4a +70 +a1 +30 +3c +90 +c1 +10 +ea +30 +b8 +00 +d3 +10 +dd +10 +2c +70 +d5 +30 +f1 +a0 +32 +40 +a6 +b0 +d5 +30 +89 +a0 +e4 +30 +49 +a0 +43 +20 +a0 +40 +31 +10 +3b +30 +97 +00 +af +10 +c9 +00 +87 +20 +ab +00 +a2 +10 +58 +00 +e4 +00 +9c +00 +33 +10 +55 +00 +05 +10 +64 +00 +1f +10 +00 +00 +00 +00 +b9 +10 +8b +20 +1a +50 +71 +20 +d3 +20 +e5 +00 +cc +00 +78 +00 +8b +00 +56 +00 +72 +00 +5a +00 +81 +00 +69 +00 +99 +00 +6d +00 +ac +00 +82 +00 +b0 +00 +bb +00 +33 +10 +91 +10 +37 +30 +16 +10 +16 +10 +9a +00 +bc +00 +7a +00 +89 +00 +57 +00 +88 +00 +3f +00 +83 +00 +57 +00 +7c +00 +4a +00 +74 +00 +96 +00 +5d +10 +3b +20 +e7 +30 +7c +20 +30 +30 +f1 +10 +d6 +10 +e1 +00 +ca +00 +7e +00 +9b +00 +71 +00 +b3 +00 +23 +10 +8a +20 +62 +30 +bf +50 +2d +30 +1f +30 +ae +10 +54 +10 +e3 +00 +e1 +00 +7e +00 +9f +00 +f9 +00 +2e +30 +81 +10 +c4 +20 +38 +10 +b0 +10 +af +00 +c2 +00 +60 +00 +8a +00 +42 +00 +6c +00 +50 +00 +70 +00 +45 +00 +76 +00 +41 +00 +72 +00 +4c +00 +74 +00 +47 +00 +7c +00 +3f +00 +8d +00 +95 +00 +f7 +00 +7b +00 +92 +00 +46 +00 +6d +00 +42 +00 +6d +00 +3d +00 +77 +00 +43 +00 +6c +00 +43 +00 +7d +00 +b9 +00 +73 +20 +43 +20 +ec +40 +8e +10 +0c +10 +b9 +00 +de +10 +72 +20 +42 +50 +07 +30 +ef +20 +66 +10 +12 +10 +7f +10 +2b +40 +a6 +10 +4e +20 +e8 +00 +ae +00 +6c +00 +95 +00 +d7 +00 +0b +30 +d8 +10 +0f +30 +63 +20 +1b +30 +16 +20 +ef +20 +e8 +00 +1e +10 +7d +00 +94 +00 +4e +00 +76 +00 +60 +00 +71 +00 +8d +00 +17 +10 +f8 +10 +fe +20 +93 +10 +7f +10 +9f +10 +b1 +10 +ef +20 +19 +60 +15 +30 +19 +30 +3e +10 +d8 +00 +92 +00 +8a +00 +ad +00 +a1 +10 +78 +00 +8a +00 +65 +00 +99 +00 +69 +10 +fd +20 +fb +10 +7a +30 +07 +10 +c5 +00 +4c +00 +58 +00 +32 +00 +6f +00 +40 +00 +64 +00 +35 +00 +5b +00 +2d +00 +4e +00 +32 +00 +63 +00 +32 +00 +57 +00 +3c +00 +60 +00 +38 +00 +5e +00 +34 +00 +5c +00 +2c +00 +48 +00 +2d +00 +50 +00 +27 +00 +52 +00 +2d +00 +52 +00 +30 +00 +4f +00 +32 +00 +54 +00 +2d +00 +57 +00 +30 +00 +50 +00 +4f +00 +af +00 +77 +00 +b9 +00 +74 +00 +96 +00 +5f +00 +91 +00 +55 +00 +87 +00 +44 +00 +5c +00 +2b +00 +5d +00 +37 +00 +69 +00 +45 +00 +8c +00 +59 +00 +ad +00 +6c +00 +89 +00 +60 +00 +65 +00 +45 +00 +71 +00 +49 +00 +85 +00 +57 +00 +bf +00 +df +00 +9e +20 +ce +00 +11 +10 +87 +00 +bc +00 +bc +00 +ed +00 +e5 +00 +21 +10 +21 +10 +4f +20 +5c +20 +87 +40 +9c +10 +7e +10 +b1 +10 +6a +10 +f2 +10 +36 +20 +a4 +30 +36 +70 +42 +50 +b2 +80 +e6 +50 +a0 +90 +48 +60 +e6 +90 +1f +60 +51 +90 +54 +50 +e9 +60 +7c +30 +20 +30 +54 +20 +ab +20 +c0 +20 +a8 +40 +8b +30 +6c +60 +db +30 +e2 +40 +e4 +20 +7c +30 +87 +40 +be +70 +f7 +50 +b0 +90 +9a +60 +04 +a0 +cd +50 +d0 +80 +55 +60 +d1 +90 +03 +60 +4e +80 +49 +50 +9a +50 +c6 +40 +b5 +60 +f4 +20 +fe +20 +fe +20 +e5 +40 +b6 +30 +84 +60 +3d +40 +31 +80 +21 +50 +0a +70 +bf +30 +f3 +30 +82 +20 +10 +20 +9f +10 +66 +10 +d8 +10 +e2 +10 +b4 +10 +26 +20 +a4 +20 +65 +50 +a1 +20 +dc +30 +2b +30 +aa +40 +7a +20 +c8 +20 +c7 +10 +51 +20 +16 +10 +97 +10 +a2 +00 +de +00 +76 +00 +a8 +00 +6b +00 +96 +00 +8d +00 +ec +00 +cd +00 +24 +10 +ee +00 +46 +10 +e4 +00 +f2 +00 +97 +00 +8e +00 +56 +00 +60 +00 +3d +00 +5e +00 +40 +00 +7c +00 +e1 +00 +f7 +10 +84 +00 +c2 +00 +40 +10 +74 +10 +08 +10 +d8 +10 +ff +00 +30 +10 +7d +00 +7d +00 +6a +00 +8e +00 +22 +10 +d4 +30 +e7 +20 +74 +60 +c2 +30 +51 +60 +e0 +30 +af +30 +98 +10 +fd +00 +bd +00 +a7 +00 +55 +00 +83 +00 +4f +00 +98 +00 +4b +00 +71 +00 +5c +00 +79 +00 +59 +00 +5f +00 +48 +00 +71 +00 +37 +00 +60 +00 +3b +00 +65 +00 +37 +00 +68 +00 +3f +00 +76 +00 +86 +00 +9c +10 +ab +10 +31 +10 +35 +10 +9f +10 +e7 +10 +f9 +10 +bd +00 +7c +00 +45 +00 +4e +00 +2a +00 +62 +00 +28 +00 +46 +00 +2a +00 +53 +00 +2b +00 +49 +00 +1f +00 +4c +00 +27 +00 +52 +00 +2a +00 +5a +00 +2e +00 +54 +00 +27 +00 +56 +00 +2b +00 +4e +00 +26 +00 +47 +00 +23 +00 +4a +00 +27 +00 +47 +00 +2b +00 +5b +00 +2c +00 +55 +00 +25 +00 +4c +00 +20 +00 +51 +00 +25 +00 +48 +00 +29 +00 +48 +00 +28 +00 +45 +00 +2b +00 +4b +00 +2d +00 +6a +00 +2f +00 +55 +00 +2d +00 +5c +00 +34 +00 +62 +00 +35 +00 +6a +00 +43 +00 +88 +00 +71 +00 +ec +00 +44 +10 +26 +30 +31 +40 +62 +80 +40 +50 +03 +80 +33 +60 +4b +a0 +dd +60 +c5 +a0 +f0 +60 +4a +a0 +99 +50 +6b +60 +c4 +50 +bf +90 +df +40 +66 +40 +81 +20 +fa +10 +9b +10 +bc +20 +5b +30 +32 +90 +f1 +50 +0c +b0 +94 +60 +2a +a0 +75 +60 +cd +90 +6b +50 +21 +60 +a0 +30 +32 +40 +53 +20 +cf +10 +a5 +10 +2d +20 +4f +10 +93 +10 +3c +10 +25 +20 +25 +10 +de +20 +fa +00 +06 +10 +93 +00 +c0 +00 +89 +00 +00 +00 +00 +00 +60 +00 +56 +20 +c0 +10 +a0 +40 +ae +00 +44 +10 +41 +00 +a0 +00 +29 +00 +78 +00 +2d +00 +7a +00 +28 +00 +88 +00 +3d +00 +b9 +00 +42 +00 +a6 +00 +47 +00 +ca +00 +b3 +00 +d6 +20 +f1 +00 +bf +10 +4f +00 +d1 +00 +40 +00 +90 +00 +2e +00 +8e +00 +30 +00 +80 +00 +2e +00 +80 +00 +2e +00 +77 +00 +39 +00 +8b +00 +b9 +00 +ca +30 +51 +10 +14 +40 +8f +10 +1f +30 +fc +00 +b7 +10 +47 +00 +a9 +00 +31 +00 +a1 +00 +3e +00 +10 +10 +97 +10 +bc +50 +26 +20 +26 +50 +41 +10 +34 +20 +5c +00 +fc +00 +45 +00 +b5 +00 +3b +00 +11 +10 +97 +00 +9d +10 +7e +00 +43 +10 +5c +00 +f4 +00 +3a +00 +9b +00 +30 +00 +6d +00 +2e +00 +6a +00 +25 +00 +6b +00 +2a +00 +6e +00 +2d +00 +79 +00 +2b +00 +6b +00 +26 +00 +7d +00 +41 +00 +f2 +00 +87 +00 +07 +10 +30 +00 +7c +00 +25 +00 +70 +00 +28 +00 +6b +00 +26 +00 +6d +00 +26 +00 +78 +00 +3e +00 +9f +00 +83 +00 +64 +20 +10 +10 +cf +10 +55 +00 +bd +00 +61 +00 +f5 +10 +11 +20 +85 +50 +cb +00 +7c +10 +56 +00 +6c +10 +00 +10 +43 +20 +64 +00 +fb +00 +37 +00 +9b +00 +32 +00 +cf +00 +8c +00 +c4 +10 +4a +10 +06 +50 +c6 +10 +3e +30 +a9 +00 +4a +10 +48 +00 +b1 +00 +2c +00 +7c +00 +37 +00 +a0 +00 +37 +00 +87 +00 +91 +00 +7e +30 +53 +10 +d9 +20 +7c +10 +f0 +30 +b8 +10 +ba +50 +9a +20 +4f +60 +e5 +00 +93 +10 +37 +00 +92 +00 +2a +00 +d4 +00 +b4 +00 +3e +10 +32 +00 +8c +00 +80 +00 +32 +30 +83 +10 +0f +30 +cb +00 +ab +10 +40 +00 +7e +00 +21 +00 +58 +00 +26 +00 +5c +00 +23 +00 +52 +00 +26 +00 +63 +00 +23 +00 +55 +00 +20 +00 +54 +00 +1c +00 +53 +00 +1d +00 +52 +00 +27 +00 +60 +00 +20 +00 +4c +00 +1b +00 +44 +00 +1b +00 +47 +00 +1e +00 +4e +00 +1b +00 +46 +00 +1c +00 +51 +00 +1a +00 +57 +00 +1b +00 +5c +00 +19 +00 +5b +00 +37 +00 +91 +00 +28 +00 +75 +00 +39 +00 +9e +00 +32 +00 +70 +00 +27 +00 +5e +00 +1f +00 +55 +00 +21 +00 +5d +00 +26 +00 +69 +00 +2c +00 +89 +00 +3b +00 +ca +00 +96 +00 +2e +10 +31 +00 +7a +00 +31 +00 +74 +00 +36 +00 +85 +00 +3c +00 +df +00 +76 +00 +05 +10 +55 +00 +b4 +00 +4e +00 +3a +10 +a4 +00 +70 +10 +5d +00 +02 +10 +7b +00 +ff +10 +e4 +00 +fc +10 +e4 +00 +27 +40 +60 +10 +c7 +30 +a9 +10 +c3 +50 +b0 +20 +66 +70 +24 +30 +f3 +80 +39 +30 +1a +80 +d1 +20 +05 +80 +c1 +20 +e9 +70 +46 +20 +0d +60 +fb +00 +93 +20 +43 +10 +3e +40 +30 +20 +24 +50 +52 +10 +da +30 +19 +10 +da +20 +95 +10 +f1 +60 +51 +30 +28 +90 +b5 +30 +0f +a0 +96 +30 +7a +70 +fb +20 +85 +90 +a1 +30 +7c +80 +3e +20 +e5 +70 +93 +20 +87 +70 +9b +10 +69 +30 +39 +10 +35 +50 +00 +20 +f4 +30 +27 +10 +ff +30 +cf +20 +02 +80 +3e +20 +7d +50 +4d +10 +7e +30 +96 +00 +68 +10 +dc +00 +0e +40 +c1 +00 +94 +10 +97 +00 +63 +20 +f8 +10 +39 +40 +5f +10 +a7 +50 +de +10 +85 +40 +1e +10 +e9 +10 +87 +00 +87 +10 +62 +00 +09 +10 +46 +00 +b8 +00 +3c +00 +88 +00 +38 +00 +9e +00 +36 +00 +be +00 +42 +00 +ec +00 +46 +00 +ba +00 +30 +00 +78 +00 +26 +00 +5c +00 +24 +00 +5d +00 +24 +00 +60 +00 +42 +00 +3d +10 +6f +00 +e6 +00 +97 +00 +dc +20 +6b +10 +96 +20 +8d +00 +3a +10 +55 +00 +b7 +00 +2e +00 +73 +00 +31 +00 +28 +10 +e5 +00 +50 +30 +e0 +10 +3a +50 +45 +20 +cf +60 +d6 +10 +69 +20 +4e +00 +aa +00 +28 +00 +89 +00 +24 +00 +7c +00 +30 +00 +73 +00 +2a +00 +89 +00 +3c +00 +7e +00 +28 +00 +70 +00 +2e +00 +5b +00 +20 +00 +5c +00 +2a +00 +6e +00 +27 +00 +68 +00 +31 +00 +99 +00 +21 +10 +dd +40 +db +00 +ab +10 +ca +10 +e3 +50 +05 +10 +22 +10 +32 +00 +65 +00 +1f +00 +54 +00 +1e +00 +46 +00 +1c +00 +51 +00 +1f +00 +3f +00 +18 +00 +3f +00 +1f +00 +4e +00 +1f +00 +44 +00 +22 +00 +52 +00 +21 +00 +5a +00 +21 +00 +40 +00 +1c +00 +47 +00 +22 +00 +4f +00 +20 +00 +4f +00 +1f +00 +52 +00 +26 +00 +5d +00 +23 +00 +55 +00 +25 +00 +4a +00 +19 +00 +47 +00 +1c +00 +4d +00 +20 +00 +43 +00 +27 +00 +57 +00 +24 +00 +55 +00 +26 +00 +66 +00 +25 +00 +60 +00 +23 +00 +72 +00 +25 +00 +6f +00 +27 +00 +7b +00 +3f +00 +c5 +00 +57 +00 +89 +10 +25 +20 +10 +80 +94 +30 +25 +80 +65 +30 +32 +a0 +16 +40 +eb +a0 +f7 +30 +dd +90 +84 +20 +a1 +50 +8a +20 +04 +90 +c1 +30 +80 +70 +ea +00 +3c +20 +67 +00 +00 +10 +82 +00 +d4 +20 +f8 +10 +b4 +60 +f1 +20 +e8 +70 +c1 +20 +0f +90 +7d +30 +9c +80 +84 +10 +e3 +40 +6b +10 +3a +20 +77 +00 +41 +20 +d0 +10 +7a +20 +7f +00 +7c +10 +26 +10 +b8 +10 +eb +00 +a9 +10 +66 +00 +b5 +00 +36 +00 +c2 +00 +00 +00 +00 +00 +df +00 +60 +10 +94 +20 +b2 +10 +20 +20 +02 +10 +05 +10 +b3 +00 +aa +00 +69 +00 +75 +00 +60 +00 +7a +00 +61 +00 +a6 +00 +de +00 +f1 +00 +9f +00 +bd +00 +de +00 +99 +10 +c7 +10 +df +20 +96 +10 +a6 +10 +cf +00 +ac +00 +7f +00 +9e +00 +57 +00 +79 +00 +54 +00 +8e +00 +5e +00 +79 +00 +59 +00 +82 +00 +85 +00 +e7 +00 +29 +10 +c0 +20 +a0 +20 +dc +40 +d2 +10 +81 +20 +0e +10 +1b +10 +c8 +00 +cb +00 +db +00 +e3 +00 +e7 +10 +6c +40 +f5 +30 +17 +70 +67 +40 +cc +50 +32 +20 +72 +10 +e7 +00 +cb +00 +bb +00 +da +00 +9f +00 +18 +10 +c3 +00 +16 +10 +ab +00 +ec +00 +85 +00 +b5 +00 +65 +00 +78 +00 +4e +00 +6d +00 +49 +00 +71 +00 +41 +00 +71 +00 +42 +00 +72 +00 +4b +00 +85 +00 +49 +00 +68 +00 +47 +00 +81 +00 +c1 +00 +db +10 +a0 +00 +a5 +00 +5f +00 +84 +00 +47 +00 +7d +00 +42 +00 +63 +00 +42 +00 +6f +00 +87 +00 +4f +10 +9c +00 +cd +00 +b6 +00 +65 +10 +b2 +00 +c7 +00 +8b +00 +b3 +00 +21 +10 +f0 +20 +00 +20 +57 +30 +36 +10 +c8 +00 +e6 +00 +26 +10 +cc +00 +21 +10 +89 +00 +9e +00 +76 +00 +b5 +00 +ac +00 +0a +10 +d0 +10 +03 +40 +9a +30 +e9 +50 +14 +20 +b3 +10 +cf +00 +d2 +00 +75 +00 +85 +00 +a4 +00 +e5 +00 +b5 +00 +16 +10 +a9 +00 +15 +10 +b7 +10 +d3 +20 +55 +30 +e9 +50 +be +30 +24 +50 +04 +40 +31 +70 +f6 +20 +47 +30 +75 +10 +cf +00 +71 +00 +76 +00 +5c +00 +96 +00 +57 +00 +91 +00 +74 +00 +e0 +00 +b4 +10 +bc +40 +4f +20 +3f +30 +28 +10 +fb +00 +77 +00 +68 +00 +4b +00 +57 +00 +4f +00 +4c +00 +44 +00 +97 +00 +5a +00 +68 +00 +38 +00 +4d +00 +32 +00 +54 +00 +32 +00 +55 +00 +35 +00 +60 +00 +31 +00 +51 +00 +2e +00 +53 +00 +22 +00 +48 +00 +2f +00 +50 +00 +28 +00 +47 +00 +31 +00 +4f +00 +2d +00 +54 +00 +2d +00 +49 +00 +31 +00 +46 +00 +42 +00 +75 +00 +53 +00 +74 +00 +54 +00 +95 +00 +5b +00 +87 +00 +4a +00 +5a +00 +3a +00 +55 +00 +47 +00 +6e +00 +52 +00 +8a +00 +51 +00 +6d +00 +4a +00 +7b +00 +5f +00 +c8 +00 +58 +00 +d3 +00 +5d +00 +76 +00 +4c +00 +79 +00 +5b +00 +8c +00 +6d +00 +ce +00 +68 +00 +c8 +00 +b9 +00 +0c +10 +2d +20 +ea +40 +d3 +10 +7b +10 +f2 +00 +fc +00 +01 +10 +21 +10 +0b +10 +66 +20 +88 +20 +67 +50 +bb +20 +cc +40 +09 +30 +50 +40 +5d +30 +40 +50 +2e +40 +88 +60 +26 +40 +0a +50 +16 +40 +96 +60 +d8 +40 +7f +70 +19 +30 +f3 +30 +10 +20 +c8 +20 +4d +30 +ce +60 +d1 +20 +33 +30 +57 +20 +87 +20 +08 +20 +18 +40 +09 +40 +72 +80 +91 +50 +f6 +90 +00 +60 +b7 +90 +db +50 +45 +90 +67 +50 +1d +80 +da +30 +92 +40 +6b +40 +c9 +70 +a9 +40 +89 +50 +c5 +30 +7c +50 +9a +30 +c9 +30 +12 +20 +82 +20 +de +20 +53 +60 +1e +50 +e6 +70 +af +40 +b7 +60 +96 +30 +dd +20 +b1 +10 +71 +30 +c2 +10 +13 +20 +59 +10 +6e +10 +de +10 +ab +40 +e0 +10 +33 +30 +bd +20 +7f +60 +45 +30 +22 +40 +8a +10 +79 +10 +de +00 +06 +10 +92 +00 +da +00 +78 +00 +c5 +00 +54 +00 +96 +00 +5b +00 +92 +00 +80 +00 +9b +00 +78 +00 +8d +00 +65 +00 +5f +00 +44 +00 +5d +00 +3f +00 +62 +00 +37 +00 +63 +00 +4a +00 +8a +00 +64 +00 +b1 +00 +8b +00 +4b +10 +54 +20 +06 +60 +11 +20 +46 +20 +03 +10 +d6 +00 +87 +00 +84 +00 +56 +00 +81 +00 +98 +00 +34 +10 +c5 +10 +60 +50 +31 +30 +71 +40 +3c +20 +fc +30 +90 +10 +57 +10 +ac +00 +83 +00 +4f +00 +77 +00 +5b +00 +81 +00 +56 +00 +a1 +00 +37 +10 +38 +20 +e6 +00 +89 +00 +63 +00 +6f +00 +32 +00 +5f +00 +41 +00 +63 +00 +48 +00 +70 +00 +49 +00 +79 +00 +c9 +00 +2c +20 +40 +30 +3e +60 +aa +30 +9e +40 +b2 +20 +26 +40 +02 +10 +b8 +00 +55 +00 +58 +00 +34 +00 +47 +00 +2d +00 +45 +00 +2c +00 +46 +00 +26 +00 +44 +00 +2d +00 +40 +00 +23 +00 +49 +00 +27 +00 +53 +00 +26 +00 +53 +00 +25 +00 +50 +00 +27 +00 +43 +00 +21 +00 +4e +00 +26 +00 +5c +00 +2d +00 +68 +00 +30 +00 +35 +00 +20 +00 +4d +00 +25 +00 +54 +00 +22 +00 +3c +00 +28 +00 +44 +00 +27 +00 +46 +00 +31 +00 +5d +00 +30 +00 +59 +00 +29 +00 +52 +00 +2c +00 +5c +00 +24 +00 +60 +00 +32 +00 +75 +00 +42 +00 +86 +00 +47 +00 +96 +00 +65 +00 +c3 +00 +57 +10 +7c +40 +5b +40 +0a +90 +ac +50 +e1 +90 +d2 +60 +a8 +a0 +62 +60 +3b +90 +d4 +40 +48 +70 +62 +40 +32 +70 +3a +50 +74 +90 +18 +40 +f8 +30 +c8 +10 +12 +10 +fa +00 +4e +10 +f2 +10 +7f +40 +c2 +30 +2b +50 +f7 +40 +fe +50 +3a +50 +eb +70 +d2 +40 +45 +60 +63 +40 +a9 +40 +a8 +10 +65 +10 +36 +10 +a3 +20 +d4 +10 +d1 +10 +3f +10 +3a +30 +6b +10 +89 +10 +f3 +00 +3f +10 +bc +00 +c1 +00 +cb +00 +00 +00 +00 +00 +49 +00 +8f +10 +ee +00 +4c +20 +7c +00 +0d +10 +d0 +00 +fd +10 +47 +00 +ac +00 +30 +00 +94 +00 +34 +00 +8b +00 +3f +00 +54 +10 +92 +00 +5b +10 +4c +00 +08 +10 +b0 +00 +d5 +20 +14 +10 +18 +30 +d5 +00 +65 +10 +51 +00 +dc +00 +39 +00 +85 +00 +32 +00 +79 +00 +3a +00 +ac +00 +41 +00 +88 +00 +2d +00 +88 +00 +48 +00 +28 +10 +86 +00 +05 +30 +4e +10 +a3 +20 +04 +10 +f5 +10 +66 +00 +34 +10 +ad +00 +bb +10 +63 +00 +a1 +10 +c2 +10 +a8 +60 +c4 +20 +98 +70 +f0 +10 +3c +30 +73 +00 +40 +10 +57 +00 +9c +10 +72 +00 +d5 +00 +4b +00 +4a +10 +62 +00 +f7 +00 +48 +00 +a6 +00 +37 +00 +88 +00 +2e +00 +75 +00 +2c +00 +8a +00 +2b +00 +7d +00 +29 +00 +6f +00 +26 +00 +70 +00 +38 +00 +8f +00 +23 +00 +84 +00 +36 +00 +04 +10 +be +00 +52 +10 +43 +00 +e4 +00 +31 +00 +88 +00 +2f +00 +72 +00 +24 +00 +61 +00 +39 +00 +1c +10 +6d +00 +fd +00 +42 +00 +ef +00 +62 +00 +00 +10 +35 +00 +8c +00 +3e +00 +ed +00 +96 +00 +3f +20 +ba +00 +65 +10 +43 +00 +b0 +00 +57 +00 +fe +00 +40 +00 +9e +00 +2e +00 +96 +00 +3d +00 +c0 +00 +91 +00 +23 +30 +4c +20 +9c +60 +f1 +10 +19 +30 +6d +00 +ea +00 +37 +00 +93 +00 +3e +00 +79 +10 +a9 +00 +22 +10 +98 +00 +35 +10 +8d +00 +ce +20 +93 +10 +79 +50 +9e +20 +e1 +60 +1e +20 +c2 +50 +ec +10 +af +40 +4d +10 +70 +20 +3c +00 +8a +00 +36 +00 +82 +00 +2b +00 +83 +00 +2f +00 +83 +00 +41 +00 +8a +10 +88 +10 +ac +30 +73 +10 +04 +20 +39 +00 +8a +00 +25 +00 +88 +00 +28 +00 +75 +00 +1e +00 +5a +00 +33 +00 +8a +00 +2a +00 +5f +00 +21 +00 +59 +00 +1c +00 +5b +00 +23 +00 +5d +00 +23 +00 +63 +00 +1f +00 +5c +00 +20 +00 +4a +00 +1c +00 +47 +00 +1c +00 +4f +00 +20 +00 +5a +00 +26 +00 +58 +00 +1e +00 +5e +00 +22 +00 +4f +00 +18 +00 +57 +00 +23 +00 +5f +00 +29 +00 +57 +00 +30 +00 +7d +00 +2b +00 +73 +00 +27 +00 +67 +00 +2a +00 +86 +00 +64 +00 +0c +10 +52 +00 +b0 +00 +4d +00 +8f +00 +29 +00 +7a +00 +31 +00 +7a +00 +31 +00 +75 +00 +2c +00 +7b +00 +32 +00 +97 +00 +36 +00 +98 +00 +30 +00 +b4 +00 +32 +00 +d2 +00 +a7 +00 +7f +30 +04 +20 +5c +40 +88 +00 +28 +10 +52 +00 +f5 +00 +66 +00 +fa +00 +bd +00 +ee +20 +21 +10 +1d +30 +3d +10 +bb +30 +7d +10 +f4 +40 +85 +10 +84 +40 +23 +20 +b4 +60 +0c +20 +27 +60 +a2 +20 +7f +60 +6c +10 +66 +30 +e1 +00 +fe +10 +b4 +00 +75 +30 +aa +10 +a0 +30 +54 +10 +88 +40 +be +00 +e6 +10 +e1 +00 +55 +30 +36 +20 +08 +80 +40 +30 +40 +80 +80 +20 +3b +70 +00 +30 +59 +70 +9b +10 +e8 +30 +2a +10 +a7 +50 +f8 +20 +dc +70 +81 +20 +ec +50 +8d +20 +91 +50 +fc +00 +3b +20 +a7 +00 +a2 +20 +9a +20 +b8 +70 +8c +20 +49 +70 +a4 +20 +de +60 +20 +10 +0f +20 +9e +00 +bc +10 +b1 +00 +e5 +10 +80 +00 +cc +10 +14 +10 +5c +20 +af +00 +4c +20 +fb +00 +1c +30 +09 +10 +d8 +10 +63 +00 +14 +10 +50 +00 +be +00 +5e +00 +d7 +00 +4b +00 +ad +00 +3a +00 +84 +00 +39 +00 +84 +00 +2c +00 +7a +00 +27 +00 +63 +00 +21 +00 +56 +00 +27 +00 +79 +00 +33 +00 +6b +00 +28 +00 +71 +00 +33 +00 +91 +00 +39 +00 +a5 +00 +66 +00 +d1 +20 +44 +20 +71 +30 +e2 +00 +5d +10 +44 +00 +a3 +00 +22 +00 +71 +00 +2e +00 +95 +00 +50 +00 +87 +10 +03 +20 +24 +60 +fe +00 +7c +20 +9f +00 +64 +10 +4c +00 +b8 +00 +37 +00 +83 +00 +75 +00 +b1 +00 +33 +00 +8b +00 +4f +00 +57 +20 +7e +10 +ef +10 +46 +00 +9d +00 +2a +00 +63 +00 +21 +00 +69 +00 +29 +00 +71 +00 +29 +00 +71 +00 +36 +00 +b0 +00 +23 +10 +50 +60 +1f +30 +2d +80 +43 +20 +e1 +30 +aa +00 +88 +10 +42 +00 +84 +00 +2b +00 +51 +00 +1f +00 +4b +00 +1e +00 +46 +00 +1d +00 +4d +00 +1a +00 +48 +00 +1a +00 +49 +00 +24 +00 +44 +00 +1f +00 +47 +00 +17 +00 +47 +00 +14 +00 +40 +00 +19 +00 +42 +00 +15 +00 +35 +00 +17 +00 +48 +00 +1c +00 +4e +00 +19 +00 +4d +00 +21 +00 +4e +00 +1e +00 +4c +00 +19 +00 +47 +00 +17 +00 +49 +00 +16 +00 +49 +00 +29 +00 +55 +00 +25 +00 +5b +00 +23 +00 +5d +00 +23 +00 +56 +00 +2a +00 +7b +00 +35 +00 +a2 +00 +45 +00 +ab +00 +41 +00 +ab +00 +45 +00 +42 +10 +bf +10 +eb +70 +42 +30 +09 +90 +d5 +30 +98 +a0 +c7 +30 +35 +80 +d6 +10 +2b +50 +dd +10 +01 +40 +a0 +20 +b3 +60 +72 +20 +c6 +50 +d7 +00 +aa +10 +4e +00 +bd +00 +6d +00 +b4 +10 +27 +20 +dd +60 +81 +20 +66 +80 +ed +20 +5d +80 +36 +20 +e9 +40 +46 +20 +54 +70 +86 +10 +29 +20 +68 +00 +4e +10 +1e +10 +4c +40 +a8 +00 +78 +10 +58 +10 +67 +20 +a9 +00 +cb +20 +91 +00 +70 +10 +6c +00 +7d +10 +00 +00 +00 +00 +ac +00 +71 +10 +39 +30 +ce +10 +83 +10 +3c +10 +ad +10 +4b +10 +72 +10 +dd +00 +c5 +00 +98 +00 +96 +00 +8b +00 +bc +00 +b8 +00 +ae +10 +9b +10 +11 +30 +3e +10 +ea +10 +32 +10 +62 +20 +26 +10 +4b +20 +64 +10 +bb +10 +22 +10 +35 +10 +7c +00 +7f +00 +49 +00 +7e +00 +4f +00 +8f +00 +5b +00 +71 +00 +6a +00 +90 +00 +bd +00 +28 +10 +10 +10 +bb +10 +04 +10 +91 +10 +dc +00 +7c +10 +5d +10 +f2 +30 +c3 +20 +28 +40 +ee +20 +fe +30 +ff +30 +6f +70 +b4 +40 +55 +60 +4f +30 +37 +30 +6b +20 +28 +30 +4e +10 +9f +10 +b8 +00 +e4 +00 +19 +10 +74 +10 +c4 +00 +bb +00 +64 +00 +93 +00 +61 +00 +86 +00 +54 +00 +8b +00 +43 +00 +72 +00 +4b +00 +76 +00 +40 +00 +73 +00 +4e +00 +96 +00 +53 +00 +99 +00 +56 +00 +81 +00 +7d +00 +1b +10 +81 +00 +f0 +00 +78 +00 +e6 +00 +50 +00 +73 +00 +40 +00 +6e +00 +60 +00 +a1 +00 +dc +00 +34 +10 +cf +00 +29 +10 +a4 +00 +d5 +00 +87 +00 +b7 +00 +5e +00 +8c +00 +a5 +00 +02 +10 +da +00 +45 +10 +b7 +00 +eb +00 +95 +00 +be +00 +93 +00 +a6 +00 +69 +00 +85 +00 +7b +00 +9d +00 +be +00 +55 +10 +84 +20 +cb +50 +cc +20 +36 +40 +ca +10 +89 +10 +b7 +00 +97 +00 +61 +00 +99 +00 +b7 +00 +89 +10 +c9 +00 +7a +10 +bd +00 +0e +10 +7b +10 +84 +40 +e2 +20 +6d +60 +20 +40 +ac +60 +4c +30 +f3 +30 +f5 +10 +bf +20 +14 +10 +0c +10 +73 +00 +75 +00 +4c +00 +77 +00 +4c +00 +78 +00 +57 +00 +86 +00 +bb +00 +bc +10 +97 +10 +d1 +30 +2a +10 +04 +10 +af +00 +c6 +00 +83 +10 +60 +10 +88 +00 +7c +00 +4a +00 +73 +00 +43 +00 +73 +00 +3c +00 +49 +00 +31 +00 +55 +00 +37 +00 +59 +00 +34 +00 +67 +00 +38 +00 +5c +00 +33 +00 +54 +00 +2b +00 +47 +00 +29 +00 +4a +00 +29 +00 +43 +00 +36 +00 +4e +00 +30 +00 +4f +00 +2e +00 +4f +00 +2b +00 +44 +00 +31 +00 +4f +00 +32 +00 +54 +00 +33 +00 +77 +00 +3b +00 +62 +00 +46 +00 +62 +00 +38 +00 +68 +00 +57 +00 +f9 +00 +8b +00 +db +00 +8c +00 +f5 +00 +67 +00 +80 +00 +4b +00 +77 +00 +41 +00 +71 +00 +41 +00 +70 +00 +47 +00 +86 +00 +56 +00 +7e +00 +61 +00 +8a +00 +83 +00 +db +00 +ec +00 +9d +20 +09 +30 +42 +50 +21 +20 +2a +20 +26 +10 +ed +00 +ca +00 +ea +00 +c6 +00 +0b +10 +83 +10 +7b +30 +21 +30 +7d +50 +9c +40 +52 +70 +d7 +40 +11 +60 +15 +50 +b3 +70 +3b +50 +a9 +70 +d9 +30 +d4 +40 +e3 +20 +a4 +30 +13 +20 +2e +20 +87 +10 +61 +10 +85 +10 +2a +20 +99 +10 +cf +20 +63 +20 +27 +30 +a0 +20 +06 +40 +84 +20 +f5 +20 +d6 +20 +4e +50 +d1 +20 +09 +40 +a0 +20 +8a +30 +d3 +20 +7f +30 +52 +30 +f8 +30 +81 +30 +fa +50 +0c +30 +1e +60 +d0 +30 +1c +70 +a0 +20 +cb +20 +93 +10 +b8 +10 +ab +20 +3f +50 +e4 +40 +0a +80 +46 +50 +a4 +70 +26 +30 +f5 +20 +82 +10 +9e +10 +4b +20 +e6 +30 +15 +30 +9f +40 +f8 +10 +be +10 +31 +10 +8c +10 +44 +10 +b0 +10 +38 +10 +9b +10 +ff +00 +20 +10 +9e +00 +c1 +00 +65 +00 +a7 +00 +53 +00 +98 +00 +52 +00 +8d +00 +43 +00 +73 +00 +43 +00 +63 +00 +40 +00 +56 +00 +40 +00 +54 +00 +3d +00 +6a +00 +3c +00 +7e +00 +48 +00 +72 +00 +5b +00 +7b +00 +55 +00 +82 +00 +83 +00 +c2 +00 +59 +10 +6e +40 +bd +10 +e3 +10 +50 +10 +f2 +00 +05 +10 +a8 +00 +77 +00 +73 +00 +80 +00 +b2 +00 +01 +10 +d9 +10 +c3 +10 +98 +30 +cd +10 +c1 +10 +11 +10 +e4 +00 +83 +00 +8f +00 +63 +00 +b6 +00 +97 +00 +cb +00 +39 +10 +ae +10 +2a +10 +ac +10 +d8 +10 +13 +20 +ce +00 +83 +00 +62 +00 +6a +00 +a3 +00 +a4 +00 +8e +00 +75 +00 +4f +00 +83 +00 +5d +10 +55 +40 +33 +40 +57 +80 +40 +40 +ad +50 +d6 +10 +bd +10 +e1 +00 +bf +00 +63 +00 +50 +00 +30 +00 +4e +00 +2f +00 +46 +00 +2b +00 +45 +00 +2a +00 +42 +00 +23 +00 +3e +00 +28 +00 +46 +00 +26 +00 +48 +00 +25 +00 +3e +00 +25 +00 +4f +00 +1f +00 +3f +00 +24 +00 +3f +00 +23 +00 +48 +00 +29 +00 +44 +00 +29 +00 +4d +00 +23 +00 +49 +00 +26 +00 +44 +00 +26 +00 +47 +00 +2b +00 +4f +00 +33 +00 +51 +00 +32 +00 +50 +00 +30 +00 +58 +00 +2e +00 +63 +00 +28 +00 +51 +00 +2b +00 +5a +00 +33 +00 +76 +00 +46 +00 +a8 +00 +5a +00 +cf +00 +83 +00 +ee +00 +0f +20 +cf +50 +c2 +40 +44 +80 +b7 +50 +20 +a0 +93 +50 +3d +70 +0a +40 +e6 +30 +a9 +20 +ee +20 +2e +30 +fa +40 +56 +30 +25 +50 +a7 +20 +b2 +20 +48 +10 +f0 +00 +ab +00 +d4 +00 +90 +10 +3e +40 +01 +40 +98 +80 +27 +50 +fc +80 +de +50 +aa +90 +19 +50 +da +60 +f7 +20 +e3 +20 +64 +10 +46 +10 +fe +00 +f3 +10 +5b +10 +3f +20 +1e +10 +b6 +10 +6a +10 +29 +30 +fb +10 +71 +20 +c0 +00 +e0 +00 +a0 +00 +00 +00 +00 +00 +3b +00 +50 +10 +71 +10 +d2 +30 +92 +00 +83 +10 +f1 +00 +a2 +20 +8c +00 +dc +10 +59 +00 +d8 +00 +45 +00 +72 +10 +77 +00 +fd +00 +98 +00 +8b +20 +e4 +00 +9e +10 +71 +00 +71 +10 +7c +00 +34 +10 +ad +00 +ab +20 +05 +10 +9e +20 +69 +00 +c8 +00 +2b +00 +7e +00 +2c +00 +7b +00 +2f +00 +6f +00 +2c +00 +6e +00 +2a +00 +b0 +00 +58 +00 +5b +10 +67 +00 +22 +10 +52 +00 +eb +00 +4d +00 +0f +10 +2f +10 +ec +40 +55 +20 +13 +60 +36 +20 +c2 +60 +a3 +20 +a1 +70 +78 +20 +5a +60 +36 +20 +df +50 +62 +10 +55 +20 +55 +00 +d5 +00 +49 +00 +a8 +10 +7b +00 +ef +00 +38 +00 +8f +00 +26 +00 +8d +00 +31 +00 +8c +00 +2c +00 +84 +00 +2e +00 +70 +00 +2e +00 +71 +00 +34 +00 +75 +00 +30 +00 +73 +00 +29 +00 +7e +00 +2f +00 +8f +00 +3a +00 +ae +00 +39 +00 +9b +00 +40 +00 +93 +00 +2c +00 +6e +00 +25 +00 +74 +00 +8e +00 +67 +20 +99 +00 +55 +10 +4c +00 +c9 +00 +32 +00 +9d +00 +2b +00 +8c +00 +30 +00 +8f +00 +4c +00 +f1 +00 +4b +00 +c6 +00 +45 +00 +a6 +00 +39 +00 +9f +00 +31 +00 +84 +00 +2e +00 +c5 +00 +44 +00 +cf +00 +87 +00 +c7 +20 +67 +10 +e7 +30 +5f +10 +ca +30 +7b +00 +ce +00 +2c +00 +71 +00 +32 +00 +b4 +00 +47 +00 +c8 +00 +43 +00 +b1 +00 +4e +00 +19 +10 +f5 +00 +ed +20 +63 +10 +28 +50 +36 +20 +2d +50 +5e +10 +b7 +20 +7d +00 +02 +10 +37 +00 +a6 +00 +33 +00 +7e +00 +2d +00 +73 +00 +28 +00 +7a +00 +32 +00 +a6 +00 +64 +00 +5a +10 +98 +00 +5c +10 +4d +00 +c4 +00 +8e +00 +37 +30 +d9 +00 +55 +10 +33 +00 +75 +00 +26 +00 +6d +00 +29 +00 +58 +00 +1d +00 +5b +00 +1e +00 +62 +00 +1a +00 +52 +00 +21 +00 +64 +00 +1d +00 +52 +00 +1e +00 +53 +00 +25 +00 +4d +00 +18 +00 +4c +00 +20 +00 +54 +00 +21 +00 +50 +00 +23 +00 +45 +00 +12 +00 +3e +00 +17 +00 +4c +00 +22 +00 +54 +00 +23 +00 +62 +00 +26 +00 +6b +00 +21 +00 +5e +00 +2d +00 +6b +00 +30 +00 +93 +00 +67 +00 +df +00 +4d +00 +44 +10 +77 +00 +d1 +00 +36 +00 +6f +00 +2b +00 +75 +00 +2b +00 +9d +00 +35 +00 +76 +00 +2d +00 +70 +00 +29 +00 +86 +00 +43 +00 +c3 +00 +94 +00 +64 +10 +a6 +10 +20 +50 +63 +20 +77 +50 +e1 +00 +9d +10 +71 +00 +1d +10 +56 +00 +e9 +00 +57 +00 +ae +10 +36 +10 +45 +40 +89 +20 +e2 +70 +2c +30 +a1 +80 +1c +30 +9b +80 +e8 +20 +60 +70 +0f +20 +48 +40 +0e +10 +32 +30 +00 +10 +f8 +20 +bf +00 +a9 +10 +6c +00 +84 +10 +1f +10 +37 +30 +cd +00 +a6 +30 +d6 +10 +2b +50 +72 +20 +a2 +40 +c4 +00 +9b +20 +d6 +00 +d1 +20 +cc +00 +73 +20 +fb +00 +b3 +30 +f0 +10 +77 +60 +67 +20 +32 +50 +19 +10 +26 +30 +72 +10 +8b +40 +c4 +10 +fb +30 +af +00 +c2 +10 +7a +00 +9e +20 +9c +20 +18 +80 +50 +30 +f7 +80 +11 +20 +d7 +30 +c5 +00 +cd +10 +11 +10 +02 +50 +77 +20 +8d +50 +ef +10 +ea +30 +8b +00 +4f +10 +58 +00 +28 +10 +61 +00 +66 +10 +84 +00 +4f +10 +5e +00 +d1 +00 +43 +00 +9a +00 +33 +00 +94 +00 +35 +00 +83 +00 +30 +00 +77 +00 +1f +00 +50 +00 +1b +00 +63 +00 +2b +00 +6e +00 +2e +00 +78 +00 +30 +00 +78 +00 +37 +00 +95 +00 +4a +00 +b6 +00 +28 +00 +6f +00 +2f +00 +89 +00 +43 +00 +90 +10 +fe +00 +cd +20 +c5 +00 +b9 +10 +39 +10 +1b +30 +4b +00 +95 +00 +2b +00 +96 +00 +39 +00 +ca +00 +6b +00 +5d +10 +9f +00 +fe +20 +9e +00 +64 +10 +39 +00 +af +00 +38 +00 +9a +00 +3e +00 +b8 +00 +8a +00 +66 +20 +1a +10 +a1 +10 +43 +10 +33 +50 +d0 +00 +0d +10 +2d +00 +71 +00 +65 +00 +31 +20 +56 +00 +cd +00 +30 +00 +75 +00 +39 +00 +1b +10 +52 +20 +08 +80 +0e +30 +23 +70 +15 +10 +44 +20 +69 +00 +e9 +00 +3a +00 +73 +00 +1b +00 +56 +00 +20 +00 +53 +00 +1d +00 +59 +00 +1d +00 +49 +00 +17 +00 +4a +00 +1f +00 +45 +00 +1b +00 +42 +00 +22 +00 +4b +00 +16 +00 +49 +00 +1c +00 +3a +00 +1f +00 +44 +00 +19 +00 +43 +00 +19 +00 +49 +00 +24 +00 +51 +00 +24 +00 +4c +00 +22 +00 +53 +00 +22 +00 +51 +00 +21 +00 +6b +00 +30 +00 +82 +00 +2e +00 +5d +00 +24 +00 +5b +00 +20 +00 +54 +00 +2a +00 +61 +00 +1f +00 +60 +00 +26 +00 +67 +00 +2b +00 +83 +00 +3f +00 +b2 +00 +5c +00 +fc +00 +73 +00 +1c +30 +e2 +20 +da +70 +c5 +20 +12 +80 +bc +20 +f7 +60 +54 +20 +e0 +40 +bf +00 +1d +20 +b4 +00 +a0 +30 +f0 +10 +22 +40 +8f +10 +02 +30 +8f +00 +51 +10 +3f +00 +a4 +00 +52 +00 +1a +10 +7d +10 +82 +60 +a4 +20 +08 +60 +3e +30 +a6 +90 +d3 +30 +bb +90 +a3 +20 +23 +40 +ad +00 +71 +10 +6a +00 +01 +10 +73 +00 +97 +10 +88 +00 +61 +10 +37 +10 +b1 +20 +1b +10 +b0 +20 +91 +00 +06 +10 +47 +00 +c2 +00 +00 +00 +00 +00 +99 +00 +02 +10 +71 +20 +26 +20 +fc +20 +61 +20 +a1 +30 +7a +20 +df +30 +88 +20 +d3 +30 +a0 +10 +47 +10 +3b +10 +1f +20 +f3 +00 +79 +10 +f3 +00 +64 +10 +f1 +00 +1a +10 +f4 +00 +11 +10 +ba +00 +41 +10 +55 +10 +cb +20 +16 +10 +23 +10 +70 +00 +8e +00 +4d +00 +76 +00 +4b +00 +7b +00 +56 +00 +72 +00 +51 +00 +6c +00 +77 +00 +be +00 +a6 +00 +20 +10 +a1 +00 +cd +00 +8a +00 +b1 +00 +a8 +00 +44 +10 +f5 +10 +6c +50 +d6 +20 +58 +50 +55 +30 +6c +60 +37 +40 +45 +70 +63 +40 +bc +60 +f6 +20 +2d +30 +2e +10 +05 +10 +86 +00 +b7 +00 +f3 +00 +9c +10 +cb +00 +b5 +00 +7b +00 +85 +00 +a3 +00 +bb +00 +81 +00 +8c +00 +48 +00 +7e +00 +46 +00 +73 +00 +51 +00 +85 +00 +40 +00 +7e +00 +44 +00 +7a +00 +4f +00 +85 +00 +64 +00 +a0 +00 +66 +00 +8b +00 +5d +00 +88 +00 +4e +00 +72 +00 +40 +00 +61 +00 +5d +00 +e0 +00 +a5 +00 +cc +10 +b2 +00 +a9 +00 +6b +00 +8b +00 +5d +00 +75 +00 +4e +00 +70 +00 +6d +00 +a3 +00 +8e +00 +c9 +00 +70 +00 +8b +00 +64 +00 +8f +00 +61 +00 +84 +00 +89 +00 +34 +10 +a1 +10 +c5 +10 +d8 +00 +94 +10 +34 +10 +0d +20 +73 +10 +a6 +20 +82 +10 +57 +20 +d6 +00 +90 +00 +5d +00 +7b +00 +95 +00 +e1 +00 +d7 +00 +f8 +00 +10 +10 +fd +00 +4f +10 +77 +10 +95 +10 +ff +10 +e2 +10 +f6 +20 +2f +20 +c8 +20 +51 +10 +61 +10 +8e +00 +9f +00 +6e +00 +88 +00 +57 +00 +7a +00 +4d +00 +76 +00 +53 +00 +79 +00 +9a +00 +e3 +00 +dc +00 +5a +10 +5c +10 +41 +10 +e4 +10 +e8 +20 +ab +20 +d8 +20 +02 +10 +d3 +00 +60 +00 +6b +00 +3c +00 +5e +00 +33 +00 +61 +00 +2b +00 +49 +00 +32 +00 +4c +00 +2c +00 +55 +00 +30 +00 +5a +00 +29 +00 +4d +00 +34 +00 +53 +00 +2e +00 +49 +00 +31 +00 +57 +00 +2b +00 +5b +00 +3a +00 +5e +00 +2a +00 +48 +00 +28 +00 +37 +00 +33 +00 +56 +00 +2e +00 +52 +00 +32 +00 +64 +00 +3c +00 +51 +00 +3a +00 +6f +00 +38 +00 +77 +00 +3f +00 +9c +00 +4d +00 +96 +00 +72 +00 +fa +00 +5c +00 +97 +00 +4d +00 +60 +00 +45 +00 +68 +00 +53 +00 +a6 +00 +51 +00 +8b +00 +48 +00 +7e +00 +44 +00 +83 +00 +5d +00 +d4 +00 +d5 +00 +31 +20 +9f +10 +f8 +20 +6a +10 +57 +20 +46 +20 +c2 +30 +4d +20 +f6 +10 +04 +10 +e0 +00 +0b +10 +71 +10 +25 +20 +1d +50 +95 +40 +74 +80 +01 +50 +d1 +70 +3b +40 +db +50 +18 +40 +b7 +70 +94 +40 +f9 +50 +bc +30 +dc +40 +ed +30 +ab +50 +3d +20 +83 +10 +7f +10 +43 +20 +72 +10 +f3 +10 +6f +10 +73 +20 +54 +20 +da +60 +f5 +20 +0a +30 +e8 +10 +fd +10 +9f +10 +ca +10 +92 +10 +06 +20 +ae +20 +c4 +60 +39 +40 +40 +70 +af +20 +ee +20 +d0 +10 +61 +20 +3a +20 +0d +30 +4c +20 +49 +20 +af +10 +b5 +10 +b3 +30 +7d +70 +2c +50 +21 +90 +4c +40 +c9 +40 +94 +20 +71 +20 +46 +20 +5a +40 +f2 +20 +65 +50 +19 +20 +23 +30 +48 +10 +a5 +10 +ff +00 +5e +10 +0d +10 +4a +10 +fc +10 +66 +30 +39 +10 +0c +10 +92 +00 +93 +00 +5c +00 +81 +00 +51 +00 +80 +00 +4b +00 +77 +00 +3c +00 +62 +00 +36 +00 +59 +00 +3d +00 +6f +00 +37 +00 +6f +00 +48 +00 +6c +00 +46 +00 +74 +00 +b1 +00 +ab +10 +91 +00 +8e +00 +88 +00 +90 +00 +95 +00 +a0 +00 +20 +10 +10 +20 +e2 +20 +e5 +30 +15 +30 +84 +50 +70 +20 +ba +10 +c6 +00 +8d +00 +67 +00 +87 +00 +99 +00 +c1 +00 +df +00 +39 +10 +f7 +10 +41 +50 +77 +20 +79 +10 +d5 +00 +a0 +00 +e7 +00 +e2 +00 +75 +10 +d8 +30 +9e +10 +94 +10 +92 +20 +95 +30 +20 +30 +9c +30 +26 +10 +c9 +00 +9c +00 +8c +10 +44 +20 +4b +40 +d4 +10 +0c +10 +aa +00 +78 +00 +26 +10 +e2 +30 +13 +30 +04 +50 +17 +20 +c5 +20 +47 +10 +08 +10 +9f +00 +81 +00 +44 +00 +6b +00 +29 +00 +71 +00 +34 +00 +4e +00 +23 +00 +55 +00 +27 +00 +4a +00 +26 +00 +5b +00 +28 +00 +48 +00 +25 +00 +3a +00 +28 +00 +41 +00 +25 +00 +3e +00 +27 +00 +44 +00 +22 +00 +41 +00 +21 +00 +3e +00 +1f +00 +42 +00 +26 +00 +48 +00 +2d +00 +45 +00 +22 +00 +4f +00 +29 +00 +56 +00 +45 +00 +26 +10 +b4 +00 +13 +20 +6f +00 +68 +00 +3e +00 +53 +00 +2a +00 +51 +00 +28 +00 +54 +00 +2d +00 +5e +00 +38 +00 +92 +00 +3e +00 +95 +00 +5d +00 +e2 +00 +db +00 +aa +10 +15 +20 +e5 +40 +2d +30 +8d +50 +98 +40 +4c +70 +ca +50 +3d +80 +a7 +30 +68 +20 +63 +20 +23 +20 +80 +20 +6a +30 +49 +20 +15 +30 +c3 +10 +c5 +10 +d3 +00 +c5 +00 +74 +00 +9d +00 +d3 +00 +bb +10 +8f +10 +48 +30 +8a +20 +bd +40 +57 +40 +a9 +80 +63 +40 +bc +50 +cb +20 +57 +20 +78 +10 +19 +10 +af +00 +d5 +00 +af +00 +fe +00 +eb +00 +4a +20 +da +10 +ed +10 +e7 +00 +26 +10 +c2 +00 +b1 +00 +7e +00 +00 +00 +00 +00 +36 +00 +c7 +00 +c0 +00 +50 +30 +36 +10 +da +30 +b3 +10 +be +40 +a3 +10 +c0 +40 +da +10 +83 +40 +e6 +00 +02 +20 +67 +00 +08 +10 +56 +00 +35 +10 +6f +00 +76 +10 +b3 +00 +67 +10 +4a +00 +db +00 +66 +00 +cb +10 +9f +00 +8b +10 +53 +00 +af +00 +31 +00 +78 +00 +2d +00 +76 +00 +24 +00 +71 +00 +2d +00 +7f +00 +2c +00 +78 +00 +3b +00 +ae +00 +38 +00 +c5 +00 +34 +00 +a6 +00 +3b +00 +b2 +00 +6a +00 +b1 +10 +f0 +00 +8d +20 +d2 +00 +79 +20 +20 +10 +30 +40 +6d +20 +cb +60 +63 +20 +da +40 +ca +00 +62 +10 +51 +00 +bc +00 +3f +00 +00 +10 +92 +00 +35 +10 +3e +00 +a2 +00 +3a +00 +34 +10 +95 +00 +fc +00 +37 +00 +8f +00 +27 +00 +79 +00 +31 +00 +85 +00 +2d +00 +7d +00 +25 +00 +6f +00 +2a +00 +81 +00 +31 +00 +87 +00 +31 +00 +91 +00 +29 +00 +82 +00 +30 +00 +8b +00 +31 +00 +75 +00 +28 +00 +75 +00 +31 +00 +ae +00 +4c +00 +c5 +00 +3a +00 +8c +00 +2b +00 +7e +00 +2d +00 +6f +00 +35 +00 +86 +00 +2b +00 +9a +00 +42 +00 +c7 +00 +3a +00 +90 +00 +35 +00 +92 +00 +2f +00 +95 +00 +03 +10 +3d +40 +02 +10 +62 +10 +55 +00 +f9 +00 +73 +00 +86 +10 +6d +00 +64 +10 +72 +00 +8a +10 +37 +00 +6f +00 +2b +00 +d7 +00 +9d +00 +21 +20 +fc +00 +5a +30 +20 +10 +8c +20 +db +00 +5d +20 +87 +00 +dc +10 +8d +00 +cd +10 +8a +00 +4f +10 +53 +00 +b8 +00 +39 +00 +b6 +00 +3f +00 +ac +00 +3d +00 +73 +00 +28 +00 +74 +00 +25 +00 +89 +00 +b1 +00 +c2 +10 +a3 +00 +95 +30 +60 +10 +74 +40 +33 +20 +68 +60 +57 +10 +d0 +10 +3f +00 +84 +00 +26 +00 +58 +00 +20 +00 +5e +00 +26 +00 +5f +00 +27 +00 +53 +00 +1f +00 +52 +00 +1a +00 +54 +00 +24 +00 +49 +00 +1f +00 +4d +00 +1d +00 +50 +00 +1d +00 +50 +00 +20 +00 +5e +00 +28 +00 +58 +00 +25 +00 +5a +00 +18 +00 +4d +00 +16 +00 +51 +00 +22 +00 +57 +00 +1b +00 +56 +00 +27 +00 +59 +00 +1c +00 +79 +00 +27 +00 +74 +00 +30 +00 +86 +00 +37 +00 +80 +00 +29 +00 +85 +00 +39 +00 +8e +00 +2f +00 +67 +00 +2a +00 +6b +00 +24 +00 +75 +00 +32 +00 +8a +00 +3e +00 +9d +00 +2f +00 +75 +00 +3d +00 +a4 +00 +3a +00 +e3 +00 +e6 +00 +c4 +20 +a8 +00 +d5 +10 +95 +00 +07 +30 +ff +10 +72 +50 +75 +10 +92 +20 +5f +00 +23 +10 +92 +00 +f9 +20 +18 +20 +82 +60 +d4 +20 +b8 +70 +b2 +20 +7e +50 +2e +10 +e1 +30 +7e +20 +0b +70 +76 +20 +ce +60 +96 +20 +5d +70 +83 +20 +d9 +40 +eb +00 +b0 +10 +a7 +00 +86 +10 +8a +00 +d9 +10 +8a +00 +06 +20 +d7 +10 +52 +50 +da +00 +f9 +10 +86 +00 +77 +10 +80 +00 +5c +10 +8a +00 +00 +20 +a8 +10 +d3 +40 +cb +10 +57 +30 +cd +00 +0f +20 +a6 +00 +23 +30 +9a +10 +50 +40 +b5 +00 +af +10 +1f +10 +00 +60 +a9 +20 +42 +70 +0e +30 +8c +60 +5c +10 +dc +30 +7f +10 +c7 +30 +bd +10 +6a +30 +2e +10 +a9 +20 +b5 +00 +8b +10 +66 +00 +54 +10 +75 +00 +4a +10 +96 +00 +93 +20 +71 +10 +f7 +10 +56 +00 +c4 +00 +39 +00 +79 +00 +2d +00 +80 +00 +31 +00 +69 +00 +2c +00 +63 +00 +28 +00 +59 +00 +29 +00 +6a +00 +2d +00 +67 +00 +2c +00 +83 +00 +44 +00 +88 +00 +47 +00 +65 +10 +9a +00 +d9 +00 +52 +00 +dd +00 +42 +00 +ad +00 +47 +00 +25 +10 +ab +10 +11 +60 +03 +20 +dc +40 +a7 +20 +50 +50 +9a +00 +18 +10 +2e +00 +87 +00 +30 +00 +85 +00 +33 +00 +c1 +00 +63 +00 +93 +20 +62 +20 +ff +50 +e3 +00 +50 +10 +95 +00 +40 +20 +3d +10 +92 +30 +1e +10 +32 +20 +af +10 +44 +60 +96 +10 +95 +40 +16 +10 +b3 +10 +36 +00 +8f +00 +89 +00 +89 +30 +63 +20 +16 +50 +6f +00 +b1 +00 +30 +00 +d0 +00 +5b +10 +5f +50 +ed +00 +6c +20 +85 +00 +3a +10 +41 +00 +9a +00 +2c +00 +68 +00 +23 +00 +68 +00 +33 +00 +67 +00 +23 +00 +53 +00 +26 +00 +4e +00 +22 +00 +57 +00 +24 +00 +55 +00 +17 +00 +3b +00 +1f +00 +42 +00 +1a +00 +3b +00 +1d +00 +3f +00 +1a +00 +45 +00 +15 +00 +44 +00 +1e +00 +39 +00 +1b +00 +48 +00 +1d +00 +50 +00 +20 +00 +4c +00 +22 +00 +5b +00 +21 +00 +61 +00 +3e +00 +cc +00 +74 +00 +d0 +00 +2c +00 +51 +00 +25 +00 +5d +00 +2c +00 +50 +00 +28 +00 +4e +00 +26 +00 +7a +00 +38 +00 +91 +00 +3f +00 +ac +00 +6a +00 +b5 +10 +08 +10 +a5 +20 +f4 +10 +8c +30 +9e +10 +6d +70 +90 +30 +da +90 +79 +20 +9b +40 +db +00 +08 +40 +93 +10 +ee +30 +28 +10 +07 +40 +5f +10 +48 +20 +7c +00 +1d +10 +41 +00 +8e +00 +38 +00 +bc +00 +5f +00 +c0 +10 +b5 +00 +b1 +20 +3f +10 +ea +40 +03 +30 +0d +70 +7f +20 +68 +40 +ad +00 +b0 +10 +54 +00 +c5 +00 +32 +00 +b6 +00 +46 +00 +f8 +00 +0b +10 +bb +30 +a3 +00 +4c +10 +50 +00 +27 +10 +51 +00 +b0 +00 diff --git a/CFA/sim/transform/test.tif b/CFA/sim/transform/test.tif new file mode 100644 index 0000000..669e186 Binary files /dev/null and b/CFA/sim/transform/test.tif differ