diff --git a/Merge/chanels_to_RGB.v b/Merge/chanels_to_RGB.v index 9bf9e6f..8d8f537 100644 --- a/Merge/chanels_to_RGB.v +++ b/Merge/chanels_to_RGB.v @@ -9,7 +9,7 @@ module chanels_to_RGB #( input reset, input in_en, - input [IN_DEPTH - 1:0] data_in [2:0], // 0:R 1:G 2:B + input [15:0] data_in [2:0], // 0:R 1:G 2:B // 输出相关 input data_que, // 数据请求 diff --git a/isp.v b/isp.v index fad4f1e..3150728 100644 --- a/isp.v +++ b/isp.v @@ -23,6 +23,7 @@ module isp #( ); // 三通道合成RGB图像 wire rgb_en; + wire [15:0] im_red, im_green, im_blue; // 任意比例缩放图像 reg scale_in_en; @@ -38,8 +39,8 @@ module isp #( out_clk <= clk; demosaic2 #( - .IM_WIDTH(1936), - .IM_HEIGHT(1088), + .IM_WIDTH(IN_WIDTH), + .IM_HEIGHT(IN_HEIGHT), .RAW_TYPE(RAW_TYPE) ) CFA ( .clk(clk), @@ -57,7 +58,7 @@ module isp #( .clk(clk), .reset(reset), .in_en(rgb_en), - .data_in({im_red[11:0], im_green[11:0], im_red[11:0]}), + .data_in({im_red, im_green, im_red}), .data_que(scale_in_que), .out_en(scale_in_en),